BibTeX records: Nikil D. Dutt

download as .bib file

@article{DBLP:journals/corr/abs-2401-05367,
  author       = {Seyed Amir Hossein Aqajari and
                  Sina Labbaf and
                  Phuc Hoang Tran and
                  Brenda Nguyen and
                  Milad Asgari Mehrabadi and
                  Marco Levorato and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Context-Aware Stress Monitoring using Wearable and Mobile Technologies
                  in Everyday Settings},
  journal      = {CoRR},
  volume       = {abs/2401.05367},
  year         = {2024},
  url          = {https://doi.org/10.48550/arXiv.2401.05367},
  doi          = {10.48550/ARXIV.2401.05367},
  eprinttype    = {arXiv},
  eprint       = {2401.05367},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2401-05367.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/MeloD23,
  author       = {Caio Batista de Melo and
                  Nikil D. Dutt},
  title        = {LOCoCAT: Low-Overhead Classification of {CAN} Bus Attack Types},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {15},
  number       = {4},
  pages        = {178--181},
  year         = {2023},
  url          = {https://doi.org/10.1109/LES.2023.3299217},
  doi          = {10.1109/LES.2023.3299217},
  timestamp    = {Fri, 08 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esl/MeloD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/AlikhaniKLRD23,
  author       = {Hamidreza Alikhani and
                  Anil Kanduri and
                  Pasi Liljeberg and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {DynaFuse: Dynamic Fusion for Resource Efficient Multimodal Machine
                  Learning Inference},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {15},
  number       = {4},
  pages        = {222--225},
  year         = {2023},
  url          = {https://doi.org/10.1109/LES.2023.3298738},
  doi          = {10.1109/LES.2023.3298738},
  timestamp    = {Fri, 08 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esl/AlikhaniKLRD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fdgth/LabbafAADR23,
  author       = {Sina Labbaf and
                  Mahyar Abbasian and
                  Iman Azimi and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {ZotCare: a flexible, personalizable, and affordable mhealth service
                  provider},
  journal      = {Frontiers Digit. Health},
  volume       = {5},
  year         = {2023},
  url          = {https://doi.org/10.3389/fdgth.2023.1253087},
  doi          = {10.3389/FDGTH.2023.1253087},
  timestamp    = {Sun, 07 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/fdgth/LabbafAADR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/health/NaeiniSALDR23,
  author       = {Emad Kasaeyan Naeini and
                  Fatemeh Sarhaddi and
                  Iman Azimi and
                  Pasi Liljeberg and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {A Deep Learning-based {PPG} Quality Assessment Approach for Heart
                  Rate and Heart Rate Variability},
  journal      = {{ACM} Trans. Comput. Heal.},
  volume       = {4},
  number       = {4},
  pages        = {24:1--24:22},
  year         = {2023},
  url          = {https://doi.org/10.1145/3616019},
  doi          = {10.1145/3616019},
  timestamp    = {Sun, 10 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/health/NaeiniSALDR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/YiKKD23,
  author       = {Saehanseul Yi and
                  Tae{-}Wook Kim and
                  Jong{-}Chan Kim and
                  Nikil D. Dutt},
  title        = {{EASYR:} Energy-Efficient Adaptive System Reconfiguration for Dynamic
                  Deadlines in Autonomous Driving on Multicore Processors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {22},
  number       = {3},
  pages        = {52:1--52:29},
  year         = {2023},
  url          = {https://doi.org/10.1145/3570503},
  doi          = {10.1145/3570503},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/YiKKD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/BalajiHCDKD23,
  author       = {Adarsha Balaji and
                  Phu Khanh Huynh and
                  Francky Catthoor and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar and
                  Anup Das},
  title        = {NeuSB: {A} Scalable Interconnect Architecture for Spiking Neuromorphic
                  Hardware},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {11},
  number       = {2},
  pages        = {373--387},
  year         = {2023},
  url          = {https://doi.org/10.1109/TETC.2023.3238708},
  doi          = {10.1109/TETC.2023.3238708},
  timestamp    = {Fri, 07 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/BalajiHCDKD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bsn/KhatibiAALFBDR23,
  author       = {Elahe Khatibi and
                  Mahyar Abbasian and
                  Iman Azimi and
                  Sina Labbaf and
                  Mohammad Feli and
                  Jessica L. Borelli and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Impact of {COVID-19} Pandemic on Sleep Including {HRV} and Physical
                  Activity as Mediators: {A} Causal {ML} Approach},
  booktitle    = {19th {IEEE} International Conference on Body Sensor Networks, {BSN}
                  2023, Boston, MA, USA, October 9-11, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/BSN58485.2023.10331423},
  doi          = {10.1109/BSN58485.2023.10331423},
  timestamp    = {Thu, 04 Jan 2024 08:13:38 +0100},
  biburl       = {https://dblp.org/rec/conf/bsn/KhatibiAALFBDR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bsn/YangAJLBDR23,
  author       = {Zhongqi Yang and
                  Iman Azimi and
                  Salar Jafarlou and
                  Sina Labbaf and
                  Jessica L. Borelli and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Loneliness Forecasting Using Multi-modal Wearable and Mobile Sensing
                  in Everyday Settings},
  booktitle    = {19th {IEEE} International Conference on Body Sensor Networks, {BSN}
                  2023, Boston, MA, USA, October 9-11, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/BSN58485.2023.10331561},
  doi          = {10.1109/BSN58485.2023.10331561},
  timestamp    = {Thu, 04 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/bsn/YangAJLBDR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/chase/TazarvLRDL23,
  author       = {Ali Tazarv and
                  Sina Labbaf and
                  Amir{-}Mohammad Rahmani and
                  Nikil D. Dutt and
                  Marco Levorato},
  title        = {Active Reinforcement Learning for Personalized Stress Monitoring in
                  Everyday Settings},
  booktitle    = {{IEEE/ACM} Conference on Connected Health: Applications, Systems and
                  Engineering Technologies, {CHASE} 2023, Orlando, FL, USA, June 21-23,
                  2023},
  pages        = {44--55},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3580252.3586979},
  doi          = {10.1145/3580252.3586979},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/chase/TazarvLRDL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codesisss/DonyanavardDMMM23,
  author       = {Bryan Donyanavard and
                  Nikil D. Dutt and
                  Biswadip Maity and
                  Parth Malani and
                  Tiago M{\"{u}}ck},
  title        = {Tutorial: {MARS:} {A} Framework for Runtime Monitoring, Modeling,
                  and Management of Realtime Systems},
  booktitle    = {International Conference on Hardware/Software Codesign and System
                  Synthesis, {CODES+ISSS} 2023, Hamburg, Germany, September 17-22, 2023},
  pages        = {3--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://ieeexplore.ieee.org/document/10317838},
  timestamp    = {Tue, 05 Dec 2023 20:47:36 +0100},
  biburl       = {https://dblp.org/rec/conf/codesisss/DonyanavardDMMM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuttD23,
  author       = {Nikil D. Dutt and
                  Bryan Donyanavard},
  title        = {Lightning Talk: The New Era of Computational Cognitive Intelligence},
  booktitle    = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco,
                  CA, USA, July 9-13, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/DAC56929.2023.10247865},
  doi          = {10.1109/DAC56929.2023.10247865},
  timestamp    = {Sun, 24 Sep 2023 13:31:06 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuttD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/EsterleDGLMRJ23,
  author       = {Lukas Esterle and
                  Nikil D. Dutt and
                  Christian Gruhl and
                  Peter R. Lewis and
                  Lucio Marcenaro and
                  Carlo S. Regazzoni and
                  Axel Jantsch},
  title        = {Self-awareness in Cyber-Physical Systems: Recent Developments and
                  Open Challenges},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137197},
  doi          = {10.23919/DATE56975.2023.10137197},
  timestamp    = {Wed, 07 Jun 2023 22:08:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/EsterleDGLMRJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SperlingBSEDMLSHAMCHKMNRSYSDK23,
  author       = {Nora Sperling and
                  Alex Bendrick and
                  Dominik St{\"{o}}hrmann and
                  Rolf Ernst and
                  Bryan Donyanavard and
                  Florian Maurer and
                  Oliver Lenke and
                  Anmol Surhonne and
                  Andreas Herkersdorf and
                  Walaa Amer and
                  Caio Batista de Melo and
                  Ping{-}Xiang Chen and
                  Quang Anh Hoang and
                  Rachid Karami and
                  Biswadip Maity and
                  Paul Nikolian and
                  Mariam Rakka and
                  Dongjoo Seo and
                  Saehanseul Yi and
                  Minjun Seo and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  title        = {Information Processing Factory 2.0 - Self-awareness for Autonomous
                  Collaborative Systems},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137006},
  doi          = {10.23919/DATE56975.2023.10137006},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SperlingBSEDMLSHAMCHKMNRSYSDK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BhattacharjyaMD23,
  author       = {Rajat Bhattacharjya and
                  Biswadip Maity and
                  Nikil D. Dutt},
  editor       = {Himanshu Thapliyal and
                  Ronald F. DeMara and
                  Inna Partin{-}Vaisband and
                  Srinivas Katkoori},
  title        = {Locate: Low-Power Viterbi Decoder Exploration using Approximate Adders},
  booktitle    = {Proceedings of the Great Lakes Symposium on {VLSI} 2023, {GLSVLSI}
                  2023, Knoxville, TN, USA, June 5-7, 2023},
  pages        = {409--413},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3583781.3590314},
  doi          = {10.1145/3583781.3590314},
  timestamp    = {Thu, 15 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BhattacharjyaMD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hotstorage/SeoCLBD23,
  author       = {Dongjoo Seo and
                  Ping{-}Xiang Chen and
                  Huaicheng Li and
                  Matias Bj{\o}rling and
                  Nikil D. Dutt},
  editor       = {Ali Anwar and
                  Ningfang Mi and
                  Vasily Tarasov and
                  Yiying Zhang},
  title        = {Is Garbage Collection Overhead Gone? Case study of {F2FS} on {ZNS}
                  SSDs},
  booktitle    = {Proceedings of the 15th {ACM/USENIX} Workshop on Hot Topics in Storage
                  and File Systems, HotStorage 2023, Boston, MA, USA, 9 July 2023},
  pages        = {102--108},
  publisher    = {{ACM}},
  year         = {2023},
  url          = {https://doi.org/10.1145/3599691.3603409},
  doi          = {10.1145/3599691.3603409},
  timestamp    = {Fri, 21 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hotstorage/SeoCLBD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lascas/SoaresISRDCPZ23,
  author       = {Renira Soares and
                  Matheus Isquierdo and
                  Felipe Sampaio and
                  Amir Rahmani and
                  Nikil D. Dutt and
                  Guilherme Corr{\^{e}}a and
                  Daniel Palomino and
                  Bruno Zatt},
  title        = {Error Resilience Evaluation of Approximate Storage in the Motion Compensation
                  of {VVC} Decoders},
  booktitle    = {14th {IEEE} Latin America Symposium on Circuits and System, {LASCAS}
                  2023, Quito, Ecuador, February 28 - March 3, 2023},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/LASCAS56464.2023.10108314},
  doi          = {10.1109/LASCAS56464.2023.10108314},
  timestamp    = {Wed, 17 May 2023 10:03:34 +0200},
  biburl       = {https://dblp.org/rec/conf/lascas/SoaresISRDCPZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/smartcomp/MehrotraVenkatDR23,
  author       = {Raghav Mehrotra{-}Venkat and
                  Nikil D. Dutt and
                  Julie Rousseau},
  title        = {Robust Detection of Social Isolation in Older Adults by Combining
                  Biometrics with Social Interaction Data},
  booktitle    = {2023 {IEEE} International Conference on Smart Computing, {SMARTCOMP}
                  2023, Nashville, TN, USA, June 26-30, 2023},
  pages        = {230--232},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SMARTCOMP58114.2023.00057},
  doi          = {10.1109/SMARTCOMP58114.2023.00057},
  timestamp    = {Fri, 08 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/smartcomp/MehrotraVenkatDR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2304-03257,
  author       = {Rajat Bhattacharjya and
                  Biswadip Maity and
                  Nikil D. Dutt},
  title        = {Locate: Low-Power Viterbi Decoder Exploration using Approximate Adders},
  journal      = {CoRR},
  volume       = {abs/2304.03257},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2304.03257},
  doi          = {10.48550/ARXIV.2304.03257},
  eprinttype    = {arXiv},
  eprint       = {2304.03257},
  timestamp    = {Tue, 18 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2304-03257.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2305-00111,
  author       = {Ali Tazarv and
                  Sina Labbaf and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Marco Levorato},
  title        = {Active Reinforcement Learning for Personalized Stress Monitoring in
                  Everyday Settings},
  journal      = {CoRR},
  volume       = {abs/2305.00111},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2305.00111},
  doi          = {10.48550/ARXIV.2305.00111},
  eprinttype    = {arXiv},
  eprint       = {2305.00111},
  timestamp    = {Thu, 04 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2305-00111.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2307-01905,
  author       = {Sina Labbaf and
                  Mahyar Abbasian and
                  Iman Azimi and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {ZotCare: {A} Flexible, Personalizable, and Affordable mHealth Service
                  Provider},
  journal      = {CoRR},
  volume       = {abs/2307.01905},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2307.01905},
  doi          = {10.48550/ARXIV.2307.01905},
  eprinttype    = {arXiv},
  eprint       = {2307.01905},
  timestamp    = {Mon, 10 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2307-01905.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2310-02398,
  author       = {Manoj Vishwanath and
                  Steven Cao and
                  Nikil D. Dutt and
                  Amir M. Rahmani and
                  Miranda M. Lim and
                  Hung Cao},
  title        = {Reducing Intraspecies and Interspecies Covariate Shift in Traumatic
                  Brain Injury {EEG} of Humans and Mice Using Transfer Euclidean Alignment},
  journal      = {CoRR},
  volume       = {abs/2310.02398},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2310.02398},
  doi          = {10.48550/ARXIV.2310.02398},
  eprinttype    = {arXiv},
  eprint       = {2310.02398},
  timestamp    = {Thu, 19 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2310-02398.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/ThanawalaND23,
  author       = {Neil Thanawala and
                  Hamid Nejatollahi and
                  Nikil D. Dutt},
  title        = {Accelerating Polynomial Multiplication for {RLWE} using Pipelined
                  {FFT}},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1815},
  year         = {2023},
  url          = {https://eprint.iacr.org/2023/1815},
  timestamp    = {Fri, 08 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/ThanawalaND23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fdgth/RahmaniLJAYRLADJB22,
  author       = {Amir M. Rahmani and
                  Jocelyn Lai and
                  Salar Jafarlou and
                  Iman Azimi and
                  Asal Yunusova and
                  Alex. P. Rivera and
                  Sina Labbaf and
                  Arman Anzanpour and
                  Nikil D. Dutt and
                  Ramesh C. Jain and
                  Jessica L. Borelli},
  title        = {Personal mental health navigator: Harnessing the power of data, personal
                  models, and health cybernetics to promote psychological well-being},
  journal      = {Frontiers Digit. Health},
  volume       = {4},
  year         = {2022},
  url          = {https://doi.org/10.3389/fdgth.2022.933587},
  doi          = {10.3389/FDGTH.2022.933587},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/fdgth/RahmaniLJAYRLADJB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/is/ShahhosseiniAAL22,
  author       = {Sina Shahhosseini and
                  Arman Anzanpour and
                  Iman Azimi and
                  Sina Labbaf and
                  Dongjoo Seo and
                  Sung{-}Soo Lim and
                  Pasi Liljeberg and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Exploring computation offloading in IoT systems},
  journal      = {Inf. Syst.},
  volume       = {107},
  pages        = {101860},
  year         = {2022},
  url          = {https://doi.org/10.1016/j.is.2021.101860},
  doi          = {10.1016/J.IS.2021.101860},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/is/ShahhosseiniAAL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ShahhosseiniSKHLDRD22,
  author       = {Sina Shahhosseini and
                  Dongjoo Seo and
                  Anil Kanduri and
                  Tianyi Hu and
                  Sung{-}Soo Lim and
                  Bryan Donyanavard and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Online Learning for Orchestration of Inference in Multi-user End-edge-cloud
                  Networks},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {21},
  number       = {6},
  pages        = {73:1--73:25},
  year         = {2022},
  url          = {https://doi.org/10.1145/3520129},
  doi          = {10.1145/3520129},
  timestamp    = {Wed, 21 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/ShahhosseiniSKHLDRD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/RamboDSMKMMSHKD22,
  author       = {Eberle A. Rambo and
                  Bryan Donyanavard and
                  Minjun Seo and
                  Florian Maurer and
                  Thawra Kadeed and
                  Caio Batista de Melo and
                  Biswadip Maity and
                  Anmol Surhonne and
                  Andreas Herkersdorf and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt and
                  Rolf Ernst},
  title        = {The Self-Aware Information Processing Factory Paradigm for Mixed-Critical
                  Multiprocessing},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {1},
  pages        = {250--266},
  year         = {2022},
  url          = {https://doi.org/10.1109/TETC.2020.3011663},
  doi          = {10.1109/TETC.2020.3011663},
  timestamp    = {Fri, 01 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/RamboDSMKMMSHKD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/TitirshaSDKDKC22,
  author       = {Twisha Titirsha and
                  Shihao Song and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {Endurance-Aware Mapping of Spiking Neural Networks to Neuromorphic
                  Hardware},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {33},
  number       = {2},
  pages        = {288--301},
  year         = {2022},
  url          = {https://doi.org/10.1109/TPDS.2021.3065591},
  doi          = {10.1109/TPDS.2021.3065591},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/TitirshaSDKDKC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/NaeiniSKLRD22,
  author       = {Emad Kasaeyan Naeini and
                  Sina Shahhosseini and
                  Anil Kanduri and
                  Pasi Liljeberg and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {{AMSER:} Adaptive Multimodal Sensing for Energy Efficient and Resilient
                  eHealth Systems},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {1455--1460},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774590},
  doi          = {10.23919/DATE54114.2022.9774590},
  timestamp    = {Wed, 25 May 2022 22:56:19 +0200},
  biburl       = {https://dblp.org/rec/conf/date/NaeiniSKLRD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/JafarlouRDM22,
  author       = {Salar Jafarlou and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Sanaz Rahimi Moosavi},
  title        = {{ECG} Biosignal Deidentification Using Conditional Generative Adversarial
                  Networks},
  booktitle    = {44th Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland,
                  United Kingdom, July 11-15, 2022},
  pages        = {1366--1370},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/EMBC48229.2022.9872015},
  doi          = {10.1109/EMBC48229.2022.9872015},
  timestamp    = {Wed, 06 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/embc/JafarlouRDM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/MehrabadiAZDR22,
  author       = {Milad Asgari Mehrabadi and
                  Seyed Amir Hossein Aqajari and
                  Amir Hosein Afandizadeh Zargari and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Novel Blood Pressure Waveform Reconstruction from Photoplethysmography
                  using Cycle Generative Adversarial Networks},
  booktitle    = {44th Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland,
                  United Kingdom, July 11-15, 2022},
  pages        = {1906--1909},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/EMBC48229.2022.9871962},
  doi          = {10.1109/EMBC48229.2022.9871962},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/embc/MehrabadiAZDR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/VishwanathDRLC22,
  author       = {Manoj Vishwanath and
                  Nikil D. Dutt and
                  Amir M. Rahmani and
                  Miranda M. Lim and
                  Hung Cao},
  title        = {Label Alignment Improves EEG-based Machine Learning-based Classification
                  of Traumatic Brain Injury},
  booktitle    = {44th Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland,
                  United Kingdom, July 11-15, 2022},
  pages        = {3546--3549},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/EMBC48229.2022.9871268},
  doi          = {10.1109/EMBC48229.2022.9871268},
  timestamp    = {Thu, 22 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/embc/VishwanathDRLC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShahhosseiniNNI22,
  author       = {Sina Shahhosseini and
                  Yang Ni and
                  Emad Kasaeyan Naeini and
                  Mohsen Imani and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  editor       = {Ioannis Savidis and
                  Avesta Sasan and
                  Himanshu Thapliyal and
                  Ronald F. DeMara},
  title        = {Flexible and Personalized Learning for Wearable Health Applications
                  using HyperDimensional Computing},
  booktitle    = {{GLSVLSI} '22: Great Lakes Symposium on {VLSI} 2022, Irvine {CA} USA,
                  June 6 - 8, 2022},
  pages        = {357--360},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3526241.3530373},
  doi          = {10.1145/3526241.3530373},
  timestamp    = {Fri, 03 Jun 2022 08:45:20 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShahhosseiniNNI22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/VoVSDC22,
  author       = {Khuong Vo and
                  Manoj Vishwanath and
                  Ramesh Srinivasan and
                  Nikil D. Dutt and
                  Hung Cao},
  title        = {Composing Graphical Models with Generative Adversarial Networks for
                  {EEG} Signal Modeling},
  booktitle    = {{IEEE} International Conference on Acoustics, Speech and Signal Processing,
                  {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022},
  pages        = {1231--1235},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICASSP43922.2022.9747783},
  doi          = {10.1109/ICASSP43922.2022.9747783},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/VoVSDC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/IssaSNHARDI22,
  author       = {Mariam Issa and
                  Sina Shahhosseini and
                  Yang Ni and
                  Tianyi Hu and
                  Danny Abraham and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Mohsen Imani},
  title        = {Hyperdimensional Hybrid Learning on End-Edge-Cloud Networks},
  booktitle    = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022,
                  Olympic Valley, CA, USA, October 23-26, 2022},
  pages        = {652--655},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICCD56317.2022.00100},
  doi          = {10.1109/ICCD56317.2022.00100},
  timestamp    = {Tue, 05 Dec 2023 14:45:33 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/IssaSNHARDI22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/NiedermeierCXDK22,
  author       = {Lars Niedermeier and
                  Kexin Chen and
                  Jinwei Xing and
                  Anup Das and
                  Jeffrey Kopsick and
                  Eric Scott and
                  Nate Sutton and
                  Killian Weber and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {CARLsim 6: An Open Source Library for Large-Scale, Biologically Detailed
                  Spiking Neural Network Simulation},
  booktitle    = {International Joint Conference on Neural Networks, {IJCNN} 2022, Padua,
                  Italy, July 18-23, 2022},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IJCNN55064.2022.9892644},
  doi          = {10.1109/IJCNN55064.2022.9892644},
  timestamp    = {Fri, 01 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ijcnn/NiedermeierCXDK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShahhosseiniHSK22,
  author       = {Sina Shahhosseini and
                  Tianyi Hu and
                  Dongjoo Seo and
                  Anil Kanduri and
                  Bryan Donyanavard and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Hybrid Learning for Orchestrating Deep Learning Inference in Multi-user
                  Edge-cloud Networks},
  booktitle    = {23rd International Symposium on Quality Electronic Design, {ISQED}
                  2022, Santa Clara, CA, USA, April 6-7, 2022},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISQED54688.2022.9806291},
  doi          = {10.1109/ISQED54688.2022.9806291},
  timestamp    = {Mon, 04 Jul 2022 17:06:19 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShahhosseiniHSK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nas/SeoMCYDD22,
  author       = {Dongjoo Seo and
                  Biswadip Maity and
                  Ping{-}Xiang Chen and
                  Dukyoung Yun and
                  Bryan Donyanavard and
                  Nikil D. Dutt},
  title        = {ProSwap: Period-aware Proactive Swapping to Maximize Embedded Application
                  Performance},
  booktitle    = {{IEEE} International Conference on Networking, Architecture and Storage,
                  {NAS} 2022, Philadelphia, PA, USA, October 3-4, 2022},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/NAS55553.2022.9925330},
  doi          = {10.1109/NAS55553.2022.9925330},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nas/SeoMCYDD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtss/JiYKASDK22,
  author       = {Mingoo Ji and
                  Saehanseul Yi and
                  Changjin Koo and
                  Sol Ahn and
                  Dongjoo Seo and
                  Nikil D. Dutt and
                  Jong{-}Chan Kim},
  title        = {Demand Layering for Real-Time {DNN} Inference with Minimized Memory
                  Usage},
  booktitle    = {{IEEE} Real-Time Systems Symposium, {RTSS} 2022, Houston, TX, USA,
                  December 5-8, 2022},
  pages        = {291--304},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/RTSS55097.2022.00033},
  doi          = {10.1109/RTSS55097.2022.00033},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtss/JiYKASDK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wowmom/AmiriTBLD22,
  author       = {Delaram Amiri and
                  Janne Takalo{-}Mattila and
                  Luca Bedogni and
                  Marco Levorato and
                  Nikil D. Dutt},
  title        = {{SIC-EDGE:} Semantic Iterative {ECG} Compression for Edge-Assisted
                  Wearable Systems},
  booktitle    = {23rd {IEEE} International Symposium on a World of Wireless, Mobile
                  and Multimedia Networks, WoWMoM 2022, Belfast, United Kingdom, June
                  14-17, 2022},
  pages        = {377--385},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/WoWMoM54355.2022.00036},
  doi          = {10.1109/WOWMOM54355.2022.00036},
  timestamp    = {Thu, 11 Aug 2022 16:32:04 +0200},
  biburl       = {https://dblp.org/rec/conf/wowmom/AmiriTBLD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2201-09976,
  author       = {Milad Asgari Mehrabadi and
                  Seyed Amir Hossein Aqajari and
                  Amir Hosein Afandizadeh Zargari and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Novel Blood Pressure Waveform Reconstruction from Photoplethysmography
                  using Cycle Generative Adversarial Networks},
  journal      = {CoRR},
  volume       = {abs/2201.09976},
  year         = {2022},
  url          = {https://arxiv.org/abs/2201.09976},
  eprinttype    = {arXiv},
  eprint       = {2201.09976},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2201-09976.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2201-11230,
  author       = {Salar Jafarlou and
                  Jocelyn Lai and
                  Zahra Mousavi and
                  Sina Labbaf and
                  Ramesh C. Jain and
                  Nikil D. Dutt and
                  Jessica L. Borelli and
                  Amir M. Rahmani},
  title        = {Objective Prediction of Tomorrow's Affect Using Multi-Modal Physiological
                  Data and Personal Chronicles: {A} Study of Monitoring College Student
                  Well-being in 2020},
  journal      = {CoRR},
  volume       = {abs/2201.11230},
  year         = {2022},
  url          = {https://arxiv.org/abs/2201.11230},
  eprinttype    = {arXiv},
  eprint       = {2201.11230},
  timestamp    = {Thu, 12 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2201-11230.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2202-10541,
  author       = {Sina Shahhosseini and
                  Dongjoo Seo and
                  Anil Kanduri and
                  Tianyi Hu and
                  Sung{-}Soo Lim and
                  Bryan Donyanavard and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Online Learning for Orchestration of Inference in Multi-User End-Edge-Cloud
                  Networks},
  journal      = {CoRR},
  volume       = {abs/2202.10541},
  year         = {2022},
  url          = {https://arxiv.org/abs/2202.10541},
  eprinttype    = {arXiv},
  eprint       = {2202.10541},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2202-10541.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2202-11098,
  author       = {Sina Shahhosseini and
                  Tianyi Hu and
                  Dongjoo Seo and
                  Anil Kanduri and
                  Bryan Donyanavard and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Hybrid Learning for Orchestrating Deep Learning Inference in Multi-user
                  Edge-cloud Networks},
  journal      = {CoRR},
  volume       = {abs/2202.11098},
  year         = {2022},
  url          = {https://arxiv.org/abs/2202.11098},
  eprinttype    = {arXiv},
  eprint       = {2202.11098},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2202-11098.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2208-01095,
  author       = {Sina Shahhosseini and
                  Yang Ni and
                  Hamidreza Alikhani and
                  Emad Kasaeyan Naeini and
                  Mohsen Imani and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Efficient Personalized Learning for Wearable Health Applications using
                  HyperDimensional Computing},
  journal      = {CoRR},
  volume       = {abs/2208.01095},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2208.01095},
  doi          = {10.48550/ARXIV.2208.01095},
  eprinttype    = {arXiv},
  eprint       = {2208.01095},
  timestamp    = {Tue, 09 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2208-01095.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2208-02597,
  author       = {Anil Kanduri and
                  Sina Shahhosseini and
                  Emad Kasaeyan Naeini and
                  Hamidreza Alikhani and
                  Pasi Liljeberg and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Edge-centric Optimization of Multi-modal ML-driven eHealth Applications},
  journal      = {CoRR},
  volume       = {abs/2208.02597},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2208.02597},
  doi          = {10.48550/ARXIV.2208.02597},
  eprinttype    = {arXiv},
  eprint       = {2208.02597},
  timestamp    = {Wed, 10 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2208-02597.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2210-04024,
  author       = {Mingoo Ji and
                  Saehanseul Yi and
                  Changjin Koo and
                  Sol Ahn and
                  Dongjoo Seo and
                  Nikil D. Dutt and
                  Jong{-}Chan Kim},
  title        = {Demand Layering for Real-Time {DNN} Inference with Minimized Memory
                  Usage},
  journal      = {CoRR},
  volume       = {abs/2210.04024},
  year         = {2022},
  url          = {https://doi.org/10.48550/arXiv.2210.04024},
  doi          = {10.48550/ARXIV.2210.04024},
  eprinttype    = {arXiv},
  eprint       = {2210.04024},
  timestamp    = {Wed, 12 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2210-04024.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/ZhangSDDK21,
  author       = {Tianyi Zhang and
                  Minjun Seo and
                  Bryan Donyanavard and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  title        = {Predicting Failures in Embedded Systems Using Long Short-Term Inference},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {13},
  number       = {3},
  pages        = {85--89},
  year         = {2021},
  url          = {https://doi.org/10.1109/LES.2020.3007361},
  doi          = {10.1109/LES.2020.3007361},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/ZhangSDDK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/BalajiSDKDSKC21,
  author       = {Adarsha Balaji and
                  Shihao Song and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  James A. Shackleford and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {Enabling Resource-Aware Mapping of Spiking Neural Networks via Spatial
                  Decomposition},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {13},
  number       = {3},
  pages        = {142--145},
  year         = {2021},
  url          = {https://doi.org/10.1109/LES.2020.3025873},
  doi          = {10.1109/LES.2020.3025873},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esl/BalajiSDKDSKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/SongHBDKDKC21,
  author       = {Shihao Song and
                  Jui Hanamshet and
                  Adarsha Balaji and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {Dynamic Reliability Management in Neuromorphic Computing},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {17},
  number       = {4},
  pages        = {63:1--63:27},
  year         = {2021},
  url          = {https://doi.org/10.1145/3462330},
  doi          = {10.1145/3462330},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jetc/SongHBDKDKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/SarhaddiALNDALR21,
  author       = {Fatemeh Sarhaddi and
                  Iman Azimi and
                  Sina Labbaf and
                  Hannakaisa Niela{-}Vil{\'{e}}n and
                  Nikil D. Dutt and
                  Anna Axelin and
                  Pasi Liljeberg and
                  Amir M. Rahmani},
  title        = {Long-Term IoT-Based Maternal Monitoring: System Design and Evaluation},
  journal      = {Sensors},
  volume       = {21},
  number       = {7},
  pages        = {2281},
  year         = {2021},
  url          = {https://doi.org/10.3390/s21072281},
  doi          = {10.3390/S21072281},
  timestamp    = {Sun, 16 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sensors/SarhaddiALNDALR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/MaityDSRHD21,
  author       = {Biswadip Maity and
                  Bryan Donyanavard and
                  Anmol Surhonne and
                  Amir M. Rahmani and
                  Andreas Herkersdorf and
                  Nikil D. Dutt},
  title        = {{SEAMS:} Self-Optimizing Runtime Manager for Approximate Memory Hierarchies},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {20},
  number       = {5},
  pages        = {48:1--48:26},
  year         = {2021},
  url          = {https://doi.org/10.1145/3466875},
  doi          = {10.1145/3466875},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/MaityDSRHD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/MaityYSCLKDD21,
  author       = {Biswadip Maity and
                  Saehanseul Yi and
                  Dongjoo Seo and
                  Leming Cheng and
                  Sung{-}Soo Lim and
                  Jong{-}Chan Kim and
                  Bryan Donyanavard and
                  Nikil D. Dutt},
  title        = {Chauffeur: Benchmark Suite for Design and End-to-End Analysis of Self-Driving
                  Vehicles on Embedded Systems},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {20},
  number       = {5s},
  pages        = {74:1--74:22},
  year         = {2021},
  url          = {https://doi.org/10.1145/3477005},
  doi          = {10.1145/3477005},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/MaityYSCLKDD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ParkDL21,
  author       = {Jurn{-}Gyu Park and
                  Nikil D. Dutt and
                  Sung{-}Soo Lim},
  title        = {An Interpretable Machine Learning Model Enhanced Integrated {CPU-GPU}
                  {DVFS} Governor},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {20},
  number       = {6},
  pages        = {108:1--108:28},
  year         = {2021},
  url          = {https://doi.org/10.1145/3470974},
  doi          = {10.1145/3470974},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/ParkDL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/NejatollahiSCD21,
  author       = {Hamid Nejatollahi and
                  Sina Shahhosseini and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {Exploring Energy Efficient Architectures for {RLWE} Lattice-Based
                  Cryptography},
  journal      = {J. Signal Process. Syst.},
  volume       = {93},
  number       = {10},
  pages        = {1139--1148},
  year         = {2021},
  url          = {https://doi.org/10.1007/s11265-020-01627-x},
  doi          = {10.1007/S11265-020-01627-X},
  timestamp    = {Wed, 03 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsisp/NejatollahiSCD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aicas/ShahhosseiniKMN21,
  author       = {Sina Shahhosseini and
                  Anil Kanduri and
                  Milad Asgari Mehrabadi and
                  Emad Kasaeyan Naeini and
                  Dongjoo Seo and
                  Sung{-}Soo Lim and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Towards Smart and Efficient Health Monitoring Using Edge-enabled Situational-awareness},
  booktitle    = {3rd {IEEE} International Conference on Artificial Intelligence Circuits
                  and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/AICAS51828.2021.9458477},
  doi          = {10.1109/AICAS51828.2021.9458477},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aicas/ShahhosseiniKMN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ant/AqajariNMLDR21,
  author       = {Seyed Amir Hossein Aqajari and
                  Emad Kasaeyan Naeini and
                  Milad Asgari Mehrabadi and
                  Sina Labbaf and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  editor       = {Elhadi M. Shakshuki and
                  Ansar{-}Ul{-}Haque Yasar},
  title        = {pyEDA: An Open-Source Python Toolkit for Pre-processing and Feature
                  Extraction of Electrodermal Activity},
  booktitle    = {The 12th International Conference on Ambient Systems, Networks and
                  Technologies {(ANT} 2021) / The 4th International Conference on Emerging
                  Data and Industry 4.0 {(EDI40} 2021) / Affiliated Workshops, March
                  23-26, 2021, Warsaw, Poland},
  series       = {Procedia Computer Science},
  volume       = {184},
  pages        = {99--106},
  publisher    = {Elsevier},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.procs.2021.03.021},
  doi          = {10.1016/J.PROCS.2021.03.021},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ant/AqajariNMLDR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/birthday/DonyanavardMMMM21,
  author       = {Bryan Donyanavard and
                  Tiago M{\"{u}}ck and
                  Kasra Moazzemi and
                  Biswadip Maity and
                  Caio Batista de Melo and
                  Kenneth Michael Stewart and
                  Saehanseul Yi and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  editor       = {Jian{-}Jia Chen},
  title        = {Reflecting on Self-Aware Systems-on-Chip},
  booktitle    = {A Journey of Embedded and Cyber-Physical Systems - Essays Dedicated
                  to Peter Marwedel on the Occasion of His 70th Birthday},
  pages        = {79--95},
  publisher    = {Springer},
  year         = {2021},
  url          = {https://doi.org/10.1007/978-3-030-47487-4\_6},
  doi          = {10.1007/978-3-030-47487-4\_6},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/birthday/DonyanavardMMMM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/MehrabadiAADDR21,
  author       = {Milad Asgari Mehrabadi and
                  Seyed Amir Hossein Aqajari and
                  Iman Azimi and
                  Charles A. Downs and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Detection of {COVID-19} Using Heart Rate and Blood Pressure: Lessons
                  Learned from Patients with {ARDS}},
  booktitle    = {43rd Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5,
                  2021},
  pages        = {2140--2143},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/EMBC46164.2021.9629794},
  doi          = {10.1109/EMBC46164.2021.9629794},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/embc/MehrabadiAADDR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/VishwanathJSDRJ21,
  author       = {Manoj Vishwanath and
                  Salar Jafarlou and
                  Ikhwan Shin and
                  Nikil D. Dutt and
                  Amir M. Rahmani and
                  Carolyn E. Jones and
                  Miranda M. Lim and
                  Hung Cao},
  title        = {Investigation of Machine Learning and Deep Learning Approaches for
                  Detection of Mild Traumatic Brain Injury from Human Sleep Electroencephalogram},
  booktitle    = {43rd Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5,
                  2021},
  pages        = {6134--6137},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/EMBC46164.2021.9630423},
  doi          = {10.1109/EMBC46164.2021.9630423},
  timestamp    = {Wed, 22 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/embc/VishwanathJSDRJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/TazarvLRDRL21,
  author       = {Ali Tazarv and
                  Sina Labbaf and
                  Stephanie M. Reich and
                  Nikil D. Dutt and
                  Amir M. Rahmani and
                  Marco Levorato},
  title        = {Personalized Stress Monitoring using Wearable Sensors in Everyday
                  Settings},
  booktitle    = {43rd Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5,
                  2021},
  pages        = {7332--7335},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/EMBC46164.2021.9630224},
  doi          = {10.1109/EMBC46164.2021.9630224},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/embc/TazarvLRDRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/goodit/TazarvLRDL21,
  author       = {Ali Tazarv and
                  Sina Labbaf and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Marco Levorato},
  editor       = {Ombretta Gaggi and
                  Pietro Manzoni and
                  Claudio E. Palazzi},
  title        = {Data Collection and Labeling of Real-Time IoT-Enabled Bio-Signals
                  in Everyday Settings for Mental Health Improvement},
  booktitle    = {GoodIT '21: Conference on Information Technology for Social Good,
                  Roma, Italy, September 9-11, 2021},
  pages        = {186--191},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3462203.3475918},
  doi          = {10.1145/3462203.3475918},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/goodit/TazarvLRDL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icons2/BalajiST0KDSKC21,
  author       = {Adarsha Balaji and
                  Shihao Song and
                  Twisha Titirsha and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  James A. Shackleford and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  editor       = {Thomas E. Potok and
                  Melika Payvand and
                  Catherine D. Schuman and
                  Prasanna Date and
                  Mutsumi Kimura and
                  Cory E. Merkel and
                  Brad Aimone and
                  Sonia M. Buckley and
                  Yiran Chen and
                  Gregory Cohen and
                  Todd Hylton and
                  Robert M. Patton and
                  Robinson E. Pino and
                  Garrett S. Rose},
  title        = {NeuroXplorer 1.0: An Extensible Framework for Architectural Exploration
                  with Spiking Neural Networks},
  booktitle    = {{ICONS} 2021: International Conference on Neuromorphic Systems 2021,
                  Knoxville, TN, USA, July 27-29, 2021},
  pages        = {10:1--10:9},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3477145.3477156},
  doi          = {10.1145/3477145.3477156},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icons2/BalajiST0KDSKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isorc/YiKKD21,
  author       = {Saehanseul Yi and
                  Tae{-}Wook Kim and
                  Jong{-}Chan Kim and
                  Nikil D. Dutt},
  title        = {Energy-Efficient Adaptive System Reconfiguration for Dynamic Deadlines
                  in Autonomous Driving},
  booktitle    = {24th {IEEE} International Symposium on Real-Time Distributed Computing,
                  {ISORC} 2021, Daegu, South Korea, June 1-3, 2021},
  pages        = {96--104},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISORC52013.2021.00023},
  doi          = {10.1109/ISORC52013.2021.00023},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isorc/YiKKD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sac/VoNNJRDC21,
  author       = {Khuong Vo and
                  Emad Kasaeyan Naeini and
                  Amir Naderi and
                  Daniel Jilani and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Hung Cao},
  editor       = {Chih{-}Cheng Hung and
                  Jiman Hong and
                  Alessio Bechini and
                  Eunjee Song},
  title        = {{P2E-WGAN:} {ECG} waveform synthesis from {PPG} with conditional wasserstein
                  generative adversarial networks},
  booktitle    = {{SAC} '21: The 36th {ACM/SIGAPP} Symposium on Applied Computing, Virtual
                  Event, Republic of Korea, March 22-26, 2021},
  pages        = {1030--1036},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3412841.3441979},
  doi          = {10.1145/3412841.3441979},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sac/VoNNJRDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2103-05707,
  author       = {Twisha Titirsha and
                  Shihao Song and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {Endurance-Aware Mapping of Spiking Neural Networks to Neuromorphic
                  Hardware},
  journal      = {CoRR},
  volume       = {abs/2103.05707},
  year         = {2021},
  url          = {https://arxiv.org/abs/2103.05707},
  eprinttype    = {arXiv},
  eprint       = {2103.05707},
  timestamp    = {Tue, 16 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2103-05707.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2105-01795,
  author       = {Adarsha Balaji and
                  Shihao Song and
                  Twisha Titirsha and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  James A. Shackleford and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {NeuroXplorer 1.0: An Extensible Framework for Architectural Exploration
                  with Spiking Neural Networks},
  journal      = {CoRR},
  volume       = {abs/2105.01795},
  year         = {2021},
  url          = {https://arxiv.org/abs/2105.01795},
  eprinttype    = {arXiv},
  eprint       = {2105.01795},
  timestamp    = {Wed, 12 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2105-01795.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2105-02038,
  author       = {Shihao Song and
                  Jui Hanamshet and
                  Adarsha Balaji and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {Dynamic Reliability Management in Neuromorphic Computing},
  journal      = {CoRR},
  volume       = {abs/2105.02038},
  year         = {2021},
  url          = {https://arxiv.org/abs/2105.02038},
  eprinttype    = {arXiv},
  eprint       = {2105.02038},
  timestamp    = {Fri, 14 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2105-02038.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2106-04508,
  author       = {Saehanseul Yi and
                  Tae{-}Wook Kim and
                  Jong{-}Chan Kim and
                  Nikil D. Dutt},
  title        = {Energy-Efficient Adaptive System Reconfiguration for Dynamic Deadlines
                  in Autonomous Driving},
  journal      = {CoRR},
  volume       = {abs/2106.04508},
  year         = {2021},
  url          = {https://arxiv.org/abs/2106.04508},
  eprinttype    = {arXiv},
  eprint       = {2106.04508},
  timestamp    = {Fri, 11 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2106-04508.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2107-11417,
  author       = {Tiago M{\"{u}}ck and
                  Bryan Donyanavard and
                  Biswadip Maity and
                  Kasra Moazzemi and
                  Nikil D. Dutt},
  title        = {{MARS:} Middleware for Adaptive Reflective Computer Systems},
  journal      = {CoRR},
  volume       = {abs/2107.11417},
  year         = {2021},
  url          = {https://arxiv.org/abs/2107.11417},
  eprinttype    = {arXiv},
  eprint       = {2107.11417},
  timestamp    = {Thu, 29 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2107-11417.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2108-00144,
  author       = {Ali Tazarv and
                  Sina Labbaf and
                  Stephanie M. Reich and
                  Nikil D. Dutt and
                  Amir M. Rahmani and
                  Marco Levorato},
  title        = {Personalized Stress Monitoring using Wearable Sensors in Everyday
                  Settings},
  journal      = {CoRR},
  volume       = {abs/2108.00144},
  year         = {2021},
  url          = {https://arxiv.org/abs/2108.00144},
  eprinttype    = {arXiv},
  eprint       = {2108.00144},
  timestamp    = {Thu, 05 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2108-00144.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2108-01169,
  author       = {Ali Tazarv and
                  Sina Labbaf and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Marco Levorato},
  title        = {Data Collection and Labeling of Real-Time IoT-Enabled Bio-Signals
                  in Everyday Settings for Mental Health Improvement},
  journal      = {CoRR},
  volume       = {abs/2108.01169},
  year         = {2021},
  url          = {https://arxiv.org/abs/2108.01169},
  eprinttype    = {arXiv},
  eprint       = {2108.01169},
  timestamp    = {Thu, 05 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2108-01169.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2112-08176,
  author       = {Emad Kasaeyan Naeini and
                  Sina Shahhosseini and
                  Anil Kanduri and
                  Pasi Liljeberg and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {{AMSER:} Adaptive Multi-modal Sensing for Energy Efficient and Resilient
                  eHealth Systems},
  journal      = {CoRR},
  volume       = {abs/2112.08176},
  year         = {2021},
  url          = {https://arxiv.org/abs/2112.08176},
  eprinttype    = {arXiv},
  eprint       = {2112.08176},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2112-08176.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/LeELVKKDC20,
  author       = {Tai Le and
                  Floranne Ellington and
                  Tao{-}Yi Lee and
                  Khuong Vo and
                  Michelle Khine and
                  Sandeep Kumar Krishnan and
                  Nikil D. Dutt and
                  Hung Cao},
  title        = {Continuous Non-Invasive Blood Pressure Monitoring: {A} Methodological
                  Review on Measurement Techniques},
  journal      = {{IEEE} Access},
  volume       = {8},
  pages        = {212478--212498},
  year         = {2020},
  url          = {https://doi.org/10.1109/ACCESS.2020.3040257},
  doi          = {10.1109/ACCESS.2020.3040257},
  timestamp    = {Thu, 17 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/LeELVKKDC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/MaitySRD20,
  author       = {Biswadip Maity and
                  Majid Shoushtari and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Self-Adaptive Memory Approximation: {A} Formal Control Theory Approach},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {12},
  number       = {2},
  pages        = {33--36},
  year         = {2020},
  url          = {https://doi.org/10.1109/LES.2019.2941018},
  doi          = {10.1109/LES.2019.2941018},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/MaitySRD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/health/AmiriAALLDR20,
  author       = {Delaram Amiri and
                  Arman Anzanpour and
                  Iman Azimi and
                  Marco Levorato and
                  Pasi Liljeberg and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Context-Aware Sensing via Dynamic Programming for Edge-Assisted Wearable
                  Systems},
  journal      = {{ACM} Trans. Comput. Heal.},
  volume       = {1},
  number       = {2},
  pages        = {7:1--7:25},
  year         = {2020},
  url          = {https://doi.org/10.1145/3351286},
  doi          = {10.1145/3351286},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/health/AmiriAALLDR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/DuttRRY20,
  author       = {Nikil D. Dutt and
                  Carlo S. Regazzoni and
                  Bernhard Rinner and
                  Xin Yao},
  title        = {Self-Awareness for Autonomous Systems},
  journal      = {Proc. {IEEE}},
  volume       = {108},
  number       = {7},
  pages        = {971--975},
  year         = {2020},
  url          = {https://doi.org/10.1109/JPROC.2020.2990784},
  doi          = {10.1109/JPROC.2020.2990784},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/DuttRRY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/HoffmannJD20,
  author       = {Henry Hoffmann and
                  Axel Jantsch and
                  Nikil D. Dutt},
  title        = {Embodied Self-Aware Computing Systems},
  journal      = {Proc. {IEEE}},
  volume       = {108},
  number       = {7},
  pages        = {1027--1046},
  year         = {2020},
  url          = {https://doi.org/10.1109/JPROC.2020.2977054},
  doi          = {10.1109/JPROC.2020.2977054},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pieee/HoffmannJD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/VishwanathJSLDR20,
  author       = {Manoj Vishwanath and
                  Salar Jafarlou and
                  Ikhwan Shin and
                  Miranda M. Lim and
                  Nikil D. Dutt and
                  Amir M. Rahmani and
                  Hung Cao},
  title        = {Investigation of Machine Learning Approaches for Traumatic Brain Injury
                  Classification via {EEG} Assessment in Mice},
  journal      = {Sensors},
  volume       = {20},
  number       = {7},
  pages        = {2027},
  year         = {2020},
  url          = {https://doi.org/10.3390/s20072027},
  doi          = {10.3390/S20072027},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sensors/VishwanathJSLDR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/VoLRDC20,
  author       = {Khuong Vo and
                  Tai Le and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Hung Cao},
  title        = {An Efficient and Robust Deep Learning Method with 1-D Octave Convolution
                  to Extract Fetal Electrocardiogram},
  journal      = {Sensors},
  volume       = {20},
  number       = {13},
  pages        = {3757},
  year         = {2020},
  url          = {https://doi.org/10.3390/s20133757},
  doi          = {10.3390/S20133757},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sensors/VoLRDC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MonazzahRMD20,
  author       = {Amir Mahdi Hosseini Monazzah and
                  Amir M. Rahmani and
                  Antonio Miele and
                  Nikil D. Dutt},
  title        = {{CAST:} Content-Aware {STT-MRAM} Cache Write Management for Different
                  Levels of Approximation},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {12},
  pages        = {4385--4398},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.2986320},
  doi          = {10.1109/TCAD.2020.2986320},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/MonazzahRMD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PeroniINDR20,
  author       = {Daniel Peroni and
                  Mohsen Imani and
                  Hamid Nejatollahi and
                  Nikil D. Dutt and
                  Tajana Rosing},
  title        = {Data Reuse for Accelerated Approximate Warps},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {12},
  pages        = {4623--4634},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2020.2986128},
  doi          = {10.1109/TCAD.2020.2986128},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/PeroniINDR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcps/JantschLD20,
  author       = {Axel Jantsch and
                  Peter R. Lewis and
                  Nikil D. Dutt},
  title        = {Introduction to the Special Issue on Self-Aware Cyber-physical Systems},
  journal      = {{ACM} Trans. Cyber Phys. Syst.},
  volume       = {4},
  number       = {4},
  pages        = {37:1--37:2},
  year         = {2020},
  url          = {https://doi.org/10.1145/3397266},
  doi          = {10.1145/3397266},
  timestamp    = {Wed, 19 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcps/JantschLD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcps/BellmanLDEHJTLP20,
  author       = {Kirstie L. Bellman and
                  Christopher Landauer and
                  Nikil D. Dutt and
                  Lukas Esterle and
                  Andreas Herkersdorf and
                  Axel Jantsch and
                  Nima Taherinejad and
                  Peter R. Lewis and
                  Marco Platzner and
                  Kalle Tammem{\"{a}}e},
  title        = {Self-aware Cyber-Physical Systems},
  journal      = {{ACM} Trans. Cyber Phys. Syst.},
  volume       = {4},
  number       = {4},
  pages        = {38:1--38:26},
  year         = {2020},
  url          = {https://doi.org/10.1145/3375716},
  doi          = {10.1145/3375716},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcps/BellmanLDEHJTLP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/NejatollahiVBRC20,
  author       = {Hamid Nejatollahi and
                  Felipe Valencia and
                  Subhadeep Banik and
                  Francesco Regazzoni and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {Synthesis of Flexible Accelerators for Early Adoption of Ring-LWE
                  Post-quantum Cryptography},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {19},
  number       = {2},
  pages        = {11:1--11:17},
  year         = {2020},
  url          = {https://doi.org/10.1145/3378164},
  doi          = {10.1145/3378164},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/NejatollahiVBRC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tiot/AnzanpourAALDLR20,
  author       = {Arman Anzanpour and
                  Delaram Amiri and
                  Iman Azimi and
                  Marco Levorato and
                  Nikil D. Dutt and
                  Pasi Liljeberg and
                  Amir M. Rahmani},
  title        = {Edge-Assisted Control for Healthcare Internet of Things: {A} Case
                  Study on PPG-Based Early Warning Score},
  journal      = {{ACM} Trans. Internet Things},
  volume       = {2},
  number       = {1},
  pages        = {1:1--1:21},
  year         = {2020},
  url          = {https://doi.org/10.1145/3407091},
  doi          = {10.1145/3407091},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tiot/AnzanpourAALDLR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BalajiCDWHDIKDS20,
  author       = {Adarsha Balaji and
                  Francky Catthoor and
                  Anup Das and
                  Yuefeng Wu and
                  Khanh Huynh and
                  Francesco Dell'Anna and
                  Giacomo Indiveri and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Siebren Schaafsma},
  title        = {Mapping Spiking Neural Networks to Neuromorphic Hardware},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {1},
  pages        = {76--86},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2951493},
  doi          = {10.1109/TVLSI.2019.2951493},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BalajiCDWHDIKDS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/chi/WilliamsHGRD20,
  author       = {Lucretia Williams and
                  Gillian R. Hayes and
                  Yuqing Guo and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  editor       = {Regina Bernhaupt and
                  Florian 'Floyd' Mueller and
                  David Verweij and
                  Josh Andres and
                  Joanna McGrenere and
                  Andy Cockburn and
                  Ignacio Avellino and
                  Alix Goguey and
                  Pernille Bj{\o}n and
                  Shengdong Zhao and
                  Briane Paul Samson and
                  Rafal Kocielnik},
  title        = {{HCI} and mHealth Wearable Tech: {A} Multidisciplinary Research Challenge},
  booktitle    = {Extended Abstracts of the 2020 {CHI} Conference on Human Factors in
                  Computing Systems, {CHI} 2020, Honolulu, HI, USA, April 25-30, 2020},
  pages        = {1--7},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3334480.3375223},
  doi          = {10.1145/3334480.3375223},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/chi/WilliamsHGRD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/coins/SeoSMDLRD20,
  author       = {Dongjoo Seo and
                  Sina Shahhosseini and
                  Milad Asgari Mehrabadi and
                  Bryan Donyanavard and
                  Sung{-}Soo Lim and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Dynamic iFogSim: {A} Framework for Full-Stack Simulation of Dynamic
                  Resource Management in IoT Systems},
  booktitle    = {2020 International Conference on Omni-layer Intelligent Systems, {COINS}
                  2020, Barcelona, Spain, August 31 - September 2, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/COINS49042.2020.9191663},
  doi          = {10.1109/COINS49042.2020.9191663},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/coins/SeoSMDLRD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/NejatollahiGIRC20,
  author       = {Hamid Nejatollahi and
                  Saransh Gupta and
                  Mohsen Imani and
                  Tajana Simunic Rosing and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic
                  Hardware},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218730},
  doi          = {10.1109/DAC18072.2020.9218730},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/NejatollahiGIRC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MaurerDRDH20,
  author       = {Florian Maurer and
                  Bryan Donyanavard and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Andreas Herkersdorf},
  title        = {Emergent Control of MPSoC Operation by a Hierarchical Supervisor /
                  Reinforcement Learning Approach},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1562--1567},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116574},
  doi          = {10.23919/DATE48585.2020.9116574},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MaurerDRDH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/embc/VishwanathJSDRL20,
  author       = {Manoj Vishwanath and
                  Salar Jafarlou and
                  Ikhwan Shin and
                  Nikil D. Dutt and
                  Amir M. Rahmani and
                  Miranda M. Lim and
                  Hung Cao},
  title        = {Classification of Electroencephalogram in a Mouse Model of Traumatic
                  Brain Injury Using Machine Learning Approaches\({}^{\mbox{*}}\)},
  booktitle    = {42nd Annual International Conference of the {IEEE} Engineering in
                  Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada,
                  July 20-24, 2020},
  pages        = {3335--3338},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/EMBC44109.2020.9175915},
  doi          = {10.1109/EMBC44109.2020.9175915},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/embc/VishwanathJSDRL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/MaityDD20,
  author       = {Biswadip Maity and
                  Bryan Donyanavard and
                  Nikil D. Dutt},
  title        = {Self-aware Memory Management for Emerging Energy-efficient Architectures},
  booktitle    = {11th International Green and Sustainable Computing Workshops, {IGSC}
                  2020 Workshops, Pullman, WA, USA, October 19-22, 2020},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/IGSC51522.2020.9291086},
  doi          = {10.1109/IGSC51522.2020.9291086},
  timestamp    = {Thu, 29 Jul 2021 15:01:41 +0200},
  biburl       = {https://dblp.org/rec/conf/green/MaityDD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/NejatollahiSCD20,
  author       = {Hamid Nejatollahi and
                  Sina Shahhosseini and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {Exploring Energy Efficient Quantum-resistant Signal Processing Using
                  Array Processors},
  booktitle    = {2020 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020},
  pages        = {1539--1543},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICASSP40776.2020.9053653},
  doi          = {10.1109/ICASSP40776.2020.9053653},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/NejatollahiSCD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/BalajiAK0KDC20,
  author       = {Adarsha Balaji and
                  Prathyusha Adiraju and
                  Hirak Jyoti Kashyap and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Francky Catthoor},
  title        = {PyCARL: {A} PyNN Interface for Hardware-Software Co-Simulation of
                  Spiking Neural Network},
  booktitle    = {2020 International Joint Conference on Neural Networks, {IJCNN} 2020,
                  Glasgow, United Kingdom, July 19-24, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/IJCNN48605.2020.9207142},
  doi          = {10.1109/IJCNN48605.2020.9207142},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ijcnn/BalajiAK0KDC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeVBKD20,
  author       = {Tao{-}Yi Lee and
                  Khuong Vo and
                  Wongi Baek and
                  Michelle Khine and
                  Nikil D. Dutt},
  editor       = {David Atienza Alonso and
                  Qinru Qiu and
                  Sherief Reda and
                  Yiran Chen},
  title        = {{STINT:} selective transmission for low-energy physiological monitoring},
  booktitle    = {{ISLPED} '20: {ACM/IEEE} International Symposium on Low Power Electronics
                  and Design, Boston, Massachusetts, August 10-12, 2020},
  pages        = {115--120},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3370748.3406563},
  doi          = {10.1145/3370748.3406563},
  timestamp    = {Tue, 05 Jul 2022 15:18:16 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeVBKD20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtss/JangJKDK20,
  author       = {Wonseok Jang and
                  Hansaem Jeong and
                  Kyungtae Kang and
                  Nikil D. Dutt and
                  Jong{-}Chan Kim},
  title        = {{R-TOD:} Real-Time Object Detector with Minimized End-to-End Delay
                  for Autonomous Driving},
  booktitle    = {41st {IEEE} Real-Time Systems Symposium, {RTSS} 2020, Houston, TX,
                  USA, December 1-4, 2020},
  pages        = {191--204},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/RTSS49844.2020.00027},
  doi          = {10.1109/RTSS49844.2020.00027},
  timestamp    = {Fri, 11 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rtss/JangJKDK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sac/LaitalaJSNARDL20,
  author       = {Juho Laitala and
                  Mingzhe Jiang and
                  Elise Syrj{\"{a}}l{\"{a}} and
                  Emad Kasaeyan Naeini and
                  Antti Airola and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Pasi Liljeberg},
  editor       = {Chih{-}Cheng Hung and
                  Tom{\'{a}}s Cern{\'{y}} and
                  Dongwan Shin and
                  Alessio Bechini},
  title        = {Robust {ECG} R-peak detection using {LSTM}},
  booktitle    = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online
                  event, [Brno, Czech Republic], March 30 - April 3, 2020},
  pages        = {1104--1111},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3341105.3373945},
  doi          = {10.1145/3341105.3373945},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sac/LaitalaJSNARDL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2003-09696,
  author       = {Adarsha Balaji and
                  Prathyusha Adiraju and
                  Hirak Jyoti Kashyap and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Francky Catthoor},
  title        = {PyCARL: {A} PyNN Interface for Hardware-Software Co-Simulation of
                  Spiking Neural Network},
  journal      = {CoRR},
  volume       = {abs/2003.09696},
  year         = {2020},
  url          = {https://arxiv.org/abs/2003.09696},
  eprinttype    = {arXiv},
  eprint       = {2003.09696},
  timestamp    = {Wed, 08 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2003-09696.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2005-01834,
  author       = {Seyed Amir Hossein Aqajari and
                  Emad Kasaeyan Naeini and
                  Milad Asgari Mehrabadi and
                  Sina Labbaf and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {{GSR} Analysis for Stress: Development and Validation of an Open Source
                  Tool for Noisy Naturalistic {GSR} Data},
  journal      = {CoRR},
  volume       = {abs/2005.01834},
  year         = {2020},
  url          = {https://arxiv.org/abs/2005.01834},
  eprinttype    = {arXiv},
  eprint       = {2005.01834},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2005-01834.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2007-13255,
  author       = {Milad Asgari Mehrabadi and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {The Causality Inference of Public Interest in Restaurants and Bars
                  on {COVID-19} Daily Cases in the {US:} {A} Google Trends Analysis},
  journal      = {CoRR},
  volume       = {abs/2007.13255},
  year         = {2020},
  url          = {https://arxiv.org/abs/2007.13255},
  eprinttype    = {arXiv},
  eprint       = {2007.13255},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2007-13255.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2008-00095,
  author       = {Bryan Donyanavard and
                  Amir M. Rahmani and
                  Axel Jantsch and
                  Onur Mutlu and
                  Nikil D. Dutt},
  title        = {Intelligent Management of Mobile Systems through Computational Self-Awareness},
  journal      = {CoRR},
  volume       = {abs/2008.00095},
  year         = {2020},
  url          = {https://arxiv.org/abs/2008.00095},
  eprinttype    = {arXiv},
  eprint       = {2008.00095},
  timestamp    = {Fri, 07 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2008-00095.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2008-04449,
  author       = {Rosario Cammarota and
                  Matthias Schunter and
                  Anand Rajan and
                  Fabian Boemer and
                  {\'{A}}gnes Kiss and
                  Amos Treiber and
                  Christian Weinert and
                  Thomas Schneider and
                  Emmanuel Stapf and
                  Ahmad{-}Reza Sadeghi and
                  Daniel Demmler and
                  Huili Chen and
                  Siam Umar Hussain and
                  M. Sadegh Riazi and
                  Farinaz Koushanfar and
                  Saransh Gupta and
                  Tajana Simunic Rosing and
                  Kamalika Chaudhuri and
                  Hamid Nejatollahi and
                  Nikil D. Dutt and
                  Mohsen Imani and
                  Kim Laine and
                  Anuj Dubey and
                  Aydin Aysu and
                  Fateme Sadat Hosseini and
                  Chengmo Yang and
                  Eric Wallace and
                  Pamela Norton},
  title        = {Trustworthy {AI} Inference Systems: An Industry Research View},
  journal      = {CoRR},
  volume       = {abs/2008.04449},
  year         = {2020},
  url          = {https://arxiv.org/abs/2008.04449},
  eprinttype    = {arXiv},
  eprint       = {2008.04449},
  timestamp    = {Sat, 23 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2008-04449.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2009-09298,
  author       = {Adarsha Balaji and
                  Shihao Song and
                  Anup Das and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  James A. Shackleford and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {Enabling Resource-Aware Mapping of Spiking Neural Networks via Spatial
                  Decomposition},
  journal      = {CoRR},
  volume       = {abs/2009.09298},
  year         = {2020},
  url          = {https://arxiv.org/abs/2009.09298},
  eprinttype    = {arXiv},
  eprint       = {2009.09298},
  timestamp    = {Wed, 23 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2009-09298.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-06372,
  author       = {Wonseok Jang and
                  Hansaem Jeong and
                  Kyungtae Kang and
                  Nikil D. Dutt and
                  Jong{-}Chan Kim},
  title        = {{R-TOD:} Real-Time Object Detector with Minimized End-to-End Delay
                  for Autonomous Driving},
  journal      = {CoRR},
  volume       = {abs/2011.06372},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.06372},
  eprinttype    = {arXiv},
  eprint       = {2011.06372},
  timestamp    = {Fri, 11 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-06372.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-08353,
  author       = {Biswadip Maity and
                  Bryan Donyanavard and
                  Anmol Surhonne and
                  Amir M. Rahmani and
                  Andreas Herkersdorf and
                  Nikil D. Dutt},
  title        = {{AXES:} Approximation Manager for Emerging Memory Architectures},
  journal      = {CoRR},
  volume       = {abs/2011.08353},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.08353},
  eprinttype    = {arXiv},
  eprint       = {2011.08353},
  timestamp    = {Wed, 25 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-08353.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-10470,
  author       = {Milad Asgari Mehrabadi and
                  Seyed Amir Hossein Aqajari and
                  Iman Azimi and
                  Charles A. Downs and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Detection of {COVID-19} Using Heart Rate and Blood Pressure: Lessons
                  Learned from Patients with {ARDS}},
  journal      = {CoRR},
  volume       = {abs/2011.10470},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.10470},
  eprinttype    = {arXiv},
  eprint       = {2011.10470},
  timestamp    = {Wed, 09 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-10470.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2012-09131,
  author       = {Amir M. Rahmani and
                  Jocelyn Lai and
                  Salar Jafarlou and
                  Asal Yunusova and
                  Alex. P. Rivera and
                  Sina Labbaf and
                  Sirui Hu and
                  Arman Anzanpour and
                  Nikil D. Dutt and
                  Ramesh C. Jain and
                  Jessica L. Borelli},
  title        = {Personal Mental Health Navigator: Harnessing the Power of Data, Personal
                  Models, and Health Cybernetics to Promote Psychological Well-being},
  journal      = {CoRR},
  volume       = {abs/2012.09131},
  year         = {2020},
  url          = {https://arxiv.org/abs/2012.09131},
  eprinttype    = {arXiv},
  eprint       = {2012.09131},
  timestamp    = {Thu, 12 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2012-09131.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/NejatollahiGIRC20,
  author       = {Hamid Nejatollahi and
                  Saransh Gupta and
                  Mohsen Imani and
                  Tajana Simunic Rosing and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {CryptoPIM: In-memory Acceleration for Lattice-based Cryptographic
                  Hardware},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {276},
  year         = {2020},
  url          = {https://eprint.iacr.org/2020/276},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iacr/NejatollahiGIRC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/AzimiOLNADLR19,
  author       = {Iman Azimi and
                  Olugbenga Oti and
                  Sina Labbaf and
                  Hannakaisa Niela{-}Vil{\'{e}}n and
                  Anna Axelin and
                  Nikil D. Dutt and
                  Pasi Liljeberg and
                  Amir M. Rahmani},
  title        = {Personalized Maternal Sleep Quality Assessment: An Objective IoT-based
                  Longitudinal Study},
  journal      = {{IEEE} Access},
  volume       = {7},
  pages        = {93433--93447},
  year         = {2019},
  url          = {https://doi.org/10.1109/ACCESS.2019.2927781},
  doi          = {10.1109/ACCESS.2019.2927781},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/AzimiOLNADLR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/BalajiSDDKKC19,
  author       = {Adarsha Balaji and
                  Shihao Song and
                  Anup Das and
                  Nikil D. Dutt and
                  Jeff Krichmar and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {A Framework to Explore Workload-Specific Performance and Lifetime
                  Trade-offs in Neuromorphic Computing},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {18},
  number       = {2},
  pages        = {149--152},
  year         = {2019},
  url          = {https://doi.org/10.1109/LCA.2019.2951507},
  doi          = {10.1109/LCA.2019.2951507},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/BalajiSDDKKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/csur/NejatollahiDRRB19,
  author       = {Hamid Nejatollahi and
                  Nikil D. Dutt and
                  Sandip Ray and
                  Francesco Regazzoni and
                  Indranil Banerjee and
                  Rosario Cammarota},
  title        = {Post-Quantum Lattice-Based Cryptography Implementations: {A} Survey},
  journal      = {{ACM} Comput. Surv.},
  volume       = {51},
  number       = {6},
  pages        = {129:1--129:41},
  year         = {2019},
  url          = {https://doi.org/10.1145/3292548},
  doi          = {10.1145/3292548},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/csur/NejatollahiDRRB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fteda/MieleKMJRDLJ19,
  author       = {Antonio Miele and
                  Anil Kanduri and
                  Kasra Moazzemi and
                  D{\'{a}}vid Juh{\'{a}}sz and
                  Amir{-}Mohammad Rahmani and
                  Nikil D. Dutt and
                  Pasi Liljeberg and
                  Axel Jantsch},
  title        = {On-Chip Dynamic Resource Management},
  journal      = {Found. Trends Electron. Des. Autom.},
  volume       = {13},
  number       = {1-2},
  pages        = {1--14},
  year         = {2019},
  url          = {https://doi.org/10.1561/1000000055},
  doi          = {10.1561/1000000055},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/fteda/MieleKMJRDLJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijccc/JeongLCJD19,
  author       = {Gu{-}Min Jeong and
                  Kyoungwoo Lee and
                  Sang{-}Il Choi and
                  Sang{-}Hoon Ji and
                  Nikil D. Dutt},
  title        = {Effect of Soft Errors in Iterative Learning Control and Compensation
                  using Cross-layer Approach},
  journal      = {Int. J. Comput. Commun. Control},
  volume       = {14},
  number       = {3},
  pages        = {359--374},
  year         = {2019},
  url          = {https://doi.org/10.15837/ijccc.2019.3.3513},
  doi          = {10.15837/IJCCC.2019.3.3513},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijccc/JeongLCJD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/MartinsGDM19,
  author       = {Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Rafael Garibotti and
                  Nikil D. Dutt and
                  Fernando Gehm Moraes},
  title        = {The power impact of hardware and software actuators on self-adaptable
                  many-core systems},
  journal      = {J. Syst. Archit.},
  volume       = {97},
  pages        = {42--53},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.sysarc.2019.05.006},
  doi          = {10.1016/J.SYSARC.2019.05.006},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/MartinsGDM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/MartinsSRDM19,
  author       = {Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and
                  Alzemiro Henrique Lucas da Silva and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Fernando Gehm Moraes},
  title        = {Hierarchical adaptive Multi-objective resource management for many-core
                  systems},
  journal      = {J. Syst. Archit.},
  volume       = {97},
  pages        = {416--427},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.sysarc.2019.01.006},
  doi          = {10.1016/J.SYSARC.2019.01.006},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/MartinsSRDM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ploscb/BeyelerRCDK19,
  author       = {Michael Beyeler and
                  Emily L. Rounds and
                  Kristofor D. Carlson and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {Neural correlates of sparse coding and dimensionality reduction},
  journal      = {PLoS Comput. Biol.},
  volume       = {15},
  number       = {6},
  year         = {2019},
  url          = {https://doi.org/10.1371/journal.pcbi.1006908},
  doi          = {10.1371/JOURNAL.PCBI.1006908},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ploscb/BeyelerRCDK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/YangLGD19,
  author       = {Lei Yang and
                  Weichen Liu and
                  Nan Guan and
                  Nikil D. Dutt},
  title        = {Optimal Application Mapping and Scheduling for Network-on-Chips with
                  Computation in {STT-RAM} Based Router},
  journal      = {{IEEE} Trans. Computers},
  volume       = {68},
  number       = {8},
  pages        = {1174--1189},
  year         = {2019},
  url          = {https://doi.org/10.1109/TC.2018.2864749},
  doi          = {10.1109/TC.2018.2864749},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/YangLGD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/MoazzemiMYRD19,
  author       = {Kasra Moazzemi and
                  Biswadip Maity and
                  Saehanseul Yi and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {{HESSLE-FREE:} {\textless}u{\textgreater}He{\textless}/u{\textgreater}terogeneou{\textless}u{\textgreater}s{\textless}/u{\textgreater}
                  {\textless}u{\textgreater}S{\textless}/u{\textgreater}ystems {\textless}u{\textgreater}Le{\textless}/u{\textgreater}veraging
                  {\textless}u{\textgreater}F{\textless}/u{\textgreater}uzzy Control
                  for {\textless}u{\textgreater}R{\textless}/u{\textgreater}untim{\textless}u{\textgreater}e{\textless}/u{\textgreater}
                  Resourc{\textless}u{\textgreater}e{\textless}/u{\textgreater} Management},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {18},
  number       = {5s},
  pages        = {74:1--74:19},
  year         = {2019},
  url          = {https://doi.org/10.1145/3358203},
  doi          = {10.1145/3358203},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/MoazzemiMYRD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ant/AnzanpourRRJDL19,
  author       = {Arman Anzanpour and
                  Humayun Rashid and
                  Amir M. Rahmani and
                  Axel Jantsch and
                  Nikil D. Dutt and
                  Pasi Liljeberg},
  editor       = {Elhadi M. Shakshuki and
                  Ansar{-}Ul{-}Haque Yasar},
  title        = {Energy-efficient and Reliable Wearable Internet-of-Things through
                  Fog-Assisted Dynamic Goal Management},
  booktitle    = {The 10th International Conference on Ambient Systems, Networks and
                  Technologies {(ANT} 2019) / The 2nd International Conference on Emerging
                  Data and Industry 4.0 {(EDI40} 2019) / Affiliated Workshops, April
                  29 - May 2, 2019, Leuven, Belgium},
  series       = {Procedia Computer Science},
  volume       = {151},
  pages        = {493--500},
  publisher    = {Elsevier},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.procs.2019.04.067},
  doi          = {10.1016/J.PROCS.2019.04.067},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ant/AnzanpourRRJDL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ant/NaeiniARLD19,
  author       = {Emad Kasaeyan Naeini and
                  Iman Azimi and
                  Amir M. Rahmani and
                  Pasi Liljeberg and
                  Nikil D. Dutt},
  editor       = {Elhadi M. Shakshuki and
                  Ansar{-}Ul{-}Haque Yasar},
  title        = {A Real-time {PPG} Quality Assessment Approach for Healthcare Internet-of-Things},
  booktitle    = {The 10th International Conference on Ambient Systems, Networks and
                  Technologies {(ANT} 2019) / The 2nd International Conference on Emerging
                  Data and Industry 4.0 {(EDI40} 2019) / Affiliated Workshops, April
                  29 - May 2, 2019, Leuven, Belgium},
  series       = {Procedia Computer Science},
  volume       = {151},
  pages        = {551--558},
  publisher    = {Elsevier},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.procs.2019.04.074},
  doi          = {10.1016/J.PROCS.2019.04.074},
  timestamp    = {Tue, 12 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ant/NaeiniARLD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/chase/NaeiniSSYRD19,
  author       = {Emad Kasaeyan Naeini and
                  Sina Shahhosseini and
                  Ajan Subramanian and
                  Tingjue Yin and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {An Edge-Assisted and Smart System for Real-Time Pain Monitoring},
  booktitle    = {4th {IEEE/ACM} International Conference on Connected Health: Applications,
                  Systems and Engineering Technologies, {CHASE} 2019, Arlington, VA,
                  USA, Septempter 25-27, 2019},
  pages        = {47--52},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/CHASE48038.2019.00023},
  doi          = {10.1109/CHASE48038.2019.00023},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/chase/NaeiniSSYRD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/RamboKESKDMMMSY19,
  author       = {Eberle A. Rambo and
                  Thawra Kadeed and
                  Rolf Ernst and
                  Minjun Seo and
                  Fadi J. Kurdahi and
                  Bryan Donyanavard and
                  Caio Batista de Melo and
                  Biswadip Maity and
                  Kasra Moazzemi and
                  Kenneth Michael Stewart and
                  Saehanseul Yi and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Florian Maurer and
                  Nguyen Anh Vu Doan and
                  Anmol Surhonne and
                  Thomas Wild and
                  Andreas Herkersdorf},
  title        = {The information processing factory: a paradigm for life cycle management
                  of dependable systems},
  booktitle    = {Proceedings of the International Conference on Hardware/Software Codesign
                  and System Synthesis Companion, {CODES+ISSS} 2019, part of {ESWEEK}
                  2019, New York, NY, USA, October 13-18, 2019},
  pages        = {20:1--20:2},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3349567.3357391},
  doi          = {10.1145/3349567.3357391},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/RamboKESKDMMMSY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PeroniINDR19,
  author       = {Daniel Peroni and
                  Mohsen Imani and
                  Hamid Nejatollahi and
                  Nikil D. Dutt and
                  Tajana Rosing},
  title        = {{ARGA:} Approximate Reuse for {GPGPU} Acceleration},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3317776},
  doi          = {10.1145/3316781.3317776},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/PeroniINDR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HsiehSD19,
  author       = {Chen{-}Ying Hsieh and
                  Ardalan Amiri Sani and
                  Nikil D. Dutt},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {The Case for Exploiting Underutilized Resources in Heterogeneous Mobile
                  Architectures},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {1265--1268},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714970},
  doi          = {10.23919/DATE.2019.8714970},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/HsiehSD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ShamsaKRLJD19,
  author       = {Elham Shamsa and
                  Anil Kanduri and
                  Amir M. Rahmani and
                  Pasi Liljeberg and
                  Axel Jantsch and
                  Nikil D. Dutt},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Goal-Driven Autonomy for Efficient On-chip Resource Management: Transforming
                  Objectives to Goals},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {1397--1402},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8715134},
  doi          = {10.23919/DATE.2019.8715134},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/ShamsaKRLJD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/LeeLD19,
  author       = {Tao{-}Yi Lee and
                  Marco Levorato and
                  Nikil D. Dutt},
  title        = {DNN-Assisted Sensor for Energy-Efficient {ECG} Monitoring},
  booktitle    = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa,
                  HI, USA, December 9-13, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/GLOBECOM38437.2019.9014002},
  doi          = {10.1109/GLOBECOM38437.2019.9014002},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/globecom/LeeLD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShahhosseiniAAJ19,
  author       = {Sina Shahhosseini and
                  Iman Azimi and
                  Arman Anzanpour and
                  Axel Jantsch and
                  Pasi Liljeberg and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  editor       = {Houman Homayoun and
                  Baris Taskin and
                  Tinoosh Mohsenin and
                  Weisheng Zhao},
  title        = {Dynamic Computation Migration at the Edge: Is There an Optimal Choice?},
  booktitle    = {Proceedings of the 2019 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2019, Tysons Corner, VA, USA, May 9-11, 2019},
  pages        = {519--524},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3299874.3319336},
  doi          = {10.1145/3299874.3319336},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShahhosseiniAAJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/NejatollahiCD19,
  author       = {Hamid Nejatollahi and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {Flexible {NTT} Accelerators for {RLWE} Lattice-Based Cryptography},
  booktitle    = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019,
                  Abu Dhabi, United Arab Emirates, November 17-20, 2019},
  pages        = {329--332},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCD46524.2019.00052},
  doi          = {10.1109/ICCD46524.2019.00052},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/NejatollahiCD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iess/MaityDVD19,
  author       = {Biswadip Maity and
                  Bryan Donyanavard and
                  Nalini Venkatasubramanian and
                  Nikil D. Dutt},
  editor       = {Marco A. Wehrmeister and
                  M{\'{a}}rcio Eduardo Kreutz and
                  Marcelo G{\"{o}}tz and
                  Stefan Henkler and
                  Andy D. Pimentel and
                  Achim Rettberg},
  title        = {Workload Characterization for Memory Management in Emerging Embedded
                  Platforms},
  booktitle    = {Analysis, Estimations, and Applications of Embedded Systems - 6th
                  {IFIP} {TC} 10 International Embedded Systems Symposium, {IESS} 2019,
                  Friedrichshafen, Germany, September 9-11, 2019, Revised Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {576},
  pages        = {65--76},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-031-26500-6\_6},
  doi          = {10.1007/978-3-031-26500-6\_6},
  timestamp    = {Sat, 25 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iess/MaityDVD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SetoNAKD19,
  author       = {Kenshu Seto and
                  Hamid Nejatollahi and
                  Jiyoung An and
                  Sujin Kang and
                  Nikil D. Dutt},
  title        = {Small Memory Footprint Neural Network Accelerators},
  booktitle    = {20th International Symposium on Quality Electronic Design, {ISQED}
                  2019, Santa Clara, CA, USA, March 6-7, 2019},
  pages        = {253--258},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISQED.2019.8697641},
  doi          = {10.1109/ISQED.2019.8697641},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/SetoNAKD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/DonyanavardMRDS19,
  author       = {Bryan Donyanavard and
                  Tiago M{\"{u}}ck and
                  Amir M. Rahmani and
                  Nikil D. Dutt and
                  Armin Sadighi and
                  Florian Maurer and
                  Andreas Herkersdorf},
  title        = {{SOSA:} Self-Optimizing Learning with Self-Adaptive Control for Hierarchical
                  System-on-Chip Management},
  booktitle    = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16,
                  2019},
  pages        = {685--698},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3352460.3358312},
  doi          = {10.1145/3352460.3358312},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/DonyanavardMRDS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/HsiehSD19,
  author       = {Chen{-}Ying Hsieh and
                  Ardalan Amiri Sani and
                  Nikil D. Dutt},
  title        = {{SURF:} Self-aware Unified Runtime Framework for Parallel Programs
                  on Heterogeneous Mobile Architectures},
  booktitle    = {27th {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2019, Cuzco, Peru, October 6-9, 2019},
  pages        = {136--141},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/VLSI-SoC.2019.8920374},
  doi          = {10.1109/VLSI-SOC.2019.8920374},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/HsiehSD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/HsiehSD19a,
  author       = {Chen{-}Ying Hsieh and
                  Ardalan Amiri Sani and
                  Nikil D. Dutt},
  editor       = {Carolina Metzler and
                  Pierre{-}Emmanuel Gaillardon and
                  Giovanni De Micheli and
                  Carlos Silva C{\'{a}}rdenas and
                  Ricardo Reis},
  title        = {Exploiting Heterogeneous Mobile Architectures Through a Unified Runtime
                  Framework},
  booktitle    = {VLSI-SoC: New Technology Enabler - 27th {IFIP} {WG} 10.5/IEEE International
                  Conference on Very Large Scale Integration, VLSI-SoC 2019, Cusco,
                  Peru, October 6-9, 2019, Revised and Extended Selected Papers},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {586},
  pages        = {323--344},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-53273-4\_15},
  doi          = {10.1007/978-3-030-53273-4\_15},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/HsiehSD19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1907-01578,
  author       = {Eberle A. Rambo and
                  Bryan Donyanavard and
                  Minjun Seo and
                  Florian Maurer and
                  Thawra Kadeed and
                  Caio Batista de Melo and
                  Biswadip Maity and
                  Anmol Surhonne and
                  Andreas Herkersdorf and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt and
                  Rolf Ernst},
  title        = {The Information Processing Factory: Organization, Terminology, and
                  Definitions},
  journal      = {CoRR},
  volume       = {abs/1907.01578},
  year         = {2019},
  url          = {http://arxiv.org/abs/1907.01578},
  eprinttype    = {arXiv},
  eprint       = {1907.01578},
  timestamp    = {Tue, 09 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1907-01578.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1907-11989,
  author       = {Delaram Amiri and
                  Arman Anzanpour and
                  Iman Azimi and
                  Amir{-}Mohammad Rahmani and
                  Pasi Liljeberg and
                  Nikil D. Dutt and
                  Marco Levorato},
  title        = {Optimizing Energy Efficiency of Wearable Sensors Using Fog-assisted
                  Control},
  journal      = {CoRR},
  volume       = {abs/1907.11989},
  year         = {2019},
  url          = {http://arxiv.org/abs/1907.11989},
  eprinttype    = {arXiv},
  eprint       = {1907.11989},
  timestamp    = {Tue, 30 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1907-11989.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1909-01843,
  author       = {Adarsha Balaji and
                  Anup Das and
                  Yuefeng Wu and
                  Khanh Huynh and
                  Francesco Dell'Anna and
                  Giacomo Indiveri and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Siebren Schaafsma and
                  Francky Catthoor},
  title        = {Mapping Spiking Neural Networks to Neuromorphic Hardware},
  journal      = {CoRR},
  volume       = {abs/1909.01843},
  year         = {2019},
  url          = {http://arxiv.org/abs/1909.01843},
  eprinttype    = {arXiv},
  eprint       = {1909.01843},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1909-01843.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1911-00548,
  author       = {Adarsha Balaji and
                  Shihao Song and
                  Anup Das and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar and
                  Nagarajan Kandasamy and
                  Francky Catthoor},
  title        = {A Framework to Explore Workload-Specific Performance and Lifetime
                  Trade-offs in Neuromorphic Computing},
  journal      = {CoRR},
  volume       = {abs/1911.00548},
  year         = {2019},
  url          = {http://arxiv.org/abs/1911.00548},
  eprinttype    = {arXiv},
  eprint       = {1911.00548},
  timestamp    = {Mon, 11 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1911-00548.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/NejatollahiSCD19,
  author       = {Hamid Nejatollahi and
                  Sina Shahhosseini and
                  Rosario Cammarota and
                  Nikil D. Dutt},
  title        = {Exploring Energy Efficient Quantum-resistant Signal Processing Using
                  Array Processors},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {1297},
  year         = {2019},
  url          = {https://eprint.iacr.org/2019/1297},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/NejatollahiSCD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/HsiehPDL18,
  author       = {Chen{-}Ying Hsieh and
                  Jurn{-}Gyu Park and
                  Nikil D. Dutt and
                  Sung{-}Soo Lim},
  title        = {{MEMCOP:} memory-aware co-operative power management governor for
                  mobile games},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {22},
  number       = {1-2},
  pages        = {95--116},
  year         = {2018},
  url          = {https://doi.org/10.1007/s10617-018-9201-8},
  doi          = {10.1007/S10617-018-9201-8},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/HsiehPDL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/DuttJ18,
  author       = {Nikil D. Dutt and
                  Axel Jantsch},
  title        = {Guest Editorial: Special Issue on Self-Aware Systems on Chip},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {5},
  pages        = {5--6},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2017.2766604},
  doi          = {10.1109/MDAT.2017.2766604},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/DuttJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/RahmaniJD18,
  author       = {Amir M. Rahmani and
                  Axel Jantsch and
                  Nikil D. Dutt},
  title        = {{HDGM:} Hierarchical Dynamic Goal Management for Many-Core Resource
                  Allocation},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {10},
  number       = {3},
  pages        = {61--64},
  year         = {2018},
  url          = {https://doi.org/10.1109/LES.2017.2751522},
  doi          = {10.1109/LES.2017.2751522},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/RahmaniJD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/ShahhosseiniMRD18,
  author       = {Sina Shahhosseini and
                  Kasra Moazzemi and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {On the feasibility of {SISO} control-theoretic {DVFS} for power capping
                  in CMPs},
  journal      = {Microprocess. Microsystems},
  volume       = {63},
  pages        = {249--258},
  year         = {2018},
  url          = {https://doi.org/10.1016/j.micpro.2018.09.012},
  doi          = {10.1016/J.MICPRO.2018.09.012},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/ShahhosseiniMRD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/nn/DasPGARCSKDH18,
  author       = {Anup Das and
                  Paruthi Pradhapan and
                  Willemijn Groenendaal and
                  Prathyusha Adiraju and
                  Raj Thilak Rajan and
                  Francky Catthoor and
                  Siebren Schaafsma and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Chris Van Hoof},
  title        = {Unsupervised heart-rate estimation in wearables with Liquid states
                  and a probabilistic readout},
  journal      = {Neural Networks},
  volume       = {99},
  pages        = {134--147},
  year         = {2018},
  url          = {https://doi.org/10.1016/j.neunet.2017.12.015},
  doi          = {10.1016/J.NEUNET.2017.12.015},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/nn/DasPGARCSKDH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/MostlSEDNRKWSH18,
  author       = {Mischa M{\"{o}}stl and
                  Johannes Schlatow and
                  Rolf Ernst and
                  Nikil D. Dutt and
                  Ahmed Nassar and
                  Amir{-}Mohammad Rahmani and
                  Fadi J. Kurdahi and
                  Thomas Wild and
                  Armin Sadighi and
                  Andreas Herkersdorf},
  title        = {Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes
                  in {CPS}},
  journal      = {Proc. {IEEE}},
  volume       = {106},
  number       = {9},
  pages        = {1543--1567},
  year         = {2018},
  url          = {https://doi.org/10.1109/JPROC.2018.2858023},
  doi          = {10.1109/JPROC.2018.2858023},
  timestamp    = {Wed, 21 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/pieee/MostlSEDNRKWSH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiuYJFGZD18,
  author       = {Weichen Liu and
                  Lei Yang and
                  Weiwen Jiang and
                  Liang Feng and
                  Nan Guan and
                  Wei Zhang and
                  Nikil D. Dutt},
  title        = {Thermal-Aware Task Mapping on Dynamically Reconfigurable Network-on-Chip
                  Based Multiprocessor System-on-Chip},
  journal      = {{IEEE} Trans. Computers},
  volume       = {67},
  number       = {12},
  pages        = {1818--1834},
  year         = {2018},
  url          = {https://doi.org/10.1109/TC.2018.2844365},
  doi          = {10.1109/TC.2018.2844365},
  timestamp    = {Tue, 05 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/LiuYJFGZD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ParkHDL18,
  author       = {Jurn{-}Gyu Park and
                  Chen{-}Ying Hsieh and
                  Nikil D. Dutt and
                  Sung{-}Soo Lim},
  title        = {Synergistic {CPU-GPU} Frequency Capping for Energy-Efficient Mobile
                  Games},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {17},
  number       = {2},
  pages        = {45:1--45:24},
  year         = {2018},
  url          = {https://doi.org/10.1145/3145337},
  doi          = {10.1145/3145337},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/ParkHDL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ShoushtariDBD18,
  author       = {Majid Shoushtari and
                  Bryan Donyanavard and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {ShaVe-ICE: Sharing Distributed Virtualized SPMs in Many-Core Embedded
                  Systems},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {17},
  number       = {2},
  pages        = {47:1--47:25},
  year         = {2018},
  url          = {https://doi.org/10.1145/3157667},
  doi          = {10.1145/3157667},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/ShoushtariDBD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/MuckDMRJD18,
  author       = {Tiago M{\"{u}}ck and
                  Bryan Donyanavard and
                  Kasra Moazzemi and
                  Amir M. Rahmani and
                  Axel Jantsch and
                  Nikil D. Dutt},
  title        = {Design Methodology for Responsive and Rrobust {MIMO} Control of Heterogeneous
                  Multicores},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {4},
  number       = {4},
  pages        = {944--951},
  year         = {2018},
  url          = {https://doi.org/10.1109/TMSCS.2018.2808524},
  doi          = {10.1109/TMSCS.2018.2808524},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/MuckDMRJD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/RahmaniDMMJMD18,
  author       = {Amir M. Rahmani and
                  Bryan Donyanavard and
                  Tiago M{\"{u}}ck and
                  Kasra Moazzemi and
                  Axel Jantsch and
                  Onur Mutlu and
                  Nikil D. Dutt},
  editor       = {Xipeng Shen and
                  James Tuck and
                  Ricardo Bianchini and
                  Vivek Sarkar},
  title        = {{SPECTR:} Formal Supervisory Control and Coordination for Many-core
                  Systems Resource Management},
  booktitle    = {Proceedings of the Twenty-Third International Conference on Architectural
                  Support for Programming Languages and Operating Systems, {ASPLOS}
                  2018, Williamsburg, VA, USA, March 24-28, 2018},
  pages        = {169--183},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3173162.3173199},
  doi          = {10.1145/3173162.3173199},
  timestamp    = {Tue, 23 Jan 2024 20:31:22 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/RahmaniDMMJMD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KanduriMRLBD18,
  author       = {Anil Kanduri and
                  Antonio Miele and
                  Amir M. Rahmani and
                  Pasi Liljeberg and
                  Cristiana Bolchini and
                  Nikil D. Dutt},
  title        = {Approximation-aware coordinated power/performance management for heterogeneous
                  multi-cores},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {68:1--68:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3195994},
  doi          = {10.1145/3195970.3195994},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KanduriMRLBD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DonyanavardRMMD18,
  author       = {Bryan Donyanavard and
                  Amir M. Rahmani and
                  Tiago M{\"{u}}ck and
                  Kasra Moazemmi and
                  Nikil D. Dutt},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Gain scheduled control for nonlinear power management in CMPs},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {921--924},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342141},
  doi          = {10.23919/DATE.2018.8342141},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DonyanavardRMMD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SadighiDKMMNRWD18,
  author       = {Armin Sadighi and
                  Bryan Donyanavard and
                  Thawra Kadeed and
                  Kasra Moazzemi and
                  Tiago M{\"{u}}ck and
                  Ahmed Nassar and
                  Amir M. Rahmani and
                  Thomas Wild and
                  Nikil D. Dutt and
                  Rolf Ernst and
                  Andreas Herkersdorf and
                  Fadi J. Kurdahi},
  editor       = {Jan Madsen and
                  Ayse K. Coskun},
  title        = {Design methodologies for enabling self-awareness in autonomous systems},
  booktitle    = {2018 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018},
  pages        = {1532--1537},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.23919/DATE.2018.8342259},
  doi          = {10.23919/DATE.2018.8342259},
  timestamp    = {Wed, 21 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/SadighiDKMMNRWD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/MoazzemiKJMRLJD18,
  author       = {Kasra Moazzemi and
                  Anil Kanduri and
                  David Juhasz and
                  Antonio Miele and
                  Amir M. Rahmani and
                  Pasi Liljeberg and
                  Axel Jantsch and
                  Nikil D. Dutt},
  editor       = {Martin Novotn{\'{y}} and
                  Nikos Konofaos and
                  Amund Skavhaug},
  title        = {Trends in On-chip Dynamic Resource Management},
  booktitle    = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague,
                  Czech Republic, August 29-31, 2018},
  pages        = {62--69},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/DSD.2018.00025},
  doi          = {10.1109/DSD.2018.00025},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/MoazzemiKJMRLJD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/AmiriAALRLD18,
  author       = {Delaram Amiri and
                  Arman Anzanpour and
                  Iman Azimi and
                  Marco Levorato and
                  Amir M. Rahmani and
                  Pasi Liljeberg and
                  Nikil D. Dutt},
  title        = {Edge-Assisted Sensor Control in Healthcare IoT},
  booktitle    = {{IEEE} Global Communications Conference, {GLOBECOM} 2018, Abu Dhabi,
                  United Arab Emirates, December 9-13, 2018},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/GLOCOM.2018.8647457},
  doi          = {10.1109/GLOCOM.2018.8647457},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/globecom/AmiriAALRLD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Dutt18,
  author       = {Nikil D. Dutt},
  editor       = {Deming Chen and
                  Houman Homayoun and
                  Baris Taskin},
  title        = {Self-Awareness for Heterogeneous MPSoCs: {A} Case Study using Adaptive,
                  Reflective Middleware},
  booktitle    = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2018, Chicago, IL, USA, May 23-25, 2018},
  pages        = {3},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3194554.3200203},
  doi          = {10.1145/3194554.3200203},
  timestamp    = {Wed, 10 Mar 2021 14:55:38 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Dutt18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/ChouKXLRBDK18,
  author       = {Ting{-}Shuo Chou and
                  Hirak Jyoti Kashyap and
                  Jinwei Xing and
                  Stanislav Listopad and
                  Emily L. Rounds and
                  Michael Beyeler and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {CARLsim 4: An Open Source Library for Large Scale, Biologically Detailed
                  Spiking Neural Network Simulation using Heterogeneous Clusters},
  booktitle    = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018,
                  Rio de Janeiro, Brazil, July 8-13, 2018},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IJCNN.2018.8489326},
  doi          = {10.1109/IJCNN.2018.8489326},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/ChouKXLRBDK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/KashyapDDKN18,
  author       = {Hirak Jyoti Kashyap and
                  Georgios Detorakis and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar and
                  Emre Neftci},
  title        = {A Recurrent Neural Network Based Model of Predictive Smooth Pursuit
                  Eye Movement in Primates},
  booktitle    = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018,
                  Rio de Janeiro, Brazil, July 8-13, 2018},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IJCNN.2018.8489652},
  doi          = {10.1109/IJCNN.2018.8489652},
  timestamp    = {Wed, 08 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/KashyapDDKN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JantschAKASRTLD18,
  author       = {Axel Jantsch and
                  Arman Anzanpour and
                  Hedyeh A. Kholerdi and
                  Iman Azimi and
                  Lydia C. Siafara and
                  Amir M. Rahmani and
                  Nima Taherinejad and
                  Pasi Liljeberg and
                  Nikil D. Dutt},
  title        = {Hierarchical dynamic goal management for IoT systems},
  booktitle    = {19th International Symposium on Quality Electronic Design, {ISQED}
                  2018, Santa Clara, CA, USA, March 13-14, 2018},
  pages        = {370--375},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISQED.2018.8357315},
  doi          = {10.1109/ISQED.2018.8357315},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/JantschAKASRTLD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/norchip/ShamsaKRLJD18,
  author       = {Elham Shamsa and
                  Anil Kanduri and
                  Amir M. Rahmani and
                  Pasi Liljeberg and
                  Axel Jantsch and
                  Nikil D. Dutt},
  editor       = {Jari Nurmi and
                  Peeter Ellervee and
                  Juri Mihhailov and
                  Maksim Jenihhin and
                  Kalle Tammem{\"{a}}e},
  title        = {Goal Formulation: Abstracting Dynamic Objectives for Efficient On-chip
                  Resource Allocation},
  booktitle    = {2018 {IEEE} Nordic Circuits and Systems Conference, {NORCAS} 2018:
                  {NORCHIP} and International Symposium of System-on-Chip (SoC), Tallinn,
                  Estonia, October 30-31, 2018},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/NORCHIP.2018.8573451},
  doi          = {10.1109/NORCHIP.2018.8573451},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/norchip/ShamsaKRLJD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/recosoc/DonvanavardMDM18,
  author       = {Brvan Donvanavard and
                  Amir Mahdi Hosseini Monazzah and
                  Nikil D. Dutt and
                  Tiago M{\"{u}}ck},
  editor       = {Sma{\"{\i}}l Niar and
                  Mazen A. R. Saghir},
  title        = {Exploring Hybrid Memory Caches in Chip Multiprocessors},
  booktitle    = {13th International Symposium on Reconfigurable Communication-centric
                  Systems-on-Chip, ReCoSoC 2018, Lille, France, July 9-11, 2018},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ReCoSoC.2018.8449386},
  doi          = {10.1109/RECOSOC.2018.8449386},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/recosoc/DonvanavardMDM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/MuckFGRRD18,
  author       = {Tiago M{\"{u}}ck and
                  Ant{\^{o}}nio Augusto Fr{\"{o}}hlich and
                  Giovani Gracioli and
                  Amir M. Rahmani and
                  Jo{\~{a}}o Gabriel Reis and
                  Nikil D. Dutt},
  editor       = {Trevor N. Mudge and
                  Dionisios N. Pnevmatikatos},
  title        = {CHIPS-AHOy: a predictable holistic cyber-physical hypervisor for MPSoCs},
  booktitle    = {Proceedings of the 18th International Conference on Embedded Computer
                  Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece,
                  July 15-19, 2018},
  pages        = {73--80},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3229631.3229642},
  doi          = {10.1145/3229631.3229642},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/MuckFGRRD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbesc/BetempsMRMDZ18,
  author       = {Carlos Michel Betemps and
                  Mateus Santos De Melo and
                  Amir M. Rahmani and
                  Antonio Miele and
                  Nikil D. Dutt and
                  Bruno Zatt},
  title        = {Exploring Heterogeneous Task-Level Parallelism in a {BMA} Video Coding
                  Application using System-Level Simulation},
  booktitle    = {{VIII} Brazilian Symposium on Computing Systems Engineering, {SBESC}
                  2018, Salvador, Brazil, November 5-8, 2018},
  pages        = {75--82},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SBESC.2018.00020},
  doi          = {10.1109/SBESC.2018.00020},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbesc/BetempsMRMDZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iacr/NejatollahiDBC18,
  author       = {Hamid Nejatollahi and
                  Nikil D. Dutt and
                  Indranil Banerjee and
                  Rosario Cammarota},
  title        = {Domain-specific Accelerators for Ideal Lattice-based Public Key Protocols},
  journal      = {{IACR} Cryptol. ePrint Arch.},
  pages        = {608},
  year         = {2018},
  url          = {https://eprint.iacr.org/2018/608},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iacr/NejatollahiDBC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/JantschD17,
  author       = {Axel Jantsch and
                  Nikil D. Dutt},
  title        = {Guest Editorial: Special Issue on Self-Aware Systems on Chip},
  journal      = {{IEEE} Des. Test},
  volume       = {34},
  number       = {6},
  pages        = {6--7},
  year         = {2017},
  url          = {https://doi.org/10.1109/MDAT.2017.2757445},
  doi          = {10.1109/MDAT.2017.2757445},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/JantschD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/JantschDR17,
  author       = {Axel Jantsch and
                  Nikil D. Dutt and
                  Amir M. Rahmani},
  title        = {Self-Awareness in Systems on Chip - {A} Survey},
  journal      = {{IEEE} Des. Test},
  volume       = {34},
  number       = {6},
  pages        = {8--26},
  year         = {2017},
  url          = {https://doi.org/10.1109/MDAT.2017.2757143},
  doi          = {10.1109/MDAT.2017.2757143},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/JantschDR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/ShoushtariD17,
  author       = {Majid Shoushtari and
                  Nikil D. Dutt},
  title        = {{SAM:} Software-Assisted Memory Hierarchy for Scalable Manycore Embedded
                  Systems},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {9},
  number       = {4},
  pages        = {109--112},
  year         = {2017},
  url          = {https://doi.org/10.1109/LES.2017.2748098},
  doi          = {10.1109/LES.2017.2748098},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/ShoushtariD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/AzimiARPLLD17,
  author       = {Iman Azimi and
                  Arman Anzanpour and
                  Amir M. Rahmani and
                  Tapio Pahikkala and
                  Marco Levorato and
                  Pasi Liljeberg and
                  Nikil D. Dutt},
  title        = {HiCH: Hierarchical Fog-Assisted Computing Architecture for Healthcare
                  IoT},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {16},
  number       = {5s},
  pages        = {174:1--174:20},
  year         = {2017},
  url          = {https://doi.org/10.1145/3126501},
  doi          = {10.1145/3126501},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/AzimiARPLLD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tmscs/MuckGDB17,
  author       = {Tiago Rog{\'{e}}rio M{\"{u}}ck and
                  Zana Ghaderi and
                  Nikil D. Dutt and
                  Eli Bozorgzadeh},
  title        = {Exploiting Heterogeneity for Aging-Aware Load Balancing in Mobile
                  Platforms},
  journal      = {{IEEE} Trans. Multi Scale Comput. Syst.},
  volume       = {3},
  number       = {1},
  pages        = {25--35},
  year         = {2017},
  url          = {https://doi.org/10.1109/TMSCS.2016.2627541},
  doi          = {10.1109/TMSCS.2016.2627541},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tmscs/MuckGDB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KanduriHRLJTD17,
  author       = {Anil Kanduri and
                  Mohammad Hashem Haghbayan and
                  Amir M. Rahmani and
                  Pasi Liljeberg and
                  Axel Jantsch and
                  Hannu Tenhunen and
                  Nikil D. Dutt},
  title        = {Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient
                  Applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {10},
  pages        = {2749--2762},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2694388},
  doi          = {10.1109/TVLSI.2017.2694388},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KanduriHRLJTD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ShoushtariRD17,
  author       = {Majid Shoushtari and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Quality-configurable memory hierarchy through approximation: special
                  session},
  booktitle    = {Proceedings of the 2017 International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2017, Seoul, Republic
                  of Korea, October 15-20, 2017},
  pages        = {2:1--2:2},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3125501.3125525},
  doi          = {10.1145/3125501.3125525},
  timestamp    = {Thu, 11 Mar 2021 17:04:51 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/ShoushtariRD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/DonyanavardMMD17,
  author       = {Bryan Donyanavard and
                  Amir Mahdi Hosseini Monazzah and
                  Tiago M{\"{u}}ck and
                  Nikil D. Dutt},
  title        = {Exploring fast and slow memories in {HMP} core types: work-in-progress},
  booktitle    = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS}
                  2017, Seoul, Republic of Korea, October 15-20, 2017},
  pages        = {4:1--4:2},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3125502.3125545},
  doi          = {10.1145/3125502.3125545},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/DonyanavardMMD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/NejatollahiDC17,
  author       = {Hamid Nejatollahi and
                  Nikil D. Dutt and
                  Rosario Cammarota},
  title        = {Trends, challenges and needs for lattice-based cryptography implementations:
                  special session},
  booktitle    = {Proceedings of the Twelfth {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS}
                  2017, Seoul, Republic of Korea, October 15-20, 2017},
  pages        = {6:1--6:3},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3125502.3125559},
  doi          = {10.1145/3125502.3125559},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/NejatollahiDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AnzanpourAGRTLJ17,
  author       = {Arman Anzanpour and
                  Iman Azimi and
                  Maximilian Gotzinger and
                  Amir M. Rahmani and
                  Nima Taherinejad and
                  Pasi Liljeberg and
                  Axel Jantsch and
                  Nikil D. Dutt},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Self-awareness in remote health monitoring systems using wearable
                  electronics},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {1056--1061},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927146},
  doi          = {10.23919/DATE.2017.7927146},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/AnzanpourAGRTLJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/ParkDL17,
  author       = {Jurn{-}Gyu Park and
                  Nikil D. Dutt and
                  Sung{-}Soo Lim},
  editor       = {Sander Stuijk and
                  Akash Kumar},
  title        = {ML-Gov: a machine learning enhanced integrated {CPU-GPU} {DVFS} governor
                  for mobile gaming},
  booktitle    = {Proceedings of the 15th {IEEE/ACM} Symposium on Embedded Systems for
                  Real-Time Multimedia, ESTIMedia 2017, Seoul, Republic of Korea, October
                  15 - 20, 2017},
  pages        = {12--21},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3139315.3139317},
  doi          = {10.1145/3139315.3139317},
  timestamp    = {Thu, 17 Feb 2022 09:36:04 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/ParkDL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MonazzahSMRD17,
  author       = {Amir Mahdi Hosseini Monazzah and
                  Majid Shoushtari and
                  Seyed Ghassem Miremadi and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {QuARK: Quality-configurable approximate {STT-MRAM} cache by fine-grained
                  tuning of reliability-energy knobs},
  booktitle    = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and
                  Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISLPED.2017.8009198},
  doi          = {10.1109/ISLPED.2017.8009198},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MonazzahSMRD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mbmv/AlieeBGTD17,
  author       = {Hananeh Aliee and
                  Abbas BanaiyanMofrad and
                  Michael Gla{\ss} and
                  J{\"{u}}rgen Teich and
                  Nikil D. Dutt},
  editor       = {Daniel Gro{\ss}e and
                  Rolf Drechsler},
  title        = {Redundancy-aware Design Space Exploration for Memory Reliability in
                  Many-cores},
  booktitle    = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation
                  von Schaltungen und Systemen, {MBMV} 2017, Bremen, Germany, February
                  8-9, 2017},
  pages        = {1--12},
  publisher    = {Shaker Verlag},
  year         = {2017},
  timestamp    = {Mon, 20 Nov 2017 10:25:21 +0100},
  biburl       = {https://dblp.org/rec/conf/mbmv/AlieeBGTD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/DuttRJ17,
  author       = {Nikil D. Dutt and
                  Amir M. Rahmani and
                  Axel Jantsch},
  title        = {Empowering autonomy through self-awareness in MPSoCs},
  booktitle    = {15th {IEEE} International New Circuits and Systems Conference, {NEWCAS}
                  2017, Strasbourg, France, June 25-28, 2017},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NEWCAS.2017.8010108},
  doi          = {10.1109/NEWCAS.2017.8010108},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/DuttRJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/norchip/ShahosseiniMRD17,
  author       = {Sina Shahhosseini and
                  Kasra Moazzemi and
                  Amir M. Rahmani and
                  Nikil D. Dutt},
  title        = {Dependability evaluation of {SISO} control-theoretic power managers
                  for processor architectures},
  booktitle    = {{IEEE} Nordic Circuits and Systems Conference, {NORCAS} 2017: {NORCHIP}
                  and International Symposium of System-on-Chip (SoC), Link{\"{o}}ping,
                  Sweden, October 23-25, 2017},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/NORCHIP.2017.8124983},
  doi          = {10.1109/NORCHIP.2017.8124983},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/norchip/ShahosseiniMRD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/MuckDD17,
  author       = {Tiago M{\"{u}}ck and
                  Bryan Donyanavard and
                  Nikil D. Dutt},
  editor       = {Sungjoo Yoo and
                  Fabiano Hessel and
                  Fr{\'{e}}d{\'{e}}ric Rousseau and
                  Kenneth B. Kent and
                  Kyoungwoo Lee},
  title        = {PoIiCym: rapid prototyping of resource management policies for HMPs},
  booktitle    = {International Symposium on Rapid System Prototyping, {RSP} 2017, Shortening
                  the Path from Specification to Prototype, October 19-20, 2017, Seoul,
                  South Korea},
  pages        = {23--29},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3130265.3130321},
  doi          = {10.1145/3130265.3130321},
  timestamp    = {Mon, 04 Jul 2022 08:26:30 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/MuckDD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/hwswco/SarmaD17,
  author       = {Santanu Sarma and
                  Nikil D. Dutt},
  editor       = {Soonhoi Ha and
                  J{\"{u}}rgen Teich},
  title        = {Architecture and Cross-Layer Design Space Exploration},
  booktitle    = {Handbook of Hardware/Software Codesign},
  pages        = {247--270},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-94-017-7267-9\_9},
  doi          = {10.1007/978-94-017-7267-9\_9},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/reference/hwswco/SarmaD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/hwswco/ParkKSKED17,
  author       = {Young{-}Hwan Park and
                  Amin Khajeh and
                  Jun Yong Shin and
                  Fadi J. Kurdahi and
                  Ahmed M. Eltawil and
                  Nikil D. Dutt},
  editor       = {Soonhoi Ha and
                  J{\"{u}}rgen Teich},
  title        = {Microarchitecture-Level SoC Design},
  booktitle    = {Handbook of Hardware/Software Codesign},
  pages        = {867--913},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-94-017-7267-9\_28},
  doi          = {10.1007/978-94-017-7267-9\_28},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/reference/hwswco/ParkKSKED17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1708-05356,
  author       = {Anup Das and
                  Paruthi Pradhapan and
                  Willemijn Groenendaal and
                  Prathyusha Adiraju and
                  Raj Thilak Rajan and
                  Francky Catthoor and
                  Siebren Schaafsma and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Chris Van Hoof},
  title        = {Unsupervised Heart-rate Estimation in Wearables With Liquid States
                  and {A} Probabilistic Readout},
  journal      = {CoRR},
  volume       = {abs/1708.05356},
  year         = {2017},
  url          = {http://arxiv.org/abs/1708.05356},
  eprinttype    = {arXiv},
  eprint       = {1708.05356},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1708-05356.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1709-10205,
  author       = {Georgios Detorakis and
                  Sadique Sheik and
                  Charles Augustine and
                  Somnath Paul and
                  Bruno U. Pedroni and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar and
                  Gert Cauwenberghs and
                  Emre Neftci},
  title        = {Neural and Synaptic Array Transceiver: {A} Brain-Inspired Computing
                  Framework for Embedded Learning},
  journal      = {CoRR},
  volume       = {abs/1709.10205},
  year         = {2017},
  url          = {http://arxiv.org/abs/1709.10205},
  eprinttype    = {arXiv},
  eprint       = {1709.10205},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1709-10205.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ShrivastavaDCSD16,
  author       = {Aviral Shrivastava and
                  Nikil D. Dutt and
                  Jian Cai and
                  Majid Shoushtari and
                  Bryan Donyanavard and
                  Hossein Tajik},
  title        = {Automatic management of Software Programmable Memories in Many-core
                  Architectures},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {10},
  number       = {6},
  pages        = {288--298},
  year         = {2016},
  url          = {https://doi.org/10.1049/iet-cdt.2016.0024},
  doi          = {10.1049/IET-CDT.2016.0024},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ShrivastavaDCSD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijccc/JeongPCLD16,
  author       = {Gu{-}Min Jeong and
                  Chang{-}Woo Park and
                  Sang{-}Il Choi and
                  Kyoungwoo Lee and
                  Nikil D. Dutt},
  title        = {Robust Face Recognition Against Soft-errors Using a Cross-layer Approach},
  journal      = {Int. J. Comput. Commun. Control},
  volume       = {11},
  number       = {5},
  pages        = {657--665},
  year         = {2016},
  url          = {https://doi.org/10.15837/ijccc.2016.5.2020},
  doi          = {10.15837/IJCCC.2016.5.2020},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijccc/JeongPCLD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/DuttJS16,
  author       = {Nikil D. Dutt and
                  Axel Jantsch and
                  Santanu Sarma},
  title        = {Toward Smart Embedded Systems: {A} Self-aware System-on-Chip (SoC)
                  Perspective},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {15},
  number       = {2},
  pages        = {22:1--22:27},
  year         = {2016},
  url          = {https://doi.org/10.1145/2872936},
  doi          = {10.1145/2872936},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/DuttJS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/TajikDDJH16,
  author       = {Hossein Tajik and
                  Bryan Donyanavard and
                  Nikil D. Dutt and
                  Janmartin Jahn and
                  J{\"{o}}rg Henkel},
  title        = {SPMPool: Runtime {SPM} Management for Memory-Intensive Applications
                  in Embedded Many-Cores},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {16},
  number       = {1},
  pages        = {25:1--25:27},
  year         = {2016},
  url          = {http://dl.acm.org/citation.cfm?id=2968447},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/TajikDDJH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SarmaMSBD16,
  author       = {Santanu Sarma and
                  Tiago M{\"{u}}ck and
                  Majid Shoushtari and
                  Abbas BanaiyanMofrad and
                  Nikil D. Dutt},
  title        = {Cross-layer virtual/physical sensing and actuation for resilient heterogeneous
                  many-core SoCs},
  booktitle    = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2016, Macao, Macao, January 25-28, 2016},
  pages        = {395--402},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/ASPDAC.2016.7428044},
  doi          = {10.1109/ASPDAC.2016.7428044},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SarmaMSBD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/DonyanavardMSD16,
  author       = {Bryan Donyanavard and
                  Tiago M{\"{u}}ck and
                  Santanu Sarma and
                  Nikil D. Dutt},
  title        = {{SPARTA:} runtime task allocation for energy efficient heterogeneous
                  many-cores},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis, {CODES} 2016,
                  Pittsburgh, Pennsylvania, USA, October 1-7, 2016},
  pages        = {27:1--27:10},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968456.2968459},
  doi          = {10.1145/2968456.2968459},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/DonyanavardMSD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/DuttKEH16,
  author       = {Nikil D. Dutt and
                  Fadi J. Kurdahi and
                  Rolf Ernst and
                  Andreas Herkersdorf},
  title        = {Conquering MPSoC complexity with principles of a self-aware information
                  processing factory},
  booktitle    = {Proceedings of the Eleventh {IEEE/ACM/IFIP} International Conference
                  on Hardware/Software Codesign and System Synthesis, {CODES} 2016,
                  Pittsburgh, Pennsylvania, USA, October 1-7, 2016},
  pages        = {37:1--37:4},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968456.2973275},
  doi          = {10.1145/2968456.2973275},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/DuttKEH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/TajikDD16,
  author       = {Hossein Tajik and
                  Bryan Donyanavard and
                  Nikil D. Dutt},
  editor       = {Muhammad Shafique and
                  Sander Stuijk and
                  Hyunok Oh and
                  Todor P. Stefanov},
  title        = {On Detecting and Using Memory Phases in Multimedia Systems},
  booktitle    = {Proceedings of the 14th {ACM/IEEE} Symposium on Embedded Systems for
                  Real-Time Multimedia, ESTIMedia 2016, Pittsburgh, PA, USA, October
                  6-7, 2016},
  pages        = {57--66},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {http://dl.acm.org/citation.cfm?id=2993566},
  timestamp    = {Thu, 17 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/TajikDD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KanduriHRLJDT16,
  author       = {Anil Kanduri and
                  Mohammad Hashem Haghbayan and
                  Amir{-}Mohammad Rahmani and
                  Pasi Liljeberg and
                  Axel Jantsch and
                  Nikil D. Dutt and
                  Hannu Tenhunen},
  editor       = {Frank Liu},
  title        = {Approximation knob: power capping meets energy efficiency},
  booktitle    = {Proceedings of the 35th International Conference on Computer-Aided
                  Design, {ICCAD} 2016, Austin, TX, USA, November 7-10, 2016},
  pages        = {122},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2966986.2967002},
  doi          = {10.1145/2966986.2967002},
  timestamp    = {Fri, 23 Jun 2023 22:29:48 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KanduriHRLJDT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkDKL16,
  author       = {Jurn{-}Gyu Park and
                  Nikil D. Dutt and
                  Hoyeonjiki Kim and
                  Sung{-}Soo Lim},
  title        = {HiCAP: Hierarchical FSM-based Dynamic Integrated {CPU-GPU} Frequency
                  Capping Governor for Energy-Efficient Mobile Gaming},
  booktitle    = {Proceedings of the 2016 International Symposium on Low Power Electronics
                  and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August
                  08 - 10, 2016},
  pages        = {218--223},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2934583.2934588},
  doi          = {10.1145/2934583.2934588},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ParkDKL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/MoazzemiHD16,
  author       = {Kasra Moazzemi and
                  Chen{-}Ying Hsieh and
                  Nikil D. Dutt},
  title        = {{HAMEX:} heterogeneous architecture and memory exploration framework},
  booktitle    = {2016 International Symposium on Rapid System Prototyping, {RSP} 2016,
                  Pittsburg, PA, USA, October 6-7, 2016},
  pages        = {100--106},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2990299.2990316},
  doi          = {10.1145/2990299.2990316},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/MoazzemiHD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sac/ParkHDL16,
  author       = {Jurn{-}Gyu Park and
                  Chen{-}Ying Hsieh and
                  Nikil D. Dutt and
                  Sung{-}Soo Lim},
  editor       = {Sascha Ossowski},
  title        = {Co-Cap: energy-efficient cooperative {CPU-GPU} frequency capping for
                  mobile games},
  booktitle    = {Proceedings of the 31st Annual {ACM} Symposium on Applied Computing,
                  Pisa, Italy, April 4-8, 2016},
  pages        = {1717--1723},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2851613.2851671},
  doi          = {10.1145/2851613.2851671},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sac/ParkHDL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DuttT16,
  author       = {Nikil D. Dutt and
                  Nima Taherinejad},
  title        = {Self-Awareness in Cyber-Physical Systems},
  booktitle    = {29th International Conference on {VLSI} Design and 15th International
                  Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January
                  4-8, 2016},
  pages        = {5--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSID.2016.129},
  doi          = {10.1109/VLSID.2016.129},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DuttT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/ShoushtariBD15,
  author       = {Majid Shoushtari and
                  Abbas BanaiyanMofrad and
                  Nikil D. Dutt},
  title        = {Exploiting Partially-Forgetful Memories for Approximate Computing},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {7},
  number       = {1},
  pages        = {19--22},
  year         = {2015},
  url          = {https://doi.org/10.1109/LES.2015.2393860},
  doi          = {10.1109/LES.2015.2393860},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/ShoushtariBD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/ShinKD15,
  author       = {Jun Yong Shin and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {Cooperative On-Chip Temperature EstimationUsing Multiple Virtual Sensors},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {7},
  number       = {2},
  pages        = {37--40},
  year         = {2015},
  url          = {https://doi.org/10.1109/LES.2015.2400992},
  doi          = {10.1109/LES.2015.2400992},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/ShinKD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/it/WannerLRGMHSADD15,
  author       = {Lucas Francisco Wanner and
                  Liangzhen Lai and
                  Abbas Rahimi and
                  Mark Gottscho and
                  Pietro Mercati and
                  Chu{-}Hsiang Huang and
                  Frederic Sala and
                  Yuvraj Agarwal and
                  Lara Dolecek and
                  Nikil D. Dutt and
                  Puneet Gupta and
                  Rajesh K. Gupta and
                  Ranjit Jhala and
                  Rakesh Kumar and
                  Sorin Lerner and
                  Subhasish Mitra and
                  Alexandru Nicolau and
                  Tajana Simunic Rosing and
                  Mani B. Srivastava and
                  Steven Swanson and
                  Dennis Sylvester and
                  Yuanyuan Zhou},
  title        = {{NSF} expedition on variability-aware software: Recent results and
                  contributions},
  journal      = {it Inf. Technol.},
  volume       = {57},
  number       = {3},
  pages        = {181--198},
  year         = {2015},
  url          = {https://doi.org/10.1515/itit-2014-1085},
  doi          = {10.1515/ITIT-2014-1085},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/it/WannerLRGMHSADD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jetc/KrichmarCD15,
  author       = {Jeffrey L. Krichmar and
                  Philippe Coussy and
                  Nikil D. Dutt},
  title        = {Large-Scale Spiking Neural Networks using Neuromorphic Hardware Compatible
                  Models},
  journal      = {{ACM} J. Emerg. Technol. Comput. Syst.},
  volume       = {11},
  number       = {4},
  pages        = {36:1--36:18},
  year         = {2015},
  url          = {https://doi.org/10.1145/2629509},
  doi          = {10.1145/2629509},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jetc/KrichmarCD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/nn/BeyelerODK15,
  author       = {Michael Beyeler and
                  Nicolas Oros and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {A GPU-accelerated cortical neural network model for visually guided
                  robot navigation},
  journal      = {Neural Networks},
  volume       = {72},
  pages        = {75--87},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.neunet.2015.09.005},
  doi          = {10.1016/J.NEUNET.2015.09.005},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/nn/BeyelerODK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/GottschoBDNG15,
  author       = {Mark Gottscho and
                  Abbas BanaiyanMofrad and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Puneet Gupta},
  title        = {{DPCS:} Dynamic Power/Capacity Scaling for {SRAM} Caches in the Nanoscale
                  Era},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {12},
  number       = {3},
  pages        = {27:1--27:26},
  year         = {2015},
  url          = {https://doi.org/10.1145/2792982},
  doi          = {10.1145/2792982},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/GottschoBDNG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/GottschoBDNG15,
  author       = {Mark Gottscho and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Puneet Gupta},
  title        = {ViPZonE: Hardware Power Variability-Aware Virtual Memory Management
                  for Energy Savings},
  journal      = {{IEEE} Trans. Computers},
  volume       = {64},
  number       = {5},
  pages        = {1483--1496},
  year         = {2015},
  url          = {https://doi.org/10.1109/TC.2014.2329675},
  doi          = {10.1109/TC.2014.2329675},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/GottschoBDNG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/BanaiyanMofradH15,
  author       = {Abbas BanaiyanMofrad and
                  Houman Homayoun and
                  Nikil D. Dutt},
  title        = {Using a Flexible Fault-Tolerant Cache to Improve Reliability for Ultra
                  Low Voltage Operation},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {14},
  number       = {2},
  pages        = {32:1--32:24},
  year         = {2015},
  url          = {https://doi.org/10.1145/2629566},
  doi          = {10.1145/2629566},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/BanaiyanMofradH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/MuckSD15,
  author       = {Tiago M{\"{u}}ck and
                  Santanu Sarma and
                  Nikil D. Dutt},
  editor       = {Gabriela Nicolescu and
                  Andreas Gerstlauer},
  title        = {Run-DMC: Runtime dynamic heterogeneous multicore performance and power
                  estimation for energy efficiency},
  booktitle    = {2015 International Conference on Hardware/Software Codesign and System
                  Synthesis, {CODES+ISSS} 2015, Amsterdam, Netherlands, October 4-9,
                  2015},
  pages        = {173--182},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/CODESISSS.2015.7331380},
  doi          = {10.1109/CODESISSS.2015.7331380},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/MuckSD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BalajiFDGA15,
  author       = {Bharathan Balaji and
                  Mohammad Abdullah Al Faruque and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Yuvraj Agarwal},
  title        = {Models, abstractions, and architectures: the missing links in cyber-physical
                  systems},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {82:1--82:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2747936},
  doi          = {10.1145/2744769.2747936},
  timestamp    = {Thu, 25 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BalajiFDGA15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SarmaMBDN15,
  author       = {Santanu Sarma and
                  Tiago M{\"{u}}ck and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {SmartBalance: a sensing-driven linux load balancer for energy efficiency
                  of heterogeneous MPSoCs},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {109:1--109:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2744769.2744911},
  doi          = {10.1145/2744769.2744911},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SarmaMBDN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SarmaDGVN15,
  author       = {Santanu Sarma and
                  Nikil D. Dutt and
                  Puneet Gupta and
                  Nalini Venkatasubramanian and
                  Alexandru Nicolau},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {Cyberphysical-system-on-chip (CPSoC): a self-aware MPSoC paradigm
                  with cross-layer virtual sensing and actuation},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {625--628},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755895},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/SarmaDGVN15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/HsiehPDL15,
  author       = {Chen{-}Ying Hsieh and
                  Jurn{-}Gyu Park and
                  Nikil D. Dutt and
                  Sung{-}Soo Lim},
  title        = {Memory-aware cooperative {CPU-GPU} {DVFS} governor for mobile games},
  booktitle    = {13th {IEEE} Symposium on Embedded Systems For Real-time Multimedia,
                  ESTIMedia 2015, Amsterdam, The Netherlands, October 8-9, 2015},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ESTIMedia.2015.7351775},
  doi          = {10.1109/ESTIMEDIA.2015.7351775},
  timestamp    = {Thu, 17 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/HsiehPDL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/BanaiyanMofradE15,
  author       = {Abbas BanaiyanMofrad and
                  Mojtaba Ebrahimi and
                  Fabian Oboril and
                  Mehdi Baradaran Tahoori and
                  Nikil D. Dutt},
  title        = {Protecting caches against multi-bit errors using embedded erasure
                  coding},
  booktitle    = {20th {IEEE} European Test Symposium, {ETS} 2015, Cluj-Napoca, Romania,
                  25-29 May, 2015},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ETS.2015.7138735},
  doi          = {10.1109/ETS.2015.7138735},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ets/BanaiyanMofradE15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DuttJS15,
  author       = {Nikil D. Dutt and
                  Axel Jantsch and
                  Santanu Sarma},
  editor       = {Diana Marculescu and
                  Frank Liu},
  title        = {Self-Aware Cyber-Physical Systems-on-Chip},
  booktitle    = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015},
  pages        = {46--50},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCAD.2015.7372548},
  doi          = {10.1109/ICCAD.2015.7372548},
  timestamp    = {Mon, 26 Jun 2023 16:43:56 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DuttJS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/BeyelerCCDK15,
  author       = {Michael Beyeler and
                  Kristofor D. Carlson and
                  Ting{-}Shuo Chou and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {CARLsim 3: {A} user-friendly and highly optimized library for the
                  creation of neurobiologically detailed spiking neural networks},
  booktitle    = {2015 International Joint Conference on Neural Networks, {IJCNN} 2015,
                  Killarney, Ireland, July 12-17, 2015},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/IJCNN.2015.7280424},
  doi          = {10.1109/IJCNN.2015.7280424},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/BeyelerCCDK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShinKD15,
  author       = {Jun Yong Shin and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {Thermal sensor allocation for SoCs based on temperature gradients},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {29--34},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085393},
  doi          = {10.1109/ISQED.2015.7085393},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShinKD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DangTDVB15,
  author       = {Nga Dang and
                  Hossein Tajik and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian and
                  Eli Bozorgzadeh},
  title        = {Orchestrated application quality and energy storage management in
                  solar-powered embedded systems},
  booktitle    = {Sixteenth International Symposium on Quality Electronic Design, {ISQED}
                  2015, Santa Clara, CA, USA, March 2-4, 2015},
  pages        = {227--233},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ISQED.2015.7085430},
  doi          = {10.1109/ISQED.2015.7085430},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DangTDVB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ita/LevoratoVD15,
  author       = {Marco Levorato and
                  Nalini Venkatasubramanian and
                  Nikil D. Dutt},
  title        = {Heat-aware transmission strategies},
  booktitle    = {2015 Information Theory and Applications Workshop, {ITA} 2015, San
                  Diego, CA, USA, February 1-6, 2015},
  pages        = {154--162},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ITA.2015.7308981},
  doi          = {10.1109/ITA.2015.7308981},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ita/LevoratoVD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/SarmaD15,
  author       = {Santanu Sarma and
                  Nikil D. Dutt},
  title        = {Cross-Layer Exploration of Heterogeneous Multicore Processor Configurations},
  booktitle    = {28th International Conference on {VLSI} Design, {VLSID} 2015, Bangalore,
                  India, January 3-7, 2015},
  pages        = {147--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSID.2015.30},
  doi          = {10.1109/VLSID.2015.30},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/SarmaD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/mmsys/2015movid,
  editor       = {P{\aa}l Halvorsen and
                  Nikil D. Dutt},
  title        = {Proceedings of the 7th {ACM} International Workshop on Mobile Video,
                  MoVid 2015, Portland, OR, USA, March 18-20, 2015},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2727040},
  isbn         = {978-1-4503-3353-5},
  timestamp    = {Mon, 09 Mar 2015 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mmsys/2015movid.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ni/BeyelerRDK14,
  author       = {Michael Beyeler and
                  Micah Richert and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {Efficient Spiking Neural Network Model of Pattern Motion Selectivity
                  in Visual Cortex},
  journal      = {Neuroinformatics},
  volume       = {12},
  number       = {3},
  pages        = {435--454},
  year         = {2014},
  url          = {https://doi.org/10.1007/s12021-014-9220-y},
  doi          = {10.1007/S12021-014-9220-Y},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ni/BeyelerRDK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangHSCBD14,
  author       = {Yi Wang and
                  Min Huang and
                  Zili Shao and
                  Henry C. B. Chan and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {A Reliability-Aware Address Mapping Strategy for {NAND} Flash Memory
                  Storage Systems},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {33},
  number       = {11},
  pages        = {1623--1631},
  year         = {2014},
  url          = {https://doi.org/10.1109/TCAD.2014.2347929},
  doi          = {10.1109/TCAD.2014.2347929},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangHSCBD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/BanaiyanMofradG14,
  author       = {Abbas BanaiyanMofrad and
                  Gustavo Gir{\~{a}}o and
                  Nikil D. Dutt},
  title        = {NoC-based fault-tolerant cache design in chip multiprocessors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {3s},
  pages        = {115:1--115:26},
  year         = {2014},
  url          = {https://doi.org/10.1145/2567939},
  doi          = {10.1145/2567939},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/BanaiyanMofradG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/BathenD14,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {Embedded RAIDs-on-chip for bus-based chip-multiprocessors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {4},
  pages        = {83:1--83:36},
  year         = {2014},
  url          = {https://doi.org/10.1145/2533316},
  doi          = {10.1145/2533316},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/BathenD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/DuttT14,
  author       = {Nikil D. Dutt and
                  Mehdi Baradaran Tahoori},
  title        = {Introduction to Special Issue on Cross-layer Dependable Embedded Systems},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {4s},
  pages        = {136:1--136:2},
  year         = {2014},
  url          = {https://doi.org/10.1145/2588610},
  doi          = {10.1145/2588610},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/DuttT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ChakrabortyHDDE14,
  author       = {Arup Chakraborty and
                  Houman Homayoun and
                  Amin Khajeh and
                  Nikil D. Dutt and
                  Ahmed M. Eltawil and
                  Fadi J. Kurdahi},
  title        = {Multicopy Cache: {A} Highly Energy-Efficient Cache Architecture},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {5s},
  pages        = {150:1--150:27},
  year         = {2014},
  url          = {https://doi.org/10.1145/2632162},
  doi          = {10.1145/2632162},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/ChakrabortyHDDE14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/BathenD14,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {\emph{SPMCloud}: Towards the Single-Chip Embedded ScratchPad Memory-Based
                  Storage Cloud},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {19},
  number       = {3},
  pages        = {22:1--22:45},
  year         = {2014},
  url          = {https://doi.org/10.1145/2611755},
  doi          = {10.1145/2611755},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/BathenD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WangSCBD14,
  author       = {Yi Wang and
                  Zili Shao and
                  Henry C. B. Chan and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {A Reliability Enhanced Address Mapping Strategy for Three-Dimensional
                  {(3-D)} {NAND} Flash Memory},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {22},
  number       = {11},
  pages        = {2402--2410},
  year         = {2014},
  url          = {https://doi.org/10.1109/TVLSI.2013.2288687},
  doi          = {10.1109/TVLSI.2013.2288687},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WangSCBD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/CarlsonBDK14,
  author       = {Kristofor D. Carlson and
                  Michael Beyeler and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {{GPGPU} accelerated simulation and parameter tuning for neuromorphic
                  applications},
  booktitle    = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2014, Singapore, January 20-23, 2014},
  pages        = {570--577},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASPDAC.2014.6742952},
  doi          = {10.1109/ASPDAC.2014.6742952},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/CarlsonBDK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/SarmaDGNV14,
  author       = {Santanu Sarma and
                  Nikil D. Dutt and
                  Puneet Gupta and
                  Alexandru Nicolau and
                  Nalini Venkatasubramanian},
  editor       = {Radu Marculescu and
                  Gabriela Nicolescu},
  title        = {On-chip self-awareness using Cyberphysical-Systems-on-Chip (CPSoC)},
  booktitle    = {2014 International Conference on Hardware/Software Codesign and System
                  Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17,
                  2014},
  pages        = {22:1--22:3},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2656075.2661648},
  doi          = {10.1145/2656075.2661648},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/SarmaDGNV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuttGNBGS14,
  author       = {Nikil D. Dutt and
                  Puneet Gupta and
                  Alex Nicolau and
                  Abbas BanaiyanMofrad and
                  Mark Gottscho and
                  Majid Shoushtari},
  title        = {Multi-Layer Memory Resiliency},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {48:1--48:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596684},
  doi          = {10.1145/2593069.2596684},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuttGNBGS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SarmaVD14,
  author       = {Santanu Sarma and
                  Nalini Venkatasubramanian and
                  Nikil D. Dutt},
  title        = {Sense-making from Distributed and Mobile Sensing Data: {A} Middleware
                  Perspective},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {68:1--68:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2596688},
  doi          = {10.1145/2593069.2596688},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SarmaVD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GottschoBDNG14,
  author       = {Mark Gottscho and
                  Abbas BanaiyanMofrad and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Puneet Gupta},
  title        = {Power / Capacity Scaling: Energy Savings With Simple Fault-Tolerant
                  Caches},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {100:1--100:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593184},
  doi          = {10.1145/2593069.2593184},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GottschoBDNG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/SarmaD14,
  author       = {Santanu Sarma and
                  Nikil D. Dutt},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {Minimal sparse observability of complex networks: Application to MPSoC
                  sensor placement and run-time thermal estimation {\&} tracking},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.342},
  doi          = {10.7873/DATE.2014.342},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/SarmaD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/ParkHDL14,
  author       = {Jurn{-}Gyu Park and
                  Chen{-}Ying Hsieh and
                  Nikil D. Dutt and
                  Sung{-}Soo Lim},
  title        = {Quality-aware mobile graphics workload characterization for energy-efficient
                  {DVFS} design},
  booktitle    = {12th {IEEE} Symposium on Embedded Systems for Real-time Multimedia,
                  ESTIMedia 2014, Greater Noida, India, October 16-17, 2014},
  pages        = {70--79},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ESTIMedia.2014.6962347},
  doi          = {10.1109/ESTIMEDIA.2014.6962347},
  timestamp    = {Thu, 17 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/ParkHDL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/SarmaD14,
  author       = {Santanu Sarma and
                  Nikil D. Dutt},
  title        = {{FPGA} emulation and prototyping of a cyberphysical-system-on-chip
                  (CPSoC)},
  booktitle    = {25nd {IEEE} International Symposium on Rapid System Prototyping, {RSP}
                  2014, New Delhi, India, October 16-17, 2014},
  pages        = {121--127},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/RSP.2014.6966902},
  doi          = {10.1109/RSP.2014.6966902},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/SarmaD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/BathenSLD13,
  author       = {Luis Angel D. Bathen and
                  Dongyoun Shin and
                  Sung{-}Soo Lim and
                  Nikil D. Dutt},
  title        = {Virtualizing on-chip distributed ScratchPad memories for low power
                  and trusted application execution},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {17},
  number       = {2},
  pages        = {377--409},
  year         = {2013},
  url          = {https://doi.org/10.1007/s10617-012-9100-3},
  doi          = {10.1007/S10617-012-9100-3},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/BathenSLD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ficn/AveryDK13,
  author       = {Michael C. Avery and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {A large-scale neural network model of the influence of neuromodulatory
                  levels on working memory and behavior},
  journal      = {Frontiers Comput. Neurosci.},
  volume       = {7},
  pages        = {133},
  year         = {2013},
  url          = {https://doi.org/10.3389/fncom.2013.00133},
  doi          = {10.3389/FNCOM.2013.00133},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ficn/AveryDK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/nn/BeyelerDK13,
  author       = {Michael Beyeler and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {Categorization and decision-making in a neurobiologically plausible
                  spiking network using a STDP-like learning rule},
  journal      = {Neural Networks},
  volume       = {48},
  pages        = {109--124},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.neunet.2013.07.012},
  doi          = {10.1016/J.NEUNET.2013.07.012},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/nn/BeyelerDK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GuptaADDGKMNRSSS13,
  author       = {Puneet Gupta and
                  Yuvraj Agarwal and
                  Lara Dolecek and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Rakesh Kumar and
                  Subhasish Mitra and
                  Alexandru Nicolau and
                  Tajana Simunic Rosing and
                  Mani B. Srivastava and
                  Steven Swanson and
                  Dennis Sylvester},
  title        = {Underdesigned and Opportunistic Computing in Presence of Hardware
                  Variability},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {32},
  number       = {1},
  pages        = {8--23},
  year         = {2013},
  url          = {https://doi.org/10.1109/TCAD.2012.2223467},
  doi          = {10.1109/TCAD.2012.2223467},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/GuptaADDGKMNRSSS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/BathenAPD13,
  author       = {Luis Angel D. Bathen and
                  Yongjin Ahn and
                  Sudeep Pasricha and
                  Nikil D. Dutt},
  title        = {MultiMaKe: Chip-multiprocessor driven memory-aware kernel pipelining},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {12},
  number       = {1s},
  pages        = {59:1--59:25},
  year         = {2013},
  url          = {https://doi.org/10.1145/2435227.2435255},
  doi          = {10.1145/2435227.2435255},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/BathenAPD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DuttGNBG13,
  author       = {Nikil D. Dutt and
                  Puneet Gupta and
                  Alex Nicolau and
                  Luis Angel D. Bathen and
                  Mark Gottscho},
  title        = {Variability-aware memory management for nanoscale computing},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {125--132},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509584},
  doi          = {10.1109/ASPDAC.2013.6509584},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/DuttGNBG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Hara-AzumiAD13,
  author       = {Yuko Hara{-}Azumi and
                  Takuya Azumi and
                  Nikil D. Dutt},
  title        = {{VISA} synthesis: Variation-aware Instruction Set Architecture synthesis},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {243--248},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509603},
  doi          = {10.1109/ASPDAC.2013.6509603},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Hara-AzumiAD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/CarlsonNDK13,
  author       = {Kristofor D. Carlson and
                  Jayram Moorkanikara Nageswaran and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {Design space exploration and parameter tuning for neuromorphic applications},
  booktitle    = {Proceedings of the International Conference on Hardware/Software Codesign
                  and System Synthesis, {CODES+ISSS} 2013, Montreal, QC, Canada, September
                  29 - October 4, 2013},
  pages        = {20:1--20:2},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CODES-ISSS.2013.6659007},
  doi          = {10.1109/CODES-ISSS.2013.6659007},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/CarlsonNDK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/Namaki-ShoushtariRDGG13,
  author       = {Majid Namaki{-}Shoushtari and
                  Abbas Rahimi and
                  Nikil D. Dutt and
                  Puneet Gupta and
                  Rajesh K. Gupta},
  title        = {{ARGO:} Aging-aware {GPGPU} register file allocation},
  booktitle    = {Proceedings of the International Conference on Hardware/Software Codesign
                  and System Synthesis, {CODES+ISSS} 2013, Montreal, QC, Canada, September
                  29 - October 4, 2013},
  pages        = {30:1--30:9},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CODES-ISSS.2013.6659017},
  doi          = {10.1109/CODES-ISSS.2013.6659017},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/Namaki-ShoushtariRDGG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HenkelBDGNSTW13,
  author       = {J{\"{o}}rg Henkel and
                  Lars Bauer and
                  Nikil D. Dutt and
                  Puneet Gupta and
                  Sani R. Nassif and
                  Muhammad Shafique and
                  Mehdi Baradaran Tahoori and
                  Norbert Wehn},
  title        = {Reliable on-chip systems in the nano-era: lessons learnt and future
                  trends},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {99:1--99:10},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488857},
  doi          = {10.1145/2463209.2488857},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HenkelBDGNSTW13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/TajikHD13,
  author       = {Hossein Tajik and
                  Houman Homayoun and
                  Nikil D. Dutt},
  title        = {{VAWOM:} temperature and process variation aware wearout management
                  in 3D multicore architecture},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {178:1--178:8},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488953},
  doi          = {10.1145/2463209.2488953},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/TajikHD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BanaiyanMofradDG13,
  author       = {Abbas BanaiyanMofrad and
                  Nikil D. Dutt and
                  Gustavo Gir{\~{a}}o},
  editor       = {Enrico Macii},
  title        = {Modeling and analysis of fault-tolerant distributed memories for networks-on-chip},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {1605--1608},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.326},
  doi          = {10.7873/DATE.2013.326},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/BanaiyanMofradDG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/Dutt13,
  author       = {Nikil D. Dutt},
  title        = {Outlook for many-core systems: Cloudy with a chance of virtualization},
  booktitle    = {18th {IEEE} European Test Symposium, {ETS} 2013, Avignon, France,
                  May 27-30, 2013},
  pages        = {1},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ETS.2013.6569347},
  doi          = {10.1109/ETS.2013.6569347},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/Dutt13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/BanaiyanMofradHKTD13,
  author       = {Abbas BanaiyanMofrad and
                  Houam Homayoun and
                  Vasileios Kontorinis and
                  Dean M. Tullsen and
                  Nikil D. Dutt},
  title        = {{REMEDIATE:} {A} scalable fault-tolerant architecture for low-power
                  {NUCA} cache in tiled CMPs},
  booktitle    = {International Green Computing Conference, {IGCC} 2013, Arlington,
                  VA, USA, June 27-29, 2013, Proceedings},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/IGCC.2013.6604500},
  doi          = {10.1109/IGCC.2013.6604500},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/green/BanaiyanMofradHKTD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/CarlsonRDK13,
  author       = {Kristofor D. Carlson and
                  Micah Richert and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {Biologically plausible models of homeostasis and {STDP:} Stability
                  and learning in spiking neural networks},
  booktitle    = {The 2013 International Joint Conference on Neural Networks, {IJCNN}
                  2013, Dallas, TX, USA, August 4-9, 2013},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/IJCNN.2013.6706961},
  doi          = {10.1109/IJCNN.2013.6706961},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/CarlsonRDK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ShinDK13,
  author       = {Jun Yong Shin and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  title        = {Vision-inspired global routing for enhanced performance and reliability},
  booktitle    = {International Symposium on Quality Electronic Design, {ISQED} 2013,
                  Santa Clara, CA, USA, March 4-6, 2013},
  pages        = {239--244},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISQED.2013.6523616},
  doi          = {10.1109/ISQED.2013.6523616},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ShinDK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/soca/LimIDLSLL13,
  author       = {Sung{-}Soo Lim and
                  Eun{-}Jin Im and
                  Nikil D. Dutt and
                  Kyung{-}Woo Lee and
                  Insik Shin and
                  Chang{-}Gun Lee and
                  Insup Lee},
  title        = {A Reliable, Safe, and Secure Run-Time Platform for Cyber Physical
                  Systems},
  booktitle    = {2013 {IEEE} 6th International Conference on Service-Oriented Computing
                  and Applications, Koloa, HI, USA, December 16-18, 2013},
  pages        = {268--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/SOCA.2013.65},
  doi          = {10.1109/SOCA.2013.65},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/soca/LimIDLSLL13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/TanimuraD12,
  author       = {Kazuyuki Tanimura and
                  Nikil D. Dutt},
  title        = {{HDRL:} Homogeneous Dual-Rail Logic for {DPA} Attack Resistive Secure
                  Circuit Design},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {4},
  number       = {3},
  pages        = {57--60},
  year         = {2012},
  url          = {https://doi.org/10.1109/LES.2012.2193115},
  doi          = {10.1109/LES.2012.2193115},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/TanimuraD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esl/XueD12,
  author       = {Chun Jason Xue and
                  Nikil D. Dutt},
  title        = {Guest Editorial Special Section on Memory Architectures and Organization},
  journal      = {{IEEE} Embed. Syst. Lett.},
  volume       = {4},
  number       = {4},
  pages        = {81},
  year         = {2012},
  url          = {https://doi.org/10.1109/LES.2012.2227452},
  doi          = {10.1109/LES.2012.2227452},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esl/XueD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jisa/DenkerDMSTV12,
  author       = {Grit Denker and
                  Nikil D. Dutt and
                  Sharad Mehrotra and
                  Mark{-}Oliver Stehr and
                  Carolyn L. Talcott and
                  Nalini Venkatasubramanian},
  title        = {Resilient dependable cyber-physical systems: a middleware perspective},
  journal      = {J. Internet Serv. Appl.},
  volume       = {3},
  number       = {1},
  pages        = {41--49},
  year         = {2012},
  url          = {https://doi.org/10.1007/s13174-011-0057-4},
  doi          = {10.1007/S13174-011-0057-4},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jisa/DenkerDMSTV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AnsaloniTPD12,
  author       = {Giovanni Ansaloni and
                  Kazuyuki Tanimura and
                  Laura Pozzi and
                  Nikil D. Dutt},
  title        = {Integrated Kernel Partitioning and Scheduling for Coarse-Grained Reconfigurable
                  Arrays},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {31},
  number       = {12},
  pages        = {1803--1816},
  year         = {2012},
  url          = {https://doi.org/10.1109/TCAD.2012.2209886},
  doi          = {10.1109/TCAD.2012.2209886},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AnsaloniTPD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/LeeDV12,
  author       = {Kyoungwoo Lee and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {{EAVE:} Error-Aware Video Encoding Supporting Extended Energy/QoS
                  Trade-offs for Mobile Embedded Systems},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {11},
  number       = {2},
  pages        = {37:1--37:28},
  year         = {2012},
  url          = {https://doi.org/10.1145/2220336.2220349},
  doi          = {10.1145/2220336.2220349},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/LeeDV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/KimSTDV12,
  author       = {Minyoung Kim and
                  Mark{-}Oliver Stehr and
                  Carolyn L. Talcott and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {xTune: {A} formal methodology for cross-layer tuning of mobile embedded
                  systems},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {11},
  number       = {4},
  pages        = {73:1--73:23},
  year         = {2012},
  url          = {https://doi.org/10.1145/2362336.2362340},
  doi          = {10.1145/2362336.2362340},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/KimSTDV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/DickSD12,
  author       = {Robert P. Dick and
                  Li Shang and
                  Nikil D. Dutt},
  title        = {Introduction to special section SCPS'09},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {11},
  number       = {4},
  pages        = {74:1},
  year         = {2012},
  url          = {https://doi.org/10.1145/2362336.2362341},
  doi          = {10.1145/2362336.2362341},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/DickSD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/Gordon-RossVD12,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid and
                  Nikil D. Dutt},
  title        = {Combining code reordering and cache configuration},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {11},
  number       = {4},
  pages        = {88:1--88:20},
  year         = {2012},
  url          = {https://doi.org/10.1145/2362336.2399177},
  doi          = {10.1145/2362336.2399177},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/Gordon-RossVD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/KhajehKDEK12,
  author       = {Amin Khajeh and
                  Minyoung Kim and
                  Nikil D. Dutt and
                  Ahmed M. Eltawil and
                  Fadi J. Kurdahi},
  title        = {Error-Aware Algorithm/Architecture Coexploration for Video Over Wireless
                  Applications},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {11},
  number       = {{S1}},
  pages        = {15},
  year         = {2012},
  url          = {https://doi.org/10.1145/2180887.2180892},
  doi          = {10.1145/2180887.2180892},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/KhajehKDEK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BathenGDNG12,
  author       = {Luis Angel D. Bathen and
                  Mark Gottscho and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Puneet Gupta},
  editor       = {Ahmed Jerraya and
                  Luca P. Carloni and
                  Naehyuck Chang and
                  Franco Fummi},
  title        = {ViPZonE: OS-level memory variability-driven physical address zoning
                  for energy savings},
  booktitle    = {Proceedings of the 10th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12
                  Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012},
  pages        = {33--42},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2380445.2380457},
  doi          = {10.1145/2380445.2380457},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/BathenGDNG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BanaiyanMofradGD12,
  author       = {Abbas BanaiyanMofrad and
                  Gustavo Gir{\~{a}}o and
                  Nikil D. Dutt},
  editor       = {Ahmed Jerraya and
                  Luca P. Carloni and
                  Naehyuck Chang and
                  Franco Fummi},
  title        = {A novel NoC-based design for fault-tolerance of last-level caches
                  in CMPs},
  booktitle    = {Proceedings of the 10th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12
                  Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012},
  pages        = {63--72},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2380445.2380461},
  doi          = {10.1145/2380445.2380461},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/BanaiyanMofradGD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/TanimuraD12,
  author       = {Kazuyuki Tanimura and
                  Nikil D. Dutt},
  editor       = {Ahmed Jerraya and
                  Luca P. Carloni and
                  Naehyuck Chang and
                  Franco Fummi},
  title        = {{LRCG:} latch-based random clock-gating for preventing power analysis
                  side-channel attacks},
  booktitle    = {Proceedings of the 10th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12
                  Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012},
  pages        = {453--462},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2380445.2380515},
  doi          = {10.1145/2380445.2380515},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/TanimuraD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangBDS12,
  author       = {Yi Wang and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Zili Shao},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {Meta-Cure: a reliability enhancement strategy for metadata in {NAND}
                  flash memory storage systems},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {214--219},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228401},
  doi          = {10.1145/2228360.2228401},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WangBDS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BathenD12,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {\emph{HaVOC}: a hybrid memory-aware virtualization layer for on-chip
                  distributed ScratchPad and non-volatile memories},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {447--452},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228438},
  doi          = {10.1145/2228360.2228438},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/BathenD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BathenDNG12,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Puneet Gupta},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {VaMV: Variability-aware Memory Virtualization},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {284--287},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176479},
  doi          = {10.1109/DATE.2012.6176479},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BathenDNG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WangBSD12,
  author       = {Yi Wang and
                  Luis Angel D. Bathen and
                  Zili Shao and
                  Nikil D. Dutt},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {3D-FlashMap: {A} physical-location-aware block mapping strategy for
                  3D {NAND} flash memory},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {1307--1312},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176694},
  doi          = {10.1109/DATE.2012.6176694},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/WangBSD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/StancuBDN12,
  author       = {Liviu Codrut Stancu and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Alex Nicolau},
  title        = {AVid: Annotation driven video decoding for hybrid memories},
  booktitle    = {{IEEE} 10th Symposium on Embedded Systems for Real-time Multimedia,
                  ESTIMedia 2012, Tampere, Finland, October 11-12, 2012},
  pages        = {2--11},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ESTIMedia.2012.6507022},
  doi          = {10.1109/ESTIMEDIA.2012.6507022},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/StancuBDN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/esweek/JeongKLD12,
  author       = {Gu{-}Min Jeong and
                  Dong{-}Byeong Kang and
                  Sung{-}Soo Lim and
                  Nikil D. Dutt},
  editor       = {Peter Marwedel},
  title        = {An advanced course design for mobile embedded software through Android
                  programming},
  booktitle    = {Proceedings of the Workshop on Embedded and Cyber-Physical Systems
                  Education, {WESE} 2012, Tampere, Finland, October 12, 2012},
  pages        = {5},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2530544.2530549},
  doi          = {10.1145/2530544.2530549},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/esweek/JeongKLD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/AveryKD12,
  author       = {Michael C. Avery and
                  Jeffrey L. Krichmar and
                  Nikil D. Dutt},
  title        = {Spiking neuron model of basal forebrain enhancement of visual attention},
  booktitle    = {The 2012 International Joint Conference on Neural Networks (IJCNN),
                  Brisbane, Australia, June 10-15, 2012},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/IJCNN.2012.6252578},
  doi          = {10.1109/IJCNN.2012.6252578},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ijcnn/AveryKD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/ShaoCD12,
  author       = {Zili Shao and
                  Naehyuck Chang and
                  Nikil D. Dutt},
  title        = {{PTL:} {PCM} Translation Layer},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2012, Amherst,
                  MA, USA, August 19-21, 2012},
  pages        = {380--385},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISVLSI.2012.75},
  doi          = {10.1109/ISVLSI.2012.75},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/ShaoCD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/middleware/SarmaDV12,
  author       = {Santanu Sarma and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Paulo Ferreira and
                  Lu{\'{\i}}s Veiga and
                  Filipe Ara{\'{u}}jo},
  title        = {Cross-layer virtual observers for embedded multiprocessor system-on-chip
                  (MPSoC)},
  booktitle    = {Proceedings of the 11th Workshop on Adaptive and Reflective Middleware,
                  {ARM} 2012, held at the {ACM/IFIP/USENIX} International Middleware
                  Conference, December 4, 2012, Montreal, QC, Canada},
  pages        = {4},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2405679.2405683},
  doi          = {10.1145/2405679.2405683},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/middleware/SarmaDV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/Dutt12,
  author       = {Nikil D. Dutt},
  title        = {Keynote speach},
  booktitle    = {Proceedings of the 23rd {IEEE} International Symposium on Rapid System
                  Prototyping, {RSP} 2012, Tampere, Finland, October 11-12, 2012},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/RSP.2012.6380682},
  doi          = {10.1109/RSP.2012.6380682},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rsp/Dutt12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtcsa/BathenD12,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {Software Controlled Memories for Scalable Many-Core Architectures},
  booktitle    = {2012 {IEEE} International Conference on Embedded and Real-Time Computing
                  Systems and Applications, {RTCSA} 2012, Seoul, Korea (South), August
                  19-22, 2012},
  pages        = {1--10},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/RTCSA.2012.60},
  doi          = {10.1109/RTCSA.2012.60},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtcsa/BathenD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DuttSGM12,
  author       = {Nikil D. Dutt and
                  Mani B. Srivastava and
                  Rajesh Gupta and
                  Subhasish Mitra},
  editor       = {Vishwani D. Agrawal and
                  Srimat T. Chakradhar},
  title        = {Tutorial {T6:} Variability-resistant Software and Hardware for Nano-Scale
                  Computing},
  booktitle    = {25th International Conference on {VLSI} Design, Hyderabad, India,
                  January 7-11, 2012},
  pages        = {22--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/VLSID.2012.33},
  doi          = {10.1109/VLSID.2012.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DuttSGM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/fini/RichertNDK11,
  author       = {Micah Richert and
                  Jayram Moorkanikara Nageswaran and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {An Efficient Simulation Environment for Modeling Large-Scale Cortical
                  Processing},
  journal      = {Frontiers Neuroinformatics},
  volume       = {5},
  pages        = {19},
  year         = {2011},
  url          = {https://doi.org/10.3389/fninf.2011.00019},
  doi          = {10.3389/FNINF.2011.00019},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/fini/RichertNDK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LeeCD11,
  author       = {Ganghee Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  title        = {Mapping Multi-Domain Applications Onto Coarse-Grained Reconfigurable
                  Architectures},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {30},
  number       = {5},
  pages        = {637--650},
  year         = {2011},
  url          = {https://doi.org/10.1109/TCAD.2010.2098571},
  doi          = {10.1109/TCAD.2010.2098571},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LeeCD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ParkPKD11,
  author       = {Young{-}Hwan Park and
                  Sudeep Pasricha and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {A Multi-Granularity Power Modeling Methodology for Embedded Processors},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {19},
  number       = {4},
  pages        = {668--681},
  year         = {2011},
  url          = {https://doi.org/10.1109/TVLSI.2009.2039153},
  doi          = {10.1109/TVLSI.2009.2039153},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ParkPKD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/birthday/KimSTDV11,
  author       = {Minyoung Kim and
                  Mark{-}Oliver Stehr and
                  Carolyn L. Talcott and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Gul Agha and
                  Olivier Danvy and
                  Jos{\'{e}} Meseguer},
  title        = {A Formal Methodology for Compositional Cross-Layer Optimization},
  booktitle    = {Formal Modeling: Actors, Open Systems, Biological Systems - Essays
                  Dedicated to Carolyn Talcott on the Occasion of Her 70th Birthday},
  series       = {Lecture Notes in Computer Science},
  volume       = {7000},
  pages        = {207--222},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-24933-4\_10},
  doi          = {10.1007/978-3-642-24933-4\_10},
  timestamp    = {Thu, 21 Sep 2023 09:08:34 +0200},
  biburl       = {https://dblp.org/rec/conf/birthday/KimSTDV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/BanaiyanMofradHD11,
  author       = {Abbas BanaiyanMofrad and
                  Houman Homayoun and
                  Nikil D. Dutt},
  editor       = {Rajesh K. Gupta and
                  Vincent John Mooney},
  title        = {FFT-cache: a flexible fault-tolerant cache architecture for ultra
                  low voltage operation},
  booktitle    = {Proceedings of the 14th International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2011, part of the Seventh
                  Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14,
                  2011},
  pages        = {95--104},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2038698.2038715},
  doi          = {10.1145/2038698.2038715},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/BanaiyanMofradHD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BathenDSL11,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Dongyoun Shin and
                  Sung{-}Soo Lim},
  editor       = {Robert P. Dick and
                  Jan Madsen},
  title        = {SPMVisor: dynamic scratchpad memory virtualization for secure, low
                  power, and high performance distributed on-chip memories},
  booktitle    = {Proceedings of the 9th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2011, part of ESWeek '11
                  Seventh Embedded Systems Week, Taipei, Taiwan, 9-14 October, 2011},
  pages        = {79--88},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2039370.2039386},
  doi          = {10.1145/2039370.2039386},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/BathenDSL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BathenD11,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {E-RoC: Embedded RAIDs-on-Chip for low power distributed dynamically
                  managed reliable memories},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1141--1146},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763191},
  doi          = {10.1109/DATE.2011.5763191},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BathenD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AnsaloniPTD11,
  author       = {Giovanni Ansaloni and
                  Laura Pozzi and
                  Kazuyuki Tanimura and
                  Nikil D. Dutt},
  title        = {Slack-aware scheduling on Coarse Grained Reconfigurable Arrays},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France,
                  March 14-18, 2011},
  pages        = {1513--1516},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/DATE.2011.5763323},
  doi          = {10.1109/DATE.2011.5763323},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/AnsaloniPTD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/BathenD11,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {TrustGeM: Dynamic trusted environment generation for chip-multiprocessors},
  booktitle    = {{HOST} 2011, Proceedings of the 2011 {IEEE} International Symposium
                  on Hardware-Oriented Security and Trust (HOST), 5-6 June 2011, San
                  Diego, California, {USA}},
  pages        = {47--50},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/HST.2011.5954994},
  doi          = {10.1109/HST.2011.5954994},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/BathenD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KrichmarDNR11,
  author       = {Jeffrey L. Krichmar and
                  Nikil D. Dutt and
                  Jayram Moorkanikara Nageswaran and
                  Micah Richert},
  editor       = {Joel R. Phillips and
                  Alan J. Hu and
                  Helmut Graeb},
  title        = {Neuromorphic modeling abstractions and simulation of large-scale cortical
                  networks},
  booktitle    = {2011 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011},
  pages        = {334--338},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ICCAD.2011.6105350},
  doi          = {10.1109/ICCAD.2011.6105350},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KrichmarDNR11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/dac/2011,
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {http://dl.acm.org/citation.cfm?id=2024724},
  isbn         = {978-1-4503-0636-2},
  timestamp    = {Tue, 06 Sep 2011 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LeeSDV10,
  author       = {Kyoungwoo Lee and
                  Aviral Shrivastava and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {Partitioning techniques for partially protected caches in resource-constrained
                  embedded systems},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {15},
  number       = {4},
  pages        = {30:1--30:30},
  year         = {2010},
  url          = {https://doi.org/10.1145/1835420.1835423},
  doi          = {10.1145/1835420.1835423},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/LeeSDV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/BanerjeeBND10,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Juanjo Noguera and
                  Nikil D. Dutt},
  title        = {Bandwidth Management in Application Mapping for Dynamically Reconfigurable
                  Architectures},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {3},
  number       = {3},
  pages        = {18:1--18:30},
  year         = {2010},
  url          = {https://doi.org/10.1145/1839480.1839488},
  doi          = {10.1145/1839480.1839488},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/BanerjeeBND10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PasrichaPKD10,
  author       = {Sudeep Pasricha and
                  Young{-}Hwan Park and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {{CAPPS:} {A} Framework for Power-Performance Tradeoffs in Bus-Matrix-Based
                  On-Chip Communication Architecture Synthesis},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {2},
  pages        = {209--221},
  year         = {2010},
  url          = {https://doi.org/10.1109/TVLSI.2008.2009304},
  doi          = {10.1109/TVLSI.2008.2009304},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PasrichaPKD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PasrichaKD10,
  author       = {Sudeep Pasricha and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {Evaluating Carbon Nanotube Global Interconnects for Chip Multiprocessor
                  Applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {18},
  number       = {9},
  pages        = {1376--1380},
  year         = {2010},
  url          = {https://doi.org/10.1109/TVLSI.2009.2024118},
  doi          = {10.1109/TVLSI.2009.2024118},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PasrichaKD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/arc/LeeLCD10,
  author       = {Ganghee Lee and
                  Seokhyun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  editor       = {Phaophak Sirisuk and
                  Fearghal Morgan and
                  Tarek A. El{-}Ghazawi and
                  Hideharu Amano},
  title        = {Routing-Aware Application Mapping Considering Steiner Points for Coarse-Grained
                  Reconfigurable Architecture},
  booktitle    = {Reconfigurable Computing: Architectures, Tools and Applications, 6th
                  International Symposium, {ARC} 2010, Bangkok, Thailand, March 17-19,
                  2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5992},
  pages        = {231--243},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-12133-3\_22},
  doi          = {10.1007/978-3-642-12133-3\_22},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/arc/LeeLCD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/BathenD10,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt},
  title        = {PoliMakE: a policy making engine for secure embedded software execution
                  on chip-multiprocessors},
  booktitle    = {Proceedings of the 5th Workshop on Embedded Systems Security, {WESS}
                  2010, Scottsdale, AZ, USA, October 24, 2010},
  pages        = {2},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1873548.1873550},
  doi          = {10.1145/1873548.1873550},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/BathenD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ChakrabortyHKDEK10,
  author       = {Arup Chakraborty and
                  Houman Homayoun and
                  Amin Khajeh and
                  Nikil D. Dutt and
                  Ahmed M. Eltawil and
                  Fadi J. Kurdahi},
  editor       = {Vinod Kathail and
                  Reid Tatge and
                  Rajeev Barua},
  title        = {E {\textless} {MC2:} less energy through multi-copy cache},
  booktitle    = {Proceedings of the 2010 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2010, Scottsdale, AZ,
                  USA, October 24-29, 2010},
  pages        = {237--246},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1878921.1878956},
  doi          = {10.1145/1878921.1878956},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/ChakrabortyHKDEK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cf/HomayounSGVKD10,
  author       = {Houman Homayoun and
                  Avesta Sasan and
                  Aseem Gupta and
                  Alexander V. Veidenbaum and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  editor       = {Nancy M. Amato and
                  Hubertus Franke and
                  Paul H. J. Kelly},
  title        = {Multiple sleep modes leakage control in peripheral circuits of a all
                  major SRAM-based processor units},
  booktitle    = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro,
                  Italy, May 17-19, 2010},
  pages        = {297--308},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1787275.1787339},
  doi          = {10.1145/1787275.1787339},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cf/HomayounSGVKD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hipeac/HomayounGVSKD10,
  author       = {Houman Homayoun and
                  Aseem Gupta and
                  Alexander V. Veidenbaum and
                  Avesta Sasan and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  editor       = {Yale N. Patt and
                  Pierfrancesco Foglia and
                  Evelyn Duesterwald and
                  Paolo Faraboschi and
                  Xavier Martorell},
  title        = {{RELOCATE:} Register File Local Access Pattern Redistribution Mechanism
                  for Power and Thermal Management in Out-of-Order Embedded Processor},
  booktitle    = {High Performance Embedded Architectures and Compilers, 5th International
                  Conference, HiPEAC 2010, Pisa, Italy, January 25-27, 2010. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5952},
  pages        = {216--231},
  publisher    = {Springer},
  year         = {2010},
  url          = {https://doi.org/10.1007/978-3-642-11515-8\_17},
  doi          = {10.1007/978-3-642-11515-8\_17},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hipeac/HomayounGVSKD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/host/TanimuraD10,
  author       = {Kazuyuki Tanimura and
                  Nikil D. Dutt},
  editor       = {Jim Plusquellic and
                  Ken Mai},
  title        = {ExCCel: Exploration of Complementary Cells for Efficient {DPA} Attack
                  Resistivity},
  booktitle    = {{HOST} 2010, Proceedings of the 2010 {IEEE} International Symposium
                  on Hardware-Oriented Security and Trust (HOST), 13-14 June 2010, Anaheim
                  Convention Center, California, {USA}},
  pages        = {52--55},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/HST.2010.5513113},
  doi          = {10.1109/HST.2010.5513113},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/host/TanimuraD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/NageswaranRDK10,
  author       = {Jayram Moorkanikara Nageswaran and
                  Micah Richert and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar},
  title        = {Towards reverse engineering the brain: Modeling abstractions and simulation
                  frameworks},
  booktitle    = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International
                  Conference on Very Large Scale Integration of System-on-Chip, Madrid,
                  Spain, 27-29 September 2010},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSISOC.2010.5642630},
  doi          = {10.1109/VLSISOC.2010.5642630},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/NageswaranRDK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/DuttT09,
  author       = {Nikil D. Dutt and
                  J{\"{u}}rgen Teich},
  title        = {{CODES+ISSS} 2007 guest editors' introduction},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {13},
  number       = {1-2},
  pages        = {51--52},
  year         = {2009},
  url          = {https://doi.org/10.1007/s10617-008-9036-9},
  doi          = {10.1007/S10617-008-9036-9},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/DuttT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/NageswaranFCDGNV09,
  author       = {Jayram Moorkanikara Nageswaran and
                  Andrew Felch and
                  Ashok Chandrasekhar and
                  Nikil D. Dutt and
                  Richard Granger and
                  Alex Nicolau and
                  Alexander V. Veidenbaum},
  title        = {Brain Derived Vision Algorithm on High Performance Architectures},
  journal      = {Int. J. Parallel Program.},
  volume       = {37},
  number       = {4},
  pages        = {345--369},
  year         = {2009},
  url          = {https://doi.org/10.1007/s10766-009-0106-9},
  doi          = {10.1007/S10766-009-0106-9},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/NageswaranFCDGNV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/nn/NageswaranDKNV09,
  author       = {Jayram Moorkanikara Nageswaran and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar and
                  Alex Nicolau and
                  Alexander V. Veidenbaum},
  title        = {A configurable simulation environment for the efficient simulation
                  of large-scale spiking neural networks on graphics processors},
  journal      = {Neural Networks},
  volume       = {22},
  number       = {5-6},
  pages        = {791--800},
  year         = {2009},
  url          = {https://doi.org/10.1016/j.neunet.2009.06.028},
  doi          = {10.1016/J.NEUNET.2009.06.028},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/nn/NageswaranDKNV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShrivastavaIDPP09,
  author       = {Aviral Shrivastava and
                  Ilya Issenin and
                  Nikil D. Dutt and
                  Sanghyun Park and
                  Yunheung Paek},
  title        = {Compiler-in-the-Loop Design Space Exploration Framework for Energy
                  Reduction in Horizontally Partitioned Cache Architectures},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {3},
  pages        = {461--465},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2013275},
  doi          = {10.1109/TCAD.2009.2013275},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ShrivastavaIDPP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ChoPIDAP09,
  author       = {Doosan Cho and
                  Sudeep Pasricha and
                  Ilya Issenin and
                  Nikil D. Dutt and
                  Minwook Ahn and
                  Yunheung Paek},
  title        = {Adaptive Scratch Pad Memory Management for Dynamic Behavior of Multimedia
                  Applications},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {28},
  number       = {4},
  pages        = {554--567},
  year         = {2009},
  url          = {https://doi.org/10.1109/TCAD.2009.2014002},
  doi          = {10.1109/TCAD.2009.2014002},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ChoPIDAP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ReshadiMD09,
  author       = {Mehrdad Reshadi and
                  Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Hybrid-compiled simulation: An efficient technique for instruction-set
                  architecture simulation},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {8},
  number       = {3},
  pages        = {20:1--20:27},
  year         = {2009},
  url          = {https://doi.org/10.1145/1509288.1509292},
  doi          = {10.1145/1509288.1509292},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/ReshadiMD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tii/MadlPDA09,
  author       = {Gabor Madl and
                  Sudeep Pasricha and
                  Nikil D. Dutt and
                  Sherif Abdelwahed},
  title        = {Cross-abstraction Functional Verification and Performance Analysis
                  of Chip Multiprocessor Designs},
  journal      = {{IEEE} Trans. Ind. Informatics},
  volume       = {5},
  number       = {3},
  pages        = {241--256},
  year         = {2009},
  url          = {https://doi.org/10.1109/TII.2009.2026896},
  doi          = {10.1109/TII.2009.2026896},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tii/MadlPDA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/PasrichaPDK09,
  author       = {Sudeep Pasricha and
                  Young{-}Hwan Park and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  title        = {System-level {PVT} variation-aware power exploration of on-chip communication
                  architectures},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {14},
  number       = {2},
  pages        = {20:1--20:25},
  year         = {2009},
  url          = {https://doi.org/10.1145/1497561.1497563},
  doi          = {10.1145/1497561.1497563},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/PasrichaPDK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/Gordon-RossVD09,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid and
                  Nikil D. Dutt},
  title        = {Fast Configurable-Cache Tuning With a Unified Second-Level Cache},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {1},
  pages        = {80--91},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2002459},
  doi          = {10.1109/TVLSI.2008.2002459},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/Gordon-RossVD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BanerjeeBD09,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Nikil D. Dutt},
  title        = {Exploiting Application Data-Parallelism on Dynamically Reconfigurable
                  Architectures: Placement and Architectural Considerations},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {2},
  pages        = {234--247},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2003490},
  doi          = {10.1109/TVLSI.2008.2003490},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BanerjeeBD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LeeSIDV09,
  author       = {Kyoungwoo Lee and
                  Aviral Shrivastava and
                  Ilya Issenin and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {Partially Protected Caches to Reduce Failures Due to Soft Errors in
                  Multimedia Applications},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {17},
  number       = {9},
  pages        = {1343--1347},
  year         = {2009},
  url          = {https://doi.org/10.1109/TVLSI.2008.2002427},
  doi          = {10.1109/TVLSI.2008.2002427},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LeeSIDV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PasrichaDK09,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  editor       = {Kazutoshi Wakabayashi},
  title        = {Dynamically reconfigurable on-chip communication architectures for
                  multi use-case chip multiprocessor applications},
  booktitle    = {Proceedings of the 14th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009},
  pages        = {25--30},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ASPDAC.2009.4796436},
  doi          = {10.1109/ASPDAC.2009.4796436},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PasrichaDK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KhajehGDKEKA09,
  author       = {Amin Khajeh and
                  Aseem Gupta and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi and
                  Ahmed M. Eltawil and
                  Kamal S. Khouri and
                  Magdy S. Abadir},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {{TRAM:} {A} tool for Temperature and Reliability Aware Memory Design},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {340--345},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090685},
  doi          = {10.1109/DATE.2009.5090685},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/KhajehGDKEKA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/BathenADP09,
  author       = {Luis Angel D. Bathen and
                  Yongjin Ahn and
                  Nikil D. Dutt and
                  Sudeep Pasricha},
  editor       = {Andy D. Pimentel and
                  Naehyuck Chang},
  title        = {Inter-kernel data reuse and pipelining on chip-multiprocessors for
                  multimedia applications},
  booktitle    = {Proceedings of the 7th {IEEE/ACM/IFIP} Workshop on Embedded Systems
                  for Real-Time Multimedia, ESTIMedia 2009, Grenoble, France, 15-16
                  October 2009},
  pages        = {45--54},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ESTMED.2009.5336815},
  doi          = {10.1109/ESTMED.2009.5336815},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/BathenADP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ijcnn/NageswaranDKNV09,
  author       = {Jayram Moorkanikara Nageswaran and
                  Nikil D. Dutt and
                  Jeffrey L. Krichmar and
                  Alex Nicolau and
                  Alexander V. Veidenbaum},
  title        = {Efficient simulation of large-scale Spiking Neural Networks using
                  {CUDA} graphics processors},
  booktitle    = {International Joint Conference on Neural Networks, {IJCNN} 2009, Atlanta,
                  Georgia, USA, 14-19 June 2009},
  pages        = {2145--2152},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/IJCNN.2009.5179043},
  doi          = {10.1109/IJCNN.2009.5179043},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ijcnn/NageswaranDKNV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NageswaranDWD09,
  author       = {Jayram Moorkanikara Nageswaran and
                  Nikil D. Dutt and
                  Yingxue Wang and
                  Tobi Delbr{\"{u}}ck},
  title        = {Computing Spike-based Convolutions on GPUs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17
                  May 2009, Taipei, Taiwan},
  pages        = {1917--1920},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISCAS.2009.5118157},
  doi          = {10.1109/ISCAS.2009.5118157},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NageswaranDWD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/NageswaranDWD09a,
  author       = {Jayram Moorkanikara Nageswaran and
                  Nikil D. Dutt and
                  Yingxue Wang and
                  Tobi Delbr{\"{u}}ck},
  title        = {Live Demonstration: Computing Spike-based Convolutions on GPUs},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17
                  May 2009, Taipei, Taiwan},
  pages        = {1921},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISCAS.2009.5118158},
  doi          = {10.1109/ISCAS.2009.5118158},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/NageswaranDWD09a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/BathenADP09,
  author       = {Luis Angel D. Bathen and
                  Yongjin Ahn and
                  Nikil D. Dutt and
                  Sudeep Pasricha},
  title        = {A Methodology for Power-aware Pipelining via High-Level Performance
                  Model Evaluations},
  booktitle    = {10th International Workshop on Microprocessor Test and Verification,
                  {MTV} 2009, Austin, Texas, USA, 7-9 December 2009},
  pages        = {19--24},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/MTV.2009.19},
  doi          = {10.1109/MTV.2009.19},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/BathenADP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtas/MadlDA09,
  author       = {Gabor Madl and
                  Nikil D. Dutt and
                  Sherif Abdelwahed},
  title        = {A Conservative Approximation Method for the Verification of Preemptive
                  Scheduling Using Timed Automata},
  booktitle    = {15th {IEEE} Real-Time and Embedded Technology and Applications Symposium,
                  {RTAS} 2009, San Francisco, CA, USA, 13-16 April 2009},
  pages        = {255--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/RTAS.2009.32},
  doi          = {10.1109/RTAS.2009.32},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtas/MadlDA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/PasrichaDK09,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  title        = {Exploring Carbon Nanotube Bundle Global Interconnects for Chip Multiprocessor
                  Applications},
  booktitle    = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction,
                  The 22nd International Conference on {VLSI} Design, New Delhi, India,
                  5-9 January 2009},
  pages        = {499--504},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VLSI.Design.2009.84},
  doi          = {10.1109/VLSI.DESIGN.2009.84},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/PasrichaDK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijes/LeeCD08,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  title        = {Evaluating memory architectures for media applications on Coarse-grained
                  Reconfigurable Architectures},
  journal      = {Int. J. Embed. Syst.},
  volume       = {3},
  number       = {3},
  pages        = {119--127},
  year         = {2008},
  url          = {https://doi.org/10.1504/IJES.2008.020293},
  doi          = {10.1504/IJES.2008.020293},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijes/LeeCD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/IsseninD08,
  author       = {Ilya Issenin and
                  Nikil D. Dutt},
  title        = {Using {FORAY} Models to Enable MPSoC Memory Optimizations},
  journal      = {Int. J. Parallel Program.},
  volume       = {36},
  number       = {1},
  pages        = {93--113},
  year         = {2008},
  url          = {https://doi.org/10.1007/s10766-007-0041-6},
  doi          = {10.1007/S10766-007-0041-6},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/IsseninD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ipsj/PasrichaD08,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt},
  title        = {Trends in Emerging On-Chip Interconnect Technologies},
  journal      = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.},
  volume       = {1},
  pages        = {2--17},
  year         = {2008},
  url          = {https://doi.org/10.2197/ipsjtsldm.1.2},
  doi          = {10.2197/IPSJTSLDM.1.2},
  timestamp    = {Tue, 29 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ipsj/PasrichaD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigbed/MadlD08,
  author       = {Gabor Madl and
                  Nikil D. Dutt},
  title        = {Real-time analysis of resource-constrained distributed systems by
                  simulation-guided model checking},
  journal      = {{SIGBED} Rev.},
  volume       = {5},
  number       = {1},
  pages        = {7},
  year         = {2008},
  url          = {https://doi.org/10.1145/1366283.1366290},
  doi          = {10.1145/1366283.1366290},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigbed/MadlD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ParkSDDNPE08,
  author       = {Sanghyun Park and
                  Aviral Shrivastava and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Yunheung Paek and
                  Eugene Earlie},
  title        = {Register File Power Reduction Using Bypass Sensitive Compiler},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {6},
  pages        = {1155--1159},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.923254},
  doi          = {10.1109/TCAD.2008.923254},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ParkSDDNPE08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/IsseninBDD08,
  author       = {Ilya Issenin and
                  Erik Brockmeyer and
                  Bart Durinck and
                  Nikil D. Dutt},
  title        = {Data-Reuse-Driven Energy-Aware Cosynthesis of Scratch Pad Memory and
                  Hierarchical Bus-Based Communication Architecture for Multiprocessor
                  Streaming Applications},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {27},
  number       = {8},
  pages        = {1439--1452},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCAD.2008.925781},
  doi          = {10.1109/TCAD.2008.925781},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/IsseninBDD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/KimBDV08,
  author       = {Minyoung Kim and
                  Sudarshan Banerjee and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {Energy-aware cosynthesis of real-time multimedia applications on MPSoCs
                  using heterogeneous scheduling policies},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {7},
  number       = {2},
  pages        = {9:1--9:19},
  year         = {2008},
  url          = {https://doi.org/10.1145/1331331.1331333},
  doi          = {10.1145/1331331.1331333},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/KimBDV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/PasrichaDB08,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Mohamed Ben{-}Romdhane},
  title        = {Fast exploration of bus-based communication architectures at the {CCATB}
                  abstraction},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {7},
  number       = {2},
  pages        = {22:1--22:32},
  year         = {2008},
  url          = {https://doi.org/10.1145/1331331.1331346},
  doi          = {10.1145/1331331.1331346},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/PasrichaDB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/Dutt08,
  author       = {Nikil D. Dutt},
  title        = {Editorial},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {13},
  number       = {1},
  pages        = {1:1},
  year         = {2008},
  url          = {https://doi.org/10.1145/1297666.1297667},
  doi          = {10.1145/1297666.1297667},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/Dutt08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/Dutt08a,
  author       = {Nikil D. Dutt},
  title        = {Editorial},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {13},
  number       = {2},
  pages        = {23:1},
  year         = {2008},
  url          = {https://doi.org/10.1145/1344418.1344419},
  doi          = {10.1145/1344418.1344419},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/Dutt08a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/Dutt08b,
  author       = {Nikil D. Dutt},
  title        = {Editorial},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {37:1--37:2},
  year         = {2008},
  url          = {https://doi.org/10.1145/1367045.1367046},
  doi          = {10.1145/1367045.1367046},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/Dutt08b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/MishraD08,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Specification-driven directed test generation for validation of pipelined
                  processors},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {42:1--42:36},
  year         = {2008},
  url          = {https://doi.org/10.1145/1367045.1367051},
  doi          = {10.1145/1367045.1367051},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/MishraD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShrivastavaID08,
  author       = {Aviral Shrivastava and
                  Ilya Issenin and
                  Nikil D. Dutt},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {A Compiler-in-the-Loop framework to explore Horizontally Partitioned
                  Cache architectures},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {328--333},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4483968},
  doi          = {10.1109/ASPDAC.2008.4483968},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShrivastavaID08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PasrichaD08,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {{ORB:} An on-chip optical ring bus communication architecture for
                  multi-processor systems-on-chip},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {789--794},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4484059},
  doi          = {10.1109/ASPDAC.2008.4484059},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PasrichaD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/Dutt08,
  author       = {Nikil D. Dutt},
  editor       = {Chong{-}Min Kyung and
                  Kiyoung Choi and
                  Soonhoi Ha},
  title        = {Quo vadis, BTSoC (Billion Transistor SoC)?},
  booktitle    = {Proceedings of the 13th Asia South Pacific Design Automation Conference,
                  {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008},
  pages        = {809},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ASPDAC.2008.4484063},
  doi          = {10.1109/ASPDAC.2008.4484063},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/Dutt08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/ParkPKD08,
  author       = {Young{-}Hwan Park and
                  Sudeep Pasricha and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  editor       = {Catherine H. Gebotys and
                  Grant Martin},
  title        = {Methodology for multi-granularity embedded processor power model generation
                  for an {ESL} design flow},
  booktitle    = {Proceedings of the 6th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA,
                  October 19-24, 2008},
  pages        = {255--260},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1450135.1450194},
  doi          = {10.1145/1450135.1450194},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/ParkPKD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YagiRKHTMSDM08,
  author       = {Hiroyuki Yagi and
                  Wolfgang Roesner and
                  Tim Kogel and
                  Eshel Haritan and
                  Hidekazu Tangi and
                  Michael McNamara and
                  Gary Smith and
                  Nikil D. Dutt and
                  Giovanni Mancini},
  editor       = {Limor Fix},
  title        = {{ESL} hand-off: fact or {EDA} fiction?},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {310--312},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391548},
  doi          = {10.1145/1391469.1391548},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/YagiRKHTMSDM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/KimSTDV08,
  author       = {Minyoung Kim and
                  Mark{-}Oliver Stehr and
                  Carolyn L. Talcott and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Donatella Sciuto},
  title        = {Constraint Refinement for Online Verifiable Cross-Layer System Adaptation},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {646--651},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484750},
  doi          = {10.1109/DATE.2008.4484750},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/KimSTDV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Dutt08,
  author       = {Nikil D. Dutt},
  editor       = {Donatella Sciuto},
  title        = {Memory-aware NoC Exploration and Design},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany,
                  March 10-14, 2008},
  pages        = {1128--1129},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1109/DATE.2008.4484829},
  doi          = {10.1109/DATE.2008.4484829},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/Dutt08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/KhajehKDEK08,
  author       = {Amin Khajeh and
                  Minyoung Kim and
                  Nikil D. Dutt and
                  Ahmed M. Eltawil and
                  Fadi J. Kurdahi},
  editor       = {Petru Eles and
                  Andy D. Pimentel},
  title        = {Cross-layer co-exploration of exploiting error resilience for video
                  over wireless applications},
  booktitle    = {Proceedings of the 6th {IEEE/ACM/IFIP} Workshop on Embedded Systems
                  for Real-Time Multimedia, ESTIMedia 2008, Atlanta, Georgia, USA, 23-24
                  October 2008},
  pages        = {13--18},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ESTMED.2008.4696987},
  doi          = {10.1109/ESTMED.2008.4696987},
  timestamp    = {Thu, 17 Feb 2022 09:36:04 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/KhajehKDEK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/BathenDP08,
  author       = {Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Sudeep Pasricha},
  editor       = {Petru Eles and
                  Andy D. Pimentel},
  title        = {A framework for memory-aware multimedia application mapping on chip-multiprocessors},
  booktitle    = {Proceedings of the 6th {IEEE/ACM/IFIP} Workshop on Embedded Systems
                  for Real-Time Multimedia, ESTIMedia 2008, Atlanta, Georgia, USA, 23-24
                  October 2008},
  pages        = {89--94},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ESTMED.2008.4697003},
  doi          = {10.1109/ESTMED.2008.4697003},
  timestamp    = {Thu, 17 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/BathenDP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-3/LeeKDV08,
  author       = {Kyoungwoo Lee and
                  Minyoung Kim and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Bernd Kleinjohann and
                  Lisa Kleinjohann and
                  Wayne H. Wolf},
  title        = {Error-Exploiting Video Encoder to Extend Energy/QoS Tradeoffs for
                  Mobile Embedded Systems},
  booktitle    = {Distributed Embedded Systems: Design, Middleware and Resources, {IFIP}
                  20th World Computer Congress, {TC10} Working Conference on Distributed
                  and Parallel Embedded Systems {(DIPES} 2008), September 7-10, 2008,
                  Milano, Italy},
  series       = {{IFIP}},
  volume       = {271},
  pages        = {23--34},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-0-387-09661-2\_3},
  doi          = {10.1007/978-0-387-09661-2\_3},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ifip10-3/LeeKDV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-3/LeeSDV08,
  author       = {Kyoungwoo Lee and
                  Aviral Shrivastava and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Bernd Kleinjohann and
                  Lisa Kleinjohann and
                  Wayne H. Wolf},
  title        = {Data Partitioning Techniques for Partially Protected Caches to Reduce
                  Soft Error Induced Failures},
  booktitle    = {Distributed Embedded Systems: Design, Middleware and Resources, {IFIP}
                  20th World Computer Congress, {TC10} Working Conference on Distributed
                  and Parallel Embedded Systems {(DIPES} 2008), September 7-10, 2008,
                  Milano, Italy},
  series       = {{IFIP}},
  volume       = {271},
  pages        = {213--225},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-0-387-09661-2\_21},
  doi          = {10.1007/978-0-387-09661-2\_21},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ifip10-3/LeeSDV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GuptaDKKA08,
  author       = {Aseem Gupta and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi and
                  Kamal S. Khouri and
                  Magdy S. Abadir},
  title        = {Thermal Aware Global Routing of {VLSI} Chips for Enhanced Reliability},
  booktitle    = {9th International Symposium on Quality of Electronic Design {(ISQED}
                  2008), 17-19 March 2008, San Jose, CA, {USA}},
  pages        = {470--475},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISQED.2008.4479779},
  doi          = {10.1109/ISQED.2008.4479779},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GuptaDKKA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lctrts/ChoPIDPK08,
  author       = {Doosan Cho and
                  Sudeep Pasricha and
                  Ilya Issenin and
                  Nikil D. Dutt and
                  Yunheung Paek and
                  SunJun Ko},
  editor       = {Kriszti{\'{a}}n Flautner and
                  John Regehr},
  title        = {Compiler driven data layout optimization for regular/irregular array
                  access patterns},
  booktitle    = {Proceedings of the 2008 {ACM} {SIGPLAN/SIGBED} Conference on Languages,
                  Compilers, and Tools for Embedded Systems (LCTES'08), Tucson, AZ,
                  USA, June 12-13, 2008},
  pages        = {41--50},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1375657.1375664},
  doi          = {10.1145/1375657.1375664},
  timestamp    = {Sat, 21 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/lctrts/ChoPIDPK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mm/LeeSKDV08,
  author       = {Kyoungwoo Lee and
                  Aviral Shrivastava and
                  Minyoung Kim and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Abdulmotaleb El{-}Saddik and
                  Son Vuong and
                  Carsten Griwodz and
                  Alberto Del Bimbo and
                  K. Sel{\c{c}}uk Candan and
                  Alejandro Jaimes},
  title        = {Mitigating the impact of hardware defects on multimedia applications:
                  a cross-layer approach},
  booktitle    = {Proceedings of the 16th International Conference on Multimedia 2008,
                  Vancouver, British Columbia, Canada, October 26-31, 2008},
  pages        = {319--328},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1459359.1459402},
  doi          = {10.1145/1459359.1459402},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mm/LeeSKDV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanoarch/PasrichaKD08,
  author       = {Sudeep Pasricha and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {System level performance analysis of carbon nanotube global interconnects
                  for emerging chip multiprocessors},
  booktitle    = {2008 {IEEE} International Symposium on Nanoscale Architectures, {NANOARCH}
                  2008, Anaheim, CA, USA, June 12-13, 2008},
  pages        = {1--7},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/NANOARCH.2008.4585785},
  doi          = {10.1109/NANOARCH.2008.4585785},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanoarch/PasrichaKD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/KurdahiDEN08,
  author       = {Fadi J. Kurdahi and
                  Nikil D. Dutt and
                  Ahmed M. Eltawil and
                  Sani R. Nassif},
  title        = {Cross-Layer Approaches to Designing Reliable Systems Using Unreliable
                  Chips},
  booktitle    = {21st International Conference on {VLSI} Design {(VLSI} Design 2008),
                  4-8 January 2008, Hyderabad, India},
  pages        = {14--15},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VLSI.2008.135},
  doi          = {10.1109/VLSI.2008.135},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/KurdahiDEN08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/PasrichaPKD08,
  author       = {Sudeep Pasricha and
                  Young{-}Hwan Park and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {Incorporating {PVT} Variations in System-Level Power Exploration of
                  On-Chip Communication Architectures},
  booktitle    = {21st International Conference on {VLSI} Design {(VLSI} Design 2008),
                  4-8 January 2008, Hyderabad, India},
  pages        = {363--370},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VLSI.2008.14},
  doi          = {10.1109/VLSI.2008.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/PasrichaPKD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/KannanGSDK08,
  author       = {Deepa Kannan and
                  Aseem Gupta and
                  Aviral Shrivastava and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  title        = {{PTSMT:} {A} Tool for Cross-Level Power, Performance, and Thermal
                  Exploration of {SMT} Processors},
  booktitle    = {21st International Conference on {VLSI} Design {(VLSI} Design 2008),
                  4-8 January 2008, Hyderabad, India},
  pages        = {421--427},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/VLSI.2008.84},
  doi          = {10.1109/VLSI.2008.84},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/KannanGSDK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/amm/ChengMZDV07,
  author       = {Liang Cheng and
                  Shivajit Mohapatra and
                  Magda El Zarki and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {Quality-Based Backlight Optimization for Video Playback on Handheld
                  Devices},
  journal      = {Adv. Multim.},
  volume       = {2007},
  pages        = {83715:1--83715:10},
  year         = {2007},
  url          = {https://doi.org/10.1155/2007/83715},
  doi          = {10.1155/2007/83715},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/amm/ChengMZDV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/ShinGRLMPDN07,
  author       = {Chulho Shin and
                  Peter Grun and
                  Nizar Romdhane and
                  Christopher K. Lennard and
                  Gabor Madl and
                  Sudeep Pasricha and
                  Nikil D. Dutt and
                  Mark Noll},
  title        = {Enabling heterogeneous cycle-based and event-driven simulation in
                  a design flow integrated using the {SPIRIT} consortium specifications},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {11},
  number       = {2-3},
  pages        = {119--140},
  year         = {2007},
  url          = {https://doi.org/10.1007/s10617-007-9003-x},
  doi          = {10.1007/S10617-007-9003-X},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/ShinGRLMPDN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsac/MohapatraDNV07,
  author       = {Shivajit Mohapatra and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Nalini Venkatasubramanian},
  title        = {{DYNAMO:} {A} Cross-Layer Framework for End-to-End QoS and Energy
                  Optimization in Mobile Handheld Devices},
  journal      = {{IEEE} J. Sel. Areas Commun.},
  volume       = {25},
  number       = {4},
  pages        = {722--737},
  year         = {2007},
  url          = {https://doi.org/10.1109/JSAC.2007.070509},
  doi          = {10.1109/JSAC.2007.070509},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsac/MohapatraDNV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PasrichaD07,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt},
  title        = {A Framework for Cosynthesis of Memory and Communication Architectures
                  for MPSoC},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {3},
  pages        = {408--420},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2006.884487},
  doi          = {10.1109/TCAD.2006.884487},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PasrichaD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BiswasDPI07,
  author       = {Partha Biswas and
                  Nikil D. Dutt and
                  Laura Pozzi and
                  Paolo Ienne},
  title        = {Introduction of Architecturally Visible Storage in Instruction Set
                  Extensions},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {3},
  pages        = {435--446},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2006.890582},
  doi          = {10.1109/TCAD.2006.890582},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BiswasDPI07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PasrichaDB07,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Mohamed Ben{-}Romdhane},
  title        = {{BMSYN:} Bus Matrix Communication Architecture Synthesis for MPSoC},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {8},
  pages        = {1454--1464},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.891376},
  doi          = {10.1109/TCAD.2007.891376},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PasrichaDB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ShrivastavaPEDNP07,
  author       = {Aviral Shrivastava and
                  Sanghyun Park and
                  Eugene Earlie and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Yunheung Paek},
  title        = {Automatic Design Space Exploration of Register Bypasses in Embedded
                  Processors},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {26},
  number       = {12},
  pages        = {2102--2115},
  year         = {2007},
  url          = {https://doi.org/10.1109/TCAD.2007.907066},
  doi          = {10.1109/TCAD.2007.907066},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/ShrivastavaPEDNP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/LeeCD07,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  title        = {Instruction set synthesis with efficient instruction encoding for
                  configurable processors},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {12},
  number       = {1},
  pages        = {9:1--9:37},
  year         = {2007},
  url          = {https://doi.org/10.1145/1217088.1217096},
  doi          = {10.1145/1217088.1217096},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/LeeCD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/Dutt07,
  author       = {Nikil D. Dutt},
  title        = {Editorial},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {12},
  number       = {2},
  pages        = {9},
  year         = {2007},
  url          = {https://doi.org/10.1145/1230800.1230801},
  doi          = {10.1145/1230800.1230801},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/Dutt07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/IsseninBMD07,
  author       = {Ilya Issenin and
                  Erik Brockmeyer and
                  Miguel Miranda and
                  Nikil D. Dutt},
  title        = {{DRDU:} {A} data reuse analysis technique for efficient scratch-pad
                  memory management},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {12},
  number       = {2},
  pages        = {15},
  year         = {2007},
  url          = {https://doi.org/10.1145/1230800.1230807},
  doi          = {10.1145/1230800.1230807},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/IsseninBMD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/GuptaDKKA07,
  author       = {Aseem Gupta and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi and
                  Kamal S. Khouri and
                  Magdy S. Abadir},
  title        = {{LEAF:} {A} System Level Leakage-Aware Floorplanner for SoCs},
  booktitle    = {Proceedings of the 12th Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007},
  pages        = {274--279},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ASPDAC.2007.357998},
  doi          = {10.1109/ASPDAC.2007.357998},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/GuptaDKKA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ChoIDYP07,
  author       = {Doosan Cho and
                  Ilya Issenin and
                  Nikil D. Dutt and
                  Jonghee W. Yoon and
                  Yunheung Paek},
  editor       = {Taewhan Kim and
                  Pascal Sainrat and
                  Steven S. Lumetta and
                  Nacho Navarro},
  title        = {Software controlled memory layout reorganization for irregular array
                  access patterns},
  booktitle    = {Proceedings of the 2007 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2007, Salzburg, Austria,
                  September 30 - October 3, 2007},
  pages        = {179--188},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289881.1289915},
  doi          = {10.1145/1289881.1289915},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/ChoIDYP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BanerjeeBDN07,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Nikil D. Dutt and
                  Juanjo Noguera},
  title        = {Selective Band width and Resource Management in Scheduling for Dynamically
                  Reconfigurable Architectures},
  booktitle    = {Proceedings of the 44th Design Automation Conference, {DAC} 2007,
                  San Diego, CA, USA, June 4-8, 2007},
  pages        = {771--776},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1278480.1278673},
  doi          = {10.1145/1278480.1278673},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/BanerjeeBDN07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhuSD07,
  author       = {Qiang Zhu and
                  Aviral Shrivastava and
                  Nikil D. Dutt},
  editor       = {Rudy Lauwereins and
                  Jan Madsen},
  title        = {Interactive presentation: Functional and timing validation of partially
                  bypassed processor pipelines},
  booktitle    = {2007 Design, Automation and Test in Europe Conference and Exposition,
                  {DATE} 2007, Nice, France, April 16-20, 2007},
  pages        = {1164--1169},
  publisher    = {{EDA} Consortium, San Jose, CA, {USA}},
  year         = {2007},
  url          = {https://dl.acm.org/citation.cfm?id=1266617},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhuSD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emsoft/MadlDA07,
  author       = {Gabor Madl and
                  Nikil D. Dutt and
                  Sherif Abdelwahed},
  editor       = {Christoph M. Kirsch and
                  Reinhard Wilhelm},
  title        = {Performance estimation of distributed real-time embedded systems by
                  discrete event simulations},
  booktitle    = {Proceedings of the 7th {ACM} {\&} {IEEE} International conference
                  on Embedded software, {EMSOFT} 2007, September 30 - October 3, 2007,
                  Salzburg, Austria},
  pages        = {183--192},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289927.1289958},
  doi          = {10.1145/1289927.1289958},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/emsoft/MadlDA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fmoods/KimSTDV07,
  author       = {Minyoung Kim and
                  Mark{-}Oliver Stehr and
                  Carolyn L. Talcott and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Marcello M. Bonsangue and
                  Einar Broch Johnsen},
  title        = {A Probabilistic Formal Analysis Approach to Cross Layer Optimization
                  in Distributed Embedded Systems},
  booktitle    = {Formal Methods for Open Object-Based Distributed Systems, 9th {IFIP}
                  {WG} 6.1 International Conference, {FMOODS} 2007, Paphos, Cyprus,
                  June 6-8, 2007, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4468},
  pages        = {285--300},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-72952-5\_18},
  doi          = {10.1007/978-3-540-72952-5\_18},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fmoods/KimSTDV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/formats/KimSTDV07,
  author       = {Minyoung Kim and
                  Mark{-}Oliver Stehr and
                  Carolyn L. Talcott and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Jean{-}Fran{\c{c}}ois Raskin and
                  P. S. Thiagarajan},
  title        = {Combining Formal Verification with Observed System Execution Behavior
                  to Tune System Parameters},
  booktitle    = {Formal Modeling and Analysis of Timed Systems, 5th International Conference,
                  {FORMATS} 2007, Salzburg, Austria, October 3-5, 2007, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4763},
  pages        = {257--273},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-3-540-75454-1\_19},
  doi          = {10.1007/978-3-540-75454-1\_19},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/formats/KimSTDV07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ParkPKD07,
  author       = {Young{-}Hwan Park and
                  Sudeep Pasricha and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {System level power estimation methodology with {H.264} decoder prediction
                  {IP} case study},
  booktitle    = {25th International Conference on Computer Design, {ICCD} 2007, 7-10
                  October 2007, Lake Tahoe, CA, USA, Proceedings},
  pages        = {601--608},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCD.2007.4601959},
  doi          = {10.1109/ICCD.2007.4601959},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ParkPKD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iess/IsseninD07,
  author       = {Ilya Issenin and
                  Nikil D. Dutt},
  editor       = {Achim Rettberg and
                  Mauro Cesar Zanella and
                  Rainer D{\"{o}}mer and
                  Andreas Gerstlauer and
                  Franz{-}Josef Rammig},
  title        = {Data Reuse Driven Memory and Network-On-Chip Co-Synthesis},
  booktitle    = {Embedded System Design: Topics, Techniques and Trends, {IFIP} {TC10}
                  Working Conference: International Embedded Systems Symposium (IESS),
                  May 30 - June 1, 2007, Irvine, CA, {USA}},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {231},
  pages        = {299--312},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-0-387-72258-0\_26},
  doi          = {10.1007/978-0-387-72258-0\_26},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iess/IsseninD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iess/Dutt07,
  author       = {Nikil D. Dutt},
  editor       = {Achim Rettberg and
                  Mauro Cesar Zanella and
                  Rainer D{\"{o}}mer and
                  Andreas Gerstlauer and
                  Franz{-}Josef Rammig},
  title        = {Modeling of Software-Hardware Complexes},
  booktitle    = {Embedded System Design: Topics, Techniques and Trends, {IFIP} {TC10}
                  Working Conference: International Embedded Systems Symposium (IESS),
                  May 30 - June 1, 2007, Irvine, CA, {USA}},
  series       = {{IFIP} Advances in Information and Communication Technology},
  volume       = {231},
  pages        = {423--425},
  publisher    = {Springer},
  year         = {2007},
  url          = {https://doi.org/10.1007/978-0-387-72258-0\_37},
  doi          = {10.1007/978-0-387-72258-0\_37},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iess/Dutt07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/CorneaND07,
  author       = {Radu Cornea and
                  Alex Nicolau and
                  Nikil D. Dutt},
  title        = {Annotation Integration and Trade-off Analysis for Multimedia Applications},
  booktitle    = {21th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/IPDPS.2007.370531},
  doi          = {10.1109/IPDPS.2007.370531},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/CorneaND07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/parco/FurlongFNDNVCG07,
  author       = {Jeff Furlong and
                  Andrew Felch and
                  Jayram Moorkanikara Nageswaran and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Alexander V. Veidenbaum and
                  Ashok Chandrashekar and
                  Richard Granger},
  editor       = {Christian H. Bischof and
                  H. Martin B{\"{u}}cker and
                  Paul Gibbon and
                  Gerhard R. Joubert and
                  Thomas Lippert and
                  Bernd Mohr and
                  Frans J. Peters},
  title        = {Novel Brain-Derived Algorithms Scale Linearly with Number of Processing
                  Elements},
  booktitle    = {Parallel Computing: Architectures, Algorithms and Applications, ParCo
                  2007, Forschungszentrum J{\"{u}}lich and {RWTH} Aachen University,
                  Germany, 4-7 September 2007},
  series       = {Advances in Parallel Computing},
  volume       = {15},
  pages        = {767--776},
  publisher    = {{IOS} Press},
  year         = {2007},
  timestamp    = {Mon, 20 Nov 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/parco/FurlongFNDNVCG07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DuttBBLP07,
  author       = {Nikil D. Dutt and
                  Kaustav Banerjee and
                  Luca Benini and
                  Kanishka Lahiri and
                  Sudeep Pasricha},
  title        = {Tutorial 5: SoC Communication Architectures: Technology, Current Practice,
                  Research, and Trends},
  booktitle    = {20th International Conference on {VLSI} Design {(VLSI} Design 2007),
                  Sixth International Conference on Embedded Systems {(ICES} 2007),
                  6-10 January 2007, Bangalore, India},
  pages        = {8},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/VLSID.2007.160},
  doi          = {10.1109/VLSID.2007.160},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DuttBBLP07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/GuptaDKKA07,
  author       = {Aseem Gupta and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi and
                  Kamal S. Khouri and
                  Magdy S. Abadir},
  title        = {{STEFAL:} {A} System Level Temperature- and Floorplan-Aware Leakage
                  Power Estimator for SoCs},
  booktitle    = {20th International Conference on {VLSI} Design {(VLSI} Design 2007),
                  Sixth International Conference on Embedded Systems {(ICES} 2007),
                  6-10 January 2007, Bangalore, India},
  pages        = {559--564},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/VLSID.2007.150},
  doi          = {10.1109/VLSID.2007.150},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/GuptaDKKA07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/crc/CRCcompiler2007/ShrivastavaD07,
  author       = {Aviral Shrivastava and
                  Nikil D. Dutt},
  editor       = {Y. N. Srikant and
                  Priti Shankar},
  title        = {Compiler Aided Design of Embedded Computers},
  booktitle    = {The Compiler Design Handbook: Optimizations and Machine Code Generation,
                  Second Edition},
  pages        = {3},
  publisher    = {{CRC} Press},
  year         = {2007},
  timestamp    = {Sun, 04 Aug 2019 17:44:34 +0200},
  biburl       = {https://dblp.org/rec/books/crc/CRCcompiler2007/ShrivastavaD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/codes/2007,
  editor       = {Soonhoi Ha and
                  Kiyoung Choi and
                  Nikil D. Dutt and
                  J{\"{u}}rgen Teich},
  title        = {Proceedings of the 5th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria,
                  September 30 - October 3, 2007},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289816},
  doi          = {10.1145/1289816},
  isbn         = {978-1-59593-824-4},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/2007.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4640,
  author       = {Ilya Issenin and
                  Nikil D. Dutt},
  title        = {{FORAY-GEN:} Automatic Generation of Affine Functions for Memory Optimizations},
  journal      = {CoRR},
  volume       = {abs/0710.4640},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4640},
  eprinttype    = {arXiv},
  eprint       = {0710.4640},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4640.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4643,
  author       = {Mehrdad Reshadi and
                  Nikil D. Dutt},
  title        = {Generic Pipelined Processor Modeling and High Performance Cycle-Accurate
                  Simulator Generation},
  journal      = {CoRR},
  volume       = {abs/0710.4643},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4643},
  eprinttype    = {arXiv},
  eprint       = {0710.4643},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4643.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-0710-4820,
  author       = {Partha Biswas and
                  Sudarshan Banerjee and
                  Nikil D. Dutt and
                  Laura Pozzi and
                  Paolo Ienne},
  title        = {{ISEGEN:} Generation of High-Quality Instruction Set Extensions by
                  Iterative Improvement},
  journal      = {CoRR},
  volume       = {abs/0710.4820},
  year         = {2007},
  url          = {http://arxiv.org/abs/0710.4820},
  eprinttype    = {arXiv},
  eprint       = {0710.4820},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-0710-4820.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigmobile/KimODNV06,
  author       = {Minyoung Kim and
                  Hyunok Oh and
                  Nikil D. Dutt and
                  Alex Nicolau and
                  Nalini Venkatasubramanian},
  title        = {{PBPAIR:} an energy-efficient error-resilient encoding using probability
                  based power aware intra refresh},
  journal      = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.},
  volume       = {10},
  number       = {3},
  pages        = {58--69},
  year         = {2006},
  url          = {https://doi.org/10.1145/1148094.1148100},
  doi          = {10.1145/1148094.1148100},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigmobile/KimODNV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ReshadiGD06,
  author       = {Mehrdad Reshadi and
                  Bita Gorjiara and
                  Nikil D. Dutt},
  title        = {Generic Processor Modeling for Automatically Generating Very Fast
                  Cycle-Accurate Simulators},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {12},
  pages        = {2904--2918},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2006.882597},
  doi          = {10.1109/TCAD.2006.882597},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ReshadiGD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ReshadiDM06,
  author       = {Mehrdad Reshadi and
                  Nikil D. Dutt and
                  Prabhat Mishra},
  title        = {A retargetable framework for instruction-set architecture simulation},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {5},
  number       = {2},
  pages        = {431--452},
  year         = {2006},
  url          = {https://doi.org/10.1145/1151074.1151083},
  doi          = {10.1145/1151074.1151083},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/ReshadiDM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/Dutt06,
  author       = {Nikil D. Dutt},
  title        = {Editorial},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {11},
  number       = {1},
  pages        = {1--2},
  year         = {2006},
  url          = {https://doi.org/10.1145/1124713.1124714},
  doi          = {10.1145/1124713.1124714},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/Dutt06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/ShrivastavaBHDN06,
  author       = {Aviral Shrivastava and
                  Partha Biswas and
                  Ashok Halambi and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Compilation framework for code size reduction using reduced bit-width
                  ISAs (rISAs)},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {11},
  number       = {1},
  pages        = {123--146},
  year         = {2006},
  url          = {https://doi.org/10.1145/1124713.1124722},
  doi          = {10.1145/1124713.1124722},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/ShrivastavaBHDN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/MishraSD06,
  author       = {Prabhat Mishra and
                  Aviral Shrivastava and
                  Nikil D. Dutt},
  title        = {Architecture description language (ADL)-driven software toolkit generation
                  for architectural exploration of programmable SOCs},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {11},
  number       = {3},
  pages        = {626--658},
  year         = {2006},
  url          = {https://doi.org/10.1145/1142980.1142985},
  doi          = {10.1145/1142980.1142985},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/MishraSD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PasrichaDBB06,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Elaheh Bozorgzadeh and
                  Mohamed Ben{-}Romdhane},
  title        = {{FABSYN:} floorplan-aware bus architecture synthesis},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {14},
  number       = {3},
  pages        = {241--253},
  year         = {2006},
  url          = {https://doi.org/10.1109/TVLSI.2006.871763},
  doi          = {10.1109/TVLSI.2006.871763},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PasrichaDBB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/KejariwalGNDG06,
  author       = {Arun Kejariwal and
                  Sumit Gupta and
                  Alexandru Nicolau and
                  Nikil D. Dutt and
                  Rajesh K. Gupta},
  title        = {Energy efficient watermarking on mobile devices using proxy-based
                  partitioning},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {14},
  number       = {6},
  pages        = {625--636},
  year         = {2006},
  url          = {https://doi.org/10.1109/TVLSI.2006.878218},
  doi          = {10.1109/TVLSI.2006.878218},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/KejariwalGNDG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BiswasBDPI06,
  author       = {Partha Biswas and
                  Sudarshan Banerjee and
                  Nikil D. Dutt and
                  Laura Pozzi and
                  Paolo Ienne},
  title        = {{ISEGEN:} an iterative improvement-based {ISE} generation technique
                  for fast customization of processors},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {14},
  number       = {7},
  pages        = {754--762},
  year         = {2006},
  url          = {https://doi.org/10.1109/TVLSI.2006.878345},
  doi          = {10.1109/TVLSI.2006.878345},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BiswasBDPI06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ShrivastavaEDN06,
  author       = {Aviral Shrivastava and
                  Eugene Earlie and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Retargetable pipeline hazard detection for partially bypassed processors},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {14},
  number       = {8},
  pages        = {791--801},
  year         = {2006},
  url          = {https://doi.org/10.1109/TVLSI.2006.878468},
  doi          = {10.1109/TVLSI.2006.878468},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ShrivastavaEDN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/BanerjeeBD06,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Nikil D. Dutt},
  title        = {Integrating Physical Constraints in {HW-SW} Partitioning for Architectures
                  With Partial Dynamic Reconfiguration},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {14},
  number       = {11},
  pages        = {1189--1202},
  year         = {2006},
  url          = {https://doi.org/10.1109/TVLSI.2006.886411},
  doi          = {10.1109/TVLSI.2006.886411},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/BanerjeeBD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PasrichaDB06,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Mohamed Ben{-}Romdhane},
  editor       = {Fumiyasu Hirose},
  title        = {Constraint-driven bus matrix synthesis for MPSoC},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {30--35},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594641},
  doi          = {10.1109/ASPDAC.2006.1594641},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PasrichaDB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/BanerjeeBD06,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Nikil D. Dutt},
  editor       = {Fumiyasu Hirose},
  title        = {{PARLGRAN:} parallelism granularity selection for scheduling task
                  chains on dynamically reconfigurable architectures},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {491--496},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594733},
  doi          = {10.1109/ASPDAC.2006.1594733},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/BanerjeeBD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/OhDH06,
  author       = {Hyunok Oh and
                  Nikil D. Dutt and
                  Soonhoi Ha},
  editor       = {Fumiyasu Hirose},
  title        = {Memory optimal single appearance schedule with dynamic loop count
                  for synchronous dataflow graphs},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {497--502},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594734},
  doi          = {10.1109/ASPDAC.2006.1594734},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/OhDH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/LeeSIDV06,
  author       = {Kyoungwoo Lee and
                  Aviral Shrivastava and
                  Ilya Issenin and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Seongsoo Hong and
                  Wayne H. Wolf and
                  Kriszti{\'{a}}n Flautner and
                  Taewhan Kim},
  title        = {Mitigating soft error failures for multimedia applications by selective
                  data protection},
  booktitle    = {Proceedings of the 2006 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {411--420},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176760.1176810},
  doi          = {10.1145/1176760.1176810},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/LeeSIDV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccnc/ChengMZDV06,
  author       = {Liang Cheng and
                  Shivajit Mohapatra and
                  Magda El Zarki and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {A backlight optimization scheme for video playback on mobile devices},
  booktitle    = {3rd {IEEE} Consumer Communications and Networking Conference, {CCNC}
                  2006, Las Vegas, NV, USA, February 13, 2006},
  pages        = {833--837},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/CCNC.2006.1593156},
  doi          = {10.1109/CCNC.2006.1593156},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ccnc/ChengMZDV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/KimBDV06,
  author       = {Minyoung Kim and
                  Sudarshan Banerjee and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Reinaldo A. Bergamaschi and
                  Kiyoung Choi},
  title        = {Design space exploration of real-time multi-media MPSoCs with heterogeneous
                  scheduling policies},
  booktitle    = {Proceedings of the 4th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {16--21},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176254.1176261},
  doi          = {10.1145/1176254.1176261},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/KimBDV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/GuptaDKKA06,
  author       = {Aseem Gupta and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi and
                  Kamal S. Khouri and
                  Magdy S. Abadir},
  editor       = {Reinaldo A. Bergamaschi and
                  Kiyoung Choi},
  title        = {Floorplan driven leakage power aware IP-based SoC design space exploration},
  booktitle    = {Proceedings of the 4th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {118--123},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176254.1176284},
  doi          = {10.1145/1176254.1176284},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/GuptaDKKA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/IsseninD06,
  author       = {Ilya Issenin and
                  Nikil D. Dutt},
  editor       = {Reinaldo A. Bergamaschi and
                  Kiyoung Choi},
  title        = {Data reuse driven energy-aware MPSoC co-synthesis of memory and communication
                  architecture for streaming applications},
  booktitle    = {Proceedings of the 4th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {294--299},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176254.1176326},
  doi          = {10.1145/1176254.1176326},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/IsseninD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/PasrichaPKD06,
  author       = {Sudeep Pasricha and
                  Young{-}Hwan Park and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  editor       = {Reinaldo A. Bergamaschi and
                  Kiyoung Choi},
  title        = {System-level power-performance trade-offs in bus matrix communication
                  architecture synthesis},
  booktitle    = {Proceedings of the 4th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {300--305},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176254.1176327},
  doi          = {10.1145/1176254.1176327},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/PasrichaPKD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/IsseninBDD06,
  author       = {Ilya Issenin and
                  Erik Brockmeyer and
                  Bart Durinck and
                  Nikil D. Dutt},
  editor       = {Ellen Sentovich},
  title        = {Multiprocessor system-on-chip data reuse analysis for exploring customized
                  memory hierarchies},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {49--52},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1146925},
  doi          = {10.1145/1146909.1146925},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/IsseninBDD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BiswasDIP06,
  author       = {Partha Biswas and
                  Nikil D. Dutt and
                  Paolo Ienne and
                  Laura Pozzi},
  editor       = {Georges G. E. Gielen},
  title        = {Automatic identification of application-specific functional units
                  with architecturally visible storage},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {212--217},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.244088},
  doi          = {10.1109/DATE.2006.244088},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BiswasDIP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CorneaND06,
  author       = {Radu Cornea and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  editor       = {Georges G. E. Gielen},
  title        = {Software annotations for power optimization on mobile devices},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {684--689},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.244043},
  doi          = {10.1109/DATE.2006.244043},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/CorneaND06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PasrichaD06,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt},
  editor       = {Georges G. E. Gielen},
  title        = {{COSMECA:} application specific co-synthesis of memory and communication
                  architectures for MPSoC},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {700--705},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.244066},
  doi          = {10.1109/DATE.2006.244066},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/PasrichaD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ParkESNDP06,
  author       = {Sanghyun Park and
                  Eugene Earlie and
                  Aviral Shrivastava and
                  Alex Nicolau and
                  Nikil D. Dutt and
                  Yunheung Paek},
  editor       = {Georges G. E. Gielen},
  title        = {Automatic generation of operation tables for fast exploration of bypasses
                  in embedded processors},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {1197--1202},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.244047},
  doi          = {10.1109/DATE.2006.244047},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ParkESNDP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emsoft/MadlPBDZ06,
  author       = {Gabor Madl and
                  Sudeep Pasricha and
                  Luis Angel D. Bathen and
                  Nikil D. Dutt and
                  Qiang Zhu},
  editor       = {Sang Lyul Min and
                  Wang Yi},
  title        = {Formal performance evaluation of AMBA-based system-on-chip designs},
  booktitle    = {Proceedings of the 6th {ACM} {\&} {IEEE} International conference
                  on Embedded software, {EMSOFT} 2006, October 22-25, 2006, Seoul, Korea},
  pages        = {311--320},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176887.1176932},
  doi          = {10.1145/1176887.1176932},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/emsoft/MadlPBDZ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/CorneaND06,
  author       = {Radu Cornea and
                  Alex Nicolau and
                  Nikil D. Dutt},
  editor       = {Soonhoi Ha and
                  Samarjit Chakraborty},
  title        = {Annotation Based Multimedia Streaming Over Wireless Networks},
  booktitle    = {Proceedings of the 2006 4th Workshop on Embedded Systems for Real-Time
                  Multimedia, ESTIMedia 2006, October 26-27, 2006, Seoul, Korea, conjunction
                  with {CODES+ISSS} 2006},
  pages        = {47--52},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ESTMED.2006.321273},
  doi          = {10.1109/ESTMED.2006.321273},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/CorneaND06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/BanerjeeBND06,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Juanjo Noguera and
                  Nikil D. Dutt},
  editor       = {George A. Constantinides and
                  Wai{-}Kei Mak and
                  Phaophak Sirisuk and
                  Theerayod Wiangtong},
  title        = {Minimizing peak power for application chains on architectures with
                  partial dynamic reconfiguration},
  booktitle    = {2006 {IEEE} International Conference on Field Programmable Technology,
                  {FPT} 2006, Bangkok, Thailand, December 13-15, 2006},
  pages        = {273--276},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPT.2006.270326},
  doi          = {10.1109/FPT.2006.270326},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/BanerjeeBND06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ispdc/CorneaND06,
  author       = {Radu Cornea and
                  Alex Nicolau and
                  Nikil D. Dutt},
  title        = {Video Stream Annotations for Energy Trade-offs in Multimedia Applications},
  booktitle    = {5th International Symposium on Parallel and Distributed Computing
                  {(ISPDC} 2006), 6-9 July 2006, Timisoara, Romania},
  pages        = {17--23},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISPDC.2006.55},
  doi          = {10.1109/ISPDC.2006.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ispdc/CorneaND06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lctrts/ParkSDNPE06,
  author       = {Sanghyun Park and
                  Aviral Shrivastava and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Yunheung Paek and
                  Eugene Earlie},
  editor       = {Mary Jane Irwin and
                  Koen De Bosschere},
  title        = {Bypass aware instruction scheduling for register file power reduction},
  booktitle    = {Proceedings of the 2006 {ACM} {SIGPLAN/SIGBED} Conference on Languages,
                  Compilers, and Tools for Embedded Systems (LCTES'06), Ottawa, Ontario,
                  Canada, June 14-16, 2006},
  pages        = {173--181},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1134650.1134675},
  doi          = {10.1145/1134650.1134675},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lctrts/ParkSDNPE06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/MadlD06,
  author       = {Gabor Madl and
                  Nikil D. Dutt},
  editor       = {Stamatis Vassiliadis and
                  Stephan Wong and
                  Timo H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {Domain-Specific Modeling of Power Aware Distributed Real-Time Embedded
                  Systems},
  booktitle    = {Embedded Computer Systems: Architectures, Modeling, and Simulation,
                  6th International Workshop, {SAMOS} 2006, Samos, Greece, July 17-20,
                  2006, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4017},
  pages        = {59--68},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11796435\_8},
  doi          = {10.1007/11796435\_8},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/samos/MadlD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/BiswasBDIP06,
  author       = {Partha Biswas and
                  Sudarshan Banerjee and
                  Nikil D. Dutt and
                  Paolo Ienne and
                  Laura Pozzi},
  title        = {Performance and Energy Benefits of Instruction Set Extensions in an
                  {FPGA} Soft Core},
  booktitle    = {19th International Conference on {VLSI} Design {(VLSI} Design 2006),
                  3-7 January 2006, Hyderabad, India},
  pages        = {651--656},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VLSID.2006.131},
  doi          = {10.1109/VLSID.2006.131},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/BiswasBDIP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/daglib/0014636,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Functional verification of programmable embedded architectures - a
                  top-down approach},
  publisher    = {Springer},
  year         = {2005},
  isbn         = {978-0-387-26143-0},
  timestamp    = {Mon, 18 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/daglib/0014636.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijes/MishraDKA05,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt and
                  Narayanan Krishnamurthy and
                  Magdy S. Abadir},
  title        = {A methodology for validation of microprocessors using symbolic simulation},
  journal      = {Int. J. Embed. Syst.},
  volume       = {1},
  number       = {1/2},
  pages        = {14--22},
  year         = {2005},
  url          = {https://doi.org/10.1504/IJES.2005.008805},
  doi          = {10.1504/IJES.2005.008805},
  timestamp    = {Fri, 11 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijes/MishraDKA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/BiswasD05,
  author       = {Partha Biswas and
                  Nikil D. Dutt},
  title        = {Code Size Reduction in Heterogeneous-Connectivity-Based DSPs Using
                  Instruction Set Extensions},
  journal      = {{IEEE} Trans. Computers},
  volume       = {54},
  number       = {10},
  pages        = {1216--1226},
  year         = {2005},
  url          = {https://doi.org/10.1109/TC.2005.157},
  doi          = {10.1109/TC.2005.157},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/BiswasD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/Dutt05,
  author       = {Nikil D. Dutt},
  title        = {Editorial},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {10},
  number       = {1},
  pages        = {1--2},
  year         = {2005},
  url          = {https://doi.org/10.1145/1044111.1044112},
  doi          = {10.1145/1044111.1044112},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/Dutt05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/PasrichaDB05,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Mohamed Ben{-}Romdhane},
  editor       = {Tingao Tang},
  title        = {Automated throughput-driven synthesis of bus-based communication architectures},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {495--498},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120920},
  doi          = {10.1145/1120725.1120920},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/PasrichaDB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/SeoD05,
  author       = {Jaewon Seo and
                  Nikil D. Dutt},
  editor       = {Tingao Tang},
  title        = {A generalized technique for energy-efficient operating voltage set-up
                  in dynamic voltage scaled processors},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {836--841},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1121029},
  doi          = {10.1145/1120725.1121029},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/SeoD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/ShrivastavaID05,
  author       = {Aviral Shrivastava and
                  Ilya Issenin and
                  Nikil D. Dutt},
  editor       = {Thomas M. Conte and
                  Paolo Faraboschi and
                  William H. Mangione{-}Smith and
                  Walid A. Najjar},
  title        = {Compilation techniques for energy reduction in horizontally partitioned
                  cache architectures},
  booktitle    = {Proceedings of the 2005 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2005, San Francisco, California,
                  USA, September 24-27, 2005},
  pages        = {90--96},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1086297.1086310},
  doi          = {10.1145/1086297.1086310},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/ShrivastavaID05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/OhDH05,
  author       = {Hyunok Oh and
                  Nikil D. Dutt and
                  Soonhoi Ha},
  editor       = {Thomas M. Conte and
                  Paolo Faraboschi and
                  William H. Mangione{-}Smith and
                  Walid A. Najjar},
  title        = {Single appearance schedule with dynamic loop count for minimum data
                  buffer from synchronous dataflow graphs},
  booktitle    = {Proceedings of the 2005 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2005, San Francisco, California,
                  USA, September 24-27, 2005},
  pages        = {157--165},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1086297.1086318},
  doi          = {10.1145/1086297.1086318},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/OhDH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/OhDH05,
  author       = {Hyunok Oh and
                  Nikil D. Dutt and
                  Soonhoi Ha},
  editor       = {Petru Eles and
                  Axel Jantsch and
                  Reinaldo A. Bergamaschi},
  title        = {Shift buffering technique for automatic code synthesis from synchronous
                  dataflow graphs},
  booktitle    = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005,
                  Jersey City, NJ, USA, September 19-21, 2005},
  pages        = {51--56},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1084834.1084852},
  doi          = {10.1145/1084834.1084852},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/OhDH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/ShrivastavaEDN05,
  author       = {Aviral Shrivastava and
                  Eugene Earlie and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Petru Eles and
                  Axel Jantsch and
                  Reinaldo A. Bergamaschi},
  title        = {Aggregating processor free time for energy reduction},
  booktitle    = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005,
                  Jersey City, NJ, USA, September 19-21, 2005},
  pages        = {154--159},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1084834.1084876},
  doi          = {10.1145/1084834.1084876},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/ShrivastavaEDN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BanerjeeBD05,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Nikil D. Dutt},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {Physically-aware {HW-SW} partitioning for reconfigurable architectures
                  with partial dynamic reconfiguration},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {335--340},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065667},
  doi          = {10.1145/1065579.1065667},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/BanerjeeBD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PasrichaDBB05,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Elaheh Bozorgzadeh and
                  Mohamed Ben{-}Romdhane},
  editor       = {William H. Joyner Jr. and
                  Grant Martin and
                  Andrew B. Kahng},
  title        = {Floorplan-aware automated synthesis of bus-based communication architectures},
  booktitle    = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005,
                  San Diego, CA, USA, June 13-17, 2005},
  pages        = {565--570},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1065579.1065727},
  doi          = {10.1145/1065579.1065727},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/PasrichaDBB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MishraD05,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Functional Coverage Driven Test Generation for Validation of Pipelined
                  Processors},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {678--683},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.162},
  doi          = {10.1109/DATE.2005.162},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MishraD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ReshadiD05,
  author       = {Mehrdad Reshadi and
                  Nikil D. Dutt},
  title        = {Generic Pipelined Processor Modeling and High Performance Cycle-Accurate
                  Simulator Generation},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {786--791},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.166},
  doi          = {10.1109/DATE.2005.166},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ReshadiD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/IsseninD05,
  author       = {Ilya Issenin and
                  Nikil D. Dutt},
  title        = {{FORAY-GEN:} Automatic Generation of Affine Functions for Memory Optimizations},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {808--813},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.157},
  doi          = {10.1109/DATE.2005.157},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/IsseninD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BiswasBDPI05,
  author       = {Partha Biswas and
                  Sudarshan Banerjee and
                  Nikil D. Dutt and
                  Laura Pozzi and
                  Paolo Ienne},
  title        = {{ISEGEN:} Generation of High-Quality Instruction Set Extensions by
                  Iterative Improvement},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {1246--1251},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.191},
  doi          = {10.1109/DATE.2005.191},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BiswasBDPI05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ShrivastavaDNE05,
  author       = {Aviral Shrivastava and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Eugene Earlie},
  title        = {PBExplore: {A} Framework for Compiler-in-the-Loop Exploration of Partial
                  Bypassing in Embedded Processors},
  booktitle    = {2005 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2005), 7-11 March 2005, Munich, Germany},
  pages        = {1264--1269},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/DATE.2005.236},
  doi          = {10.1109/DATE.2005.236},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ShrivastavaDNE05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/KejariwalGNDG05,
  author       = {Arun Kejariwal and
                  Sumit Gupta and
                  Alexandru Nicolau and
                  Nikil D. Dutt and
                  Rajesh Gupta},
  editor       = {Miguel Miranda and
                  Soonhoi Ha},
  title        = {Energy Analysis of Multimedia Watermarking on Mobile Handheld Devices},
  booktitle    = {Proceedings of the 2005 3rd Workshop on Embedded Systems for Real-Time
                  Multimedia, ESTIMedia 2005, September 22-23, 2005, New York Metropolitan
                  Area, {USA}},
  pages        = {33--38},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ESTMED.2005.1518065},
  doi          = {10.1109/ESTMED.2005.1518065},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/KejariwalGNDG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/BanerjeeBD05,
  author       = {Sudarshan Banerjee and
                  Elaheh Bozorgzadeh and
                  Nikil D. Dutt},
  title        = {Considering Run-Time Reconfiguration Overhead in Task Graph Transformations
                  for Dynamically Reconfigurable Architectures},
  booktitle    = {13th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2005), 17-20 April 2005, Napa, CA, USA, Proceedings},
  pages        = {273--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/FCCM.2005.28},
  doi          = {10.1109/FCCM.2005.28},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/BanerjeeBD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/Gordon-RossVD05,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid and
                  Nikil D. Dutt},
  editor       = {John C. Lach and
                  Gang Qu and
                  Yehea I. Ismail},
  title        = {A first look at the interplay of code reordering and configurable
                  caches},
  booktitle    = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005,
                  Chicago, Illinois, USA, April 17-19, 2005},
  pages        = {416--421},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1057661.1057760},
  doi          = {10.1145/1057661.1057760},
  timestamp    = {Wed, 15 Dec 2021 17:59:57 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/Gordon-RossVD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SeoKD05,
  author       = {Jaewon Seo and
                  Taewhan Kim and
                  Nikil D. Dutt},
  title        = {Optimal integration of inter-task and intra-task dynamic voltage scaling
                  techniques for hard real-time applications},
  booktitle    = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005,
                  San Jose, CA, USA, November 6-10, 2005},
  pages        = {450--455},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICCAD.2005.1560110},
  doi          = {10.1109/ICCAD.2005.1560110},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SeoKD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icdcsw/KimODNV05,
  author       = {Minyoung Kim and
                  Hyunok Oh and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Nalini Venkatasubramanian},
  title        = {Probability Based Power Aware Error Resilient Coding},
  booktitle    = {25th International Conference on Distributed Computing Systems Workshops
                  {(ICDCS} 2005 Workshops), 6-10 June 2005, Columbus, OH, {USA}},
  pages        = {307--313},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICDCSW.2005.113},
  doi          = {10.1109/ICDCSW.2005.113},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icdcsw/KimODNV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icmcs/LeeDV05,
  author       = {Kyoungwoo Lee and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {An Experimental Study on Energy Consumption of Video Encryption for
                  Mobile Handheld Devices},
  booktitle    = {Proceedings of the 2005 {IEEE} International Conference on Multimedia
                  and Expo, {ICME} 2005, July 6-9, 2005, Amsterdam, The Netherlands},
  pages        = {1424--1427},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ICME.2005.1521698},
  doi          = {10.1109/ICME.2005.1521698},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icmcs/LeeDV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icn/ChengBMZVD05,
  author       = {Liang Cheng and
                  Stefano Bossi and
                  Shivajit Mohapatra and
                  Magda El Zarki and
                  Nalini Venkatasubramanian and
                  Nikil D. Dutt},
  editor       = {Pascal Lorenz and
                  Petre Dini},
  title        = {Quality Adapted Backlight Scaling {(QABS)} for Video Streaming to
                  Mobile Handheld Devices},
  booktitle    = {Networking - {ICN} 2005, 4th International Conference on Networking,
                  ReunionIsland, France, April 17-21, 2005, Proceedings, Part {I}},
  series       = {Lecture Notes in Computer Science},
  volume       = {3420},
  pages        = {662--671},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/978-3-540-31956-6\_78},
  doi          = {10.1007/978-3-540-31956-6\_78},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icn/ChengBMZVD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/MohapatraCOLKDGNSV05,
  author       = {Shivajit Mohapatra and
                  Radu Cornea and
                  Hyunok Oh and
                  Kyoungwoo Lee and
                  Minyoung Kim and
                  Nikil D. Dutt and
                  Rajesh Gupta and
                  Alexandru Nicolau and
                  Sandeep K. Shukla and
                  Nalini Venkatasubramanian},
  title        = {A Cross-Layer Approach for Power-Performance Optimization in Distributed
                  Mobile Systems},
  booktitle    = {19th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO,
                  {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/IPDPS.2005.13},
  doi          = {10.1109/IPDPS.2005.13},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/MohapatraCOLKDGNSV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Gordon-RossVD05,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid and
                  Nikil D. Dutt},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Fast configurable-cache tuning with a unified second-level cache},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {323--326},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077681},
  doi          = {10.1145/1077603.1077681},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Gordon-RossVD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/KahneGWD05,
  author       = {Brian Kahne and
                  Aseem Gupta and
                  Peter Wilson and
                  Nikil D. Dutt},
  editor       = {Magdy S. Abadir and
                  Li{-}C. Wang},
  title        = {An Introduction to the Plasma Language},
  booktitle    = {Sixth International Workshop on Microprocessor Test and Verification
                  {(MTV} 2005), Common Challenges and Solutions, 3-4 November 2005,
                  Austin, Texas, {USA}},
  pages        = {12--22},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/MTV.2005.5},
  doi          = {10.1109/MTV.2005.5},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/KahneGWD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MishraDKA04,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt and
                  Narayanan Krishnamurthy and
                  Magdy S. Abadir},
  title        = {A Top-Down Methodology for Microprocessor Validation},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {21},
  number       = {2},
  pages        = {122--131},
  year         = {2004},
  url          = {https://doi.org/10.1109/MDT.2004.1277905},
  doi          = {10.1109/MDT.2004.1277905},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/MishraDKA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PasrichaLMDV04,
  author       = {Sudeep Pasricha and
                  Manev Luthra and
                  Shivajit Mohapatra and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  title        = {Dynamic Backlight Adaptation for Low-Power Handheld Devices},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {21},
  number       = {5},
  pages        = {398--405},
  year         = {2004},
  url          = {https://doi.org/10.1109/MDT.2004.57},
  doi          = {10.1109/MDT.2004.57},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/PasrichaLMDV04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/TomiyamaD04,
  author       = {Hiroyuki Tomiyama and
                  Nikil D. Dutt},
  title        = {ILP-Based Program Path Analysis for Bounding Worst-Case Inter-Task
                  Cache Conflicts},
  journal      = {{IEICE} Trans. Inf. Syst.},
  volume       = {87-D},
  number       = {6},
  pages        = {1582--1587},
  year         = {2004},
  url          = {http://search.ieice.org/bin/summary.php?id=e87-d\_6\_1582},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/TomiyamaD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/GuptaSDGN04,
  author       = {Sumit Gupta and
                  Nicolae Savoiu and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Alexandru Nicolau},
  title        = {Using global code motions to improve the quality of results for high-level
                  synthesis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {2},
  pages        = {302--312},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCAD.2003.822105},
  doi          = {10.1109/TCAD.2003.822105},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/GuptaSDGN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/MamidipakaKDA04,
  author       = {Mahesh Mamidipaka and
                  Kamal S. Khouri and
                  Nikil D. Dutt and
                  Magdy S. Abadir},
  title        = {{IDAP:} a tool for high-level power estimation of custom array structures},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {23},
  number       = {9},
  pages        = {1361--1369},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCAD.2004.833609},
  doi          = {10.1109/TCAD.2004.833609},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/MamidipakaKDA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/MishraD04,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Modeling and validation of pipeline specifications},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {3},
  number       = {1},
  pages        = {114--139},
  year         = {2004},
  url          = {https://doi.org/10.1145/972627.972633},
  doi          = {10.1145/972627.972633},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/MishraD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/MishraMD04,
  author       = {Prabhat Mishra and
                  Mahesh Mamidipaka and
                  Nikil D. Dutt},
  title        = {Processor-memory coexploration using an architecture description language},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {3},
  number       = {1},
  pages        = {140--162},
  year         = {2004},
  url          = {https://doi.org/10.1145/972627.972634},
  doi          = {10.1145/972627.972634},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/MishraMD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GuptaGDN04,
  author       = {Sumit Gupta and
                  Rajesh K. Gupta and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Coordinated parallelizing compiler optimizations and high-level synthesis},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {9},
  number       = {4},
  pages        = {441--470},
  year         = {2004},
  url          = {https://doi.org/10.1145/1027084.1027087},
  doi          = {10.1145/1027084.1027087},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/GuptaGDN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShrivastavaD04,
  author       = {Aviral Shrivastava and
                  Nikil D. Dutt},
  editor       = {Masaharu Imai},
  title        = {Energy efficient code generation exploiting reduced bit-width instruction
                  set architectures (rISA)},
  booktitle    = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation:
                  Electronic Design and Solution Fair 2004, Yokohama, Japan, January
                  27-30, 2004},
  pages        = {475--477},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.94},
  doi          = {10.1109/ASPDAC.2004.94},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShrivastavaD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/BanerjeeD04,
  author       = {Sudarshan Banerjee and
                  Nikil D. Dutt},
  editor       = {Alex Orailoglu and
                  Pai H. Chou and
                  Petru Eles and
                  Axel Jantsch},
  title        = {Efficient search space exploration for {HW-SW} partitioning},
  booktitle    = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004,
                  Stockholm, Sweden, September 8-10, 2004},
  pages        = {122--127},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016720.1016752},
  doi          = {10.1145/1016720.1016752},
  timestamp    = {Wed, 04 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/BanerjeeD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/MamidipakaKDA04,
  author       = {Mahesh Mamidipaka and
                  Kamal S. Khouri and
                  Nikil D. Dutt and
                  Magdy S. Abadir},
  editor       = {Alex Orailoglu and
                  Pai H. Chou and
                  Petru Eles and
                  Axel Jantsch},
  title        = {Analytical models for leakage power estimation of memory array structures},
  booktitle    = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004,
                  Stockholm, Sweden, September 8-10, 2004},
  pages        = {146--151},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016720.1016757},
  doi          = {10.1145/1016720.1016757},
  timestamp    = {Wed, 04 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/MamidipakaKDA04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/ShrivastavaEDN04,
  author       = {Aviral Shrivastava and
                  Eugene Earlie and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Alex Orailoglu and
                  Pai H. Chou and
                  Petru Eles and
                  Axel Jantsch},
  title        = {Operation tables for scheduling in the presence of incomplete bypassing},
  booktitle    = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004,
                  Stockholm, Sweden, September 8-10, 2004},
  pages        = {194--199},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016720.1016768},
  doi          = {10.1145/1016720.1016768},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/ShrivastavaEDN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/PasrichaDB04,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Mohamed Ben{-}Romdhane},
  editor       = {Alex Orailoglu and
                  Pai H. Chou and
                  Petru Eles and
                  Axel Jantsch},
  title        = {Fast exploration of bus-based on-chip communication architectures},
  booktitle    = {Proceedings of the 2nd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2004,
                  Stockholm, Sweden, September 8-10, 2004},
  pages        = {242--247},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1016720.1016778},
  doi          = {10.1145/1016720.1016778},
  timestamp    = {Wed, 04 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/PasrichaDB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PasrichaDB04,
  author       = {Sudeep Pasricha and
                  Nikil D. Dutt and
                  Mohamed Ben{-}Romdhane},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {Extending the transaction level modeling approach for fast communication
                  architecture exploration},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {113--118},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996603},
  doi          = {10.1145/996566.996603},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/PasrichaDB04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KejariwalGNDG04,
  author       = {Arun Kejariwal and
                  Sumit Gupta and
                  Alexandru Nicolau and
                  Nikil D. Dutt and
                  Rajesh Gupta},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {Proxy-based task partitioning of watermarking algorithms for reducing
                  energy consumption in mobile devices},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {556--561},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996720},
  doi          = {10.1145/996566.996720},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KejariwalGNDG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BiswasCAPID04,
  author       = {Partha Biswas and
                  Vinay Choudhary and
                  Kubilay Atasu and
                  Laura Pozzi and
                  Paolo Ienne and
                  Nikil D. Dutt},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {Introduction of local memory elements in instruction set extensions},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {729--734},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996765},
  doi          = {10.1145/996566.996765},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/BiswasCAPID04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuptaDGN04,
  author       = {Sumit Gupta and
                  Nikil D. Dutt and
                  Rajesh Gupta and
                  Alexandru Nicolau},
  title        = {Loop Shifting and Compaction for the High-Level Synthesis of Designs
                  with Complex Control Flow},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {114--121},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268836},
  doi          = {10.1109/DATE.2004.1268836},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GuptaDGN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MishraD04,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Graph-Based Functional Test Program Generation for Pipelined Processors},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {182--187},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268846},
  doi          = {10.1109/DATE.2004.1268846},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MishraD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/IsseninBMD04,
  author       = {Ilya Issenin and
                  Erik Brockmeyer and
                  Miguel Miranda and
                  Nikil D. Dutt},
  title        = {Data Reuse Analysis Technique for Software-Controlled Memory Hierarchies},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {202--207},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268849},
  doi          = {10.1109/DATE.2004.1268849},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/IsseninBMD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/Gordon-RossVD04,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid and
                  Nikil D. Dutt},
  title        = {Automatic Tuning of Two-Level Caches to Embedded Applications},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {208--213},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268850},
  doi          = {10.1109/DATE.2004.1268850},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/Gordon-RossVD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BansalGDNG04,
  author       = {Nikhil Bansal and
                  Sumit Gupta and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Rajesh Gupta},
  title        = {Network Topology Exploration of Mesh-Based Coarse-Grain Reconfigurable
                  Architectures},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {474--479},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1268891},
  doi          = {10.1109/DATE.2004.1268891},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BansalGDNG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AntwerpenDGMPVV04,
  author       = {Hans Van Antwerpen and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Shivajit Mohapatra and
                  Cristiano Pereira and
                  Nalini Venkatasubramanian and
                  Ralph von Vignau},
  title        = {Energy-Aware System Design for Wireless Multimedia},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {1124--1131},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1269043},
  doi          = {10.1109/DATE.2004.1269043},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/AntwerpenDGMPVV04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/MishraD04,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Functional Validation of Programmable Architectures},
  booktitle    = {2004 Euromicro Symposium on Digital Systems Design {(DSD} 2004), Architectures,
                  Methods and Tools, 31 August - 3 September 2004, Rennes, France},
  pages        = {12--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DSD.2004.1333253},
  doi          = {10.1109/DSD.2004.1333253},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/MishraD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/BansalGDNG04,
  author       = {Nikhil Bansal and
                  Sumit Gupta and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Rajesh K. Gupta},
  editor       = {J{\"{u}}rgen Becker and
                  Marco Platzner and
                  Serge Vernalde},
  title        = {Interconnect-Aware Mapping of Applications to Coarse-Grain Reconfigurable
                  Architectures},
  booktitle    = {Field Programmable Logic and Application, 14th International Conference
                  , {FPL} 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3203},
  pages        = {891--899},
  publisher    = {Springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/978-3-540-30117-2\_95},
  doi          = {10.1007/978-3-540-30117-2\_95},
  timestamp    = {Tue, 15 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/BansalGDNG04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/BanerjeeD04,
  author       = {Sudarshan Banerjee and
                  Nikil D. Dutt},
  editor       = {David Garrett and
                  John C. Lach and
                  Charles A. Zukowski},
  title        = {{FIFO} power optimization for on-chip networks},
  booktitle    = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004,
                  Boston, MA, USA, April 26-28, 2004},
  pages        = {187--191},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/988952.988998},
  doi          = {10.1145/988952.988998},
  timestamp    = {Fri, 20 Aug 2021 16:30:37 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/BanerjeeD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/MishraDK04,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt and
                  Yaron Kashai},
  title        = {Functional Verification of Pipelined Processors: {A} Case Study},
  booktitle    = {Fifth International Workshop on Microprocessor Test and Verification
                  {(MTV} 2004), Common Challenges and Solutions, 08-10 September 2004,
                  Austin, Texas, {USA}},
  pages        = {79--84},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/MTV.2004.14},
  doi          = {10.1109/MTV.2004.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/MishraDK04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MishraKD04,
  author       = {Prabhat Mishra and
                  Arun Kejariwal and
                  Nikil D. Dutt},
  title        = {Synthesis-driven Exploration of Pipelined Embedded Processors},
  booktitle    = {17th International Conference on {VLSI} Design {(VLSI} Design 2004),
                  with the 3rd International Conference on Embedded Systems Design,
                  5-9 January 2004, Mumbai, India},
  pages        = {921--926},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICVD.2004.1261049},
  doi          = {10.1109/ICVD.2004.1261049},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MishraKD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/04/Gordon-RossZVD04,
  author       = {Ann Gordon{-}Ross and
                  Chuanjun Zhang and
                  Frank Vahid and
                  Nikil D. Dutt},
  editor       = {Enrico Macii},
  title        = {Tuning Caches to Applications for Low-Energy Embedded Systems},
  booktitle    = {Ultra Low-Power Electronics and Design},
  pages        = {103--122},
  publisher    = {Kluwer / Springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/1-4020-8076-X\_6},
  doi          = {10.1007/1-4020-8076-X\_6},
  timestamp    = {Tue, 02 Jul 2019 15:41:00 +0200},
  biburl       = {https://dblp.org/rec/books/sp/04/Gordon-RossZVD04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/sp/04/MohapatraVDP004,
  author       = {Shivajit Mohapatra and
                  Nalini Venkatasubramanian and
                  Nikil D. Dutt and
                  Cristiano Pereira and
                  Rajesh K. Gupta},
  editor       = {Enrico Macii},
  title        = {Energy-Aware Adaptations for End-to-End Videostreaming to Mobile Handheld
                  Devices},
  booktitle    = {Ultra Low-Power Electronics and Design},
  pages        = {255--273},
  publisher    = {Kluwer / Springer},
  year         = {2004},
  url          = {https://doi.org/10.1007/1-4020-8076-X\_14},
  doi          = {10.1007/1-4020-8076-X\_14},
  timestamp    = {Tue, 02 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/sp/04/MohapatraVDP004.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/daglib/0007323,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Memory architecture exploration for programmable embedded systems},
  publisher    = {Kluwer},
  year         = {2003},
  isbn         = {978-1-4020-7324-3},
  timestamp    = {Thu, 03 Feb 2011 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/books/daglib/0007323.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/computer/DuttC03,
  author       = {Nikil D. Dutt and
                  Kiyoung Choi},
  title        = {Configurable Processors for Embedded Computing},
  journal      = {Computer},
  volume       = {36},
  number       = {1},
  pages        = {120--123},
  year         = {2003},
  url          = {https://doi.org/10.1109/MC.2003.1160063},
  doi          = {10.1109/MC.2003.1160063},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/computer/DuttC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/MishraDT03,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt and
                  Hiroyuki Tomiyama},
  title        = {Towards Automatic Validation of Dynamic Behavior in Pipelined Processor
                  Specifications},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {8},
  number       = {2-3},
  pages        = {249--265},
  year         = {2003},
  url          = {https://doi.org/10.1023/B:DAEM.0000003965.80744.1c},
  doi          = {10.1023/B:DAEM.0000003965.80744.1C},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/MishraDT03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/LeeCD03,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  title        = {Compilation Approach for Coarse-Grained Reconfigurable Architectures},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {20},
  number       = {1},
  pages        = {26--33},
  year         = {2003},
  url          = {https://doi.org/10.1109/MDT.2003.1173050},
  doi          = {10.1109/MDT.2003.1173050},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/LeeCD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/GrunDN03,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Access pattern-based memory and connectivity architecture exploration},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {2},
  number       = {1},
  pages        = {33--73},
  year         = {2003},
  url          = {https://doi.org/10.1145/605459.605462},
  doi          = {10.1145/605459.605462},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/GrunDN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/GrunHDN03,
  author       = {Peter Grun and
                  Ashok Halambi and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {RTGEN-an algorithm for automatic generation of reservation tables
                  from architectural descriptions},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {11},
  number       = {4},
  pages        = {731--737},
  year         = {2003},
  url          = {https://doi.org/10.1109/TVLSI.2003.813011},
  doi          = {10.1109/TVLSI.2003.813011},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/GrunHDN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/MamidipakaHD03,
  author       = {Mahesh Mamidipaka and
                  Daniel S. Hirschberg and
                  Nikil D. Dutt},
  title        = {Adaptive low-power address encoding techniques using self-organizing
                  lists},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {11},
  number       = {5},
  pages        = {827--834},
  year         = {2003},
  url          = {https://doi.org/10.1109/TVLSI.2003.814325},
  doi          = {10.1109/TVLSI.2003.814325},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/MamidipakaHD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/LeeCD03,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  title        = {Evaluating Memory Architectures for Media Applications on Coarse-Grained
                  Recon.gurable Architectures},
  booktitle    = {14th {IEEE} International Conference on Application-Specific Systems,
                  Architectures, and Processors {(ASAP} 2003), 24-26 June 2003, The
                  Hague, The Netherlands},
  pages        = {172--182},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ASAP.2003.10002},
  doi          = {10.1109/ASAP.2003.10002},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/LeeCD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/BiswasD03,
  author       = {Partha Biswas and
                  Nikil D. Dutt},
  editor       = {Jaime H. Moreno and
                  Praveen K. Murthy and
                  Thomas M. Conte and
                  Paolo Faraboschi},
  title        = {Reducing code size for heterogeneous-connectivity-based {VLIW} DSPs
                  through synthesis of instruction set extensions},
  booktitle    = {Proceedings of the International Conference on Compilers, Architectures
                  and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California,
                  USA, October 30 - November 1, 2003},
  pages        = {104--112},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/951710.951726},
  doi          = {10.1145/951710.951726},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/BiswasD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/ReshadiBMD03,
  author       = {Mehrdad Reshadi and
                  Nikhil Bansal and
                  Prabhat Mishra and
                  Nikil D. Dutt},
  editor       = {Rajesh Gupta and
                  Yukihiro Nakamura and
                  Alex Orailoglu and
                  Pai H. Chou},
  title        = {An efficient retargetable framework for instruction-set simulation},
  booktitle    = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003,
                  Newport Beach, CA, USA, October 1-3, 2003},
  pages        = {13--18},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/944645.944649},
  doi          = {10.1145/944645.944649},
  timestamp    = {Tue, 15 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/ReshadiBMD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/DuttSH03,
  author       = {Nikil D. Dutt and
                  Janos Sztipanovits and
                  Masaki Hirata},
  editor       = {Rajesh Gupta and
                  Yukihiro Nakamura and
                  Alex Orailoglu and
                  Pai H. Chou},
  title        = {Driving agenda for systems research},
  booktitle    = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003,
                  Newport Beach, CA, USA, October 1-3, 2003},
  pages        = {82},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/944645.944670},
  doi          = {10.1145/944645.944670},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/DuttSH03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ReshadiMD03,
  author       = {Mehrdad Reshadi and
                  Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Instruction set compiled simulation: a technique for fast and flexible
                  instruction set simulation},
  booktitle    = {Proceedings of the 40th Design Automation Conference, {DAC} 2003,
                  Anaheim, CA, USA, June 2-6, 2003},
  pages        = {758--763},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/775832.776026},
  doi          = {10.1145/775832.776026},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/ReshadiMD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GuptaDGN03,
  author       = {Sumit Gupta and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Alexandru Nicolau},
  title        = {Dynamic Conditional Branch Balancing during the High-Level Synthesis
                  of Control-Intensive Designs},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10270--10275},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10120},
  doi          = {10.1109/DATE.2003.10120},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GuptaDGN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MamidipakaD03,
  author       = {Mahesh Mamidipaka and
                  Nikil D. Dutt},
  title        = {On-chip Stack Based Memory Organization for Low Power Embedded Architectures},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {11082--11089},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DATE.2003.1253748},
  doi          = {10.1109/DATE.2003.1253748},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/MamidipakaD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/PasrichaMLDV03,
  author       = {Sudeep Pasricha and
                  Shivajit Mohapatra and
                  Manev Luthra and
                  Nikil D. Dutt and
                  Nalini Venkatasubramanian},
  editor       = {Gerhard Fohler and
                  Radu Marculescu},
  title        = {Reducing Backlight Power Consumption for Streaming Video Applications
                  on Mobile Handheld Devices},
  booktitle    = {First Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia
                  2003, October 3-4, 2003, Newport Beach, California, USA, co-located
                  with {CODES-ISSS} 2003, Proceedings},
  pages        = {11--17},
  year         = {2003},
  timestamp    = {Thu, 17 Feb 2022 09:36:08 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/PasrichaMLDV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/TomiyamaTD03,
  author       = {Hiroyuki Tomiyama and
                  Hiroaki Takada and
                  Nikil D. Dutt},
  editor       = {Gerhard Fohler and
                  Radu Marculescu},
  title        = {Data Organization Exploration for Low-Energy Address Buses},
  booktitle    = {First Workshop on Embedded Systems for Real-Time Multimedia, ESTIMedia
                  2003, October 3-4, 2003, Newport Beach, California, USA, co-located
                  with {CODES-ISSS} 2003, Proceedings},
  pages        = {128--133},
  year         = {2003},
  timestamp    = {Thu, 17 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/TomiyamaTD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MamidipakaKDA03,
  author       = {Mahesh Mamidipaka and
                  Kamal S. Khouri and
                  Nikil D. Dutt and
                  Magdy S. Abadir},
  title        = {{IDAP:} {A} Tool for High Level Power Estimation of Custom Array Structures},
  booktitle    = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003,
                  San Jose, CA, USA, November 9-13, 2003},
  pages        = {113--119},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257602},
  doi          = {10.1109/ICCAD.2003.1257602},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/MamidipakaKDA03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LuthraGDGN03,
  author       = {Manev Luthra and
                  Sumit Gupta and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Alexandru Nicolau},
  title        = {Interface Synthesis using Memory Mapping for an {FPGA} Platform},
  booktitle    = {21st International Conference on Computer Design {(ICCD} 2003),VLSI
                  in Computers and Processors, 13-15 October 2003, San Jose, CA, USA,
                  Proceedings},
  pages        = {140--145},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCD.2003.1240886},
  doi          = {10.1109/ICCD.2003.1240886},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LuthraGDGN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ReshadiD03,
  author       = {Mehrdad Reshadi and
                  Nikil D. Dutt},
  title        = {Reducing Compilation Time Overhead in Compiled Simulators},
  booktitle    = {21st International Conference on Computer Design {(ICCD} 2003),VLSI
                  in Computers and Processors, 13-15 October 2003, San Jose, CA, USA,
                  Proceedings},
  pages        = {151},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICCD.2003.1240888},
  doi          = {10.1109/ICCD.2003.1240888},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ReshadiD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/CorneaDGKNSS03,
  author       = {Radu Cornea and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Ingolf Kr{\"{u}}ger and
                  Alexandru Nicolau and
                  Douglas C. Schmidt and
                  Sandeep K. Shukla},
  title        = {{FORGE:} {A} Framework for Optimization of Distributed Embedded Systems
                  Software},
  booktitle    = {17th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings},
  pages        = {208},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/IPDPS.2003.1213381},
  doi          = {10.1109/IPDPS.2003.1213381},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/CorneaDGKNSS03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeCD03,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  editor       = {Ingrid Verbauwhede and
                  Hyung Roh},
  title        = {Energy-efficient instruction set synthesis for application-specific
                  processors},
  booktitle    = {Proceedings of the 2003 International Symposium on Low Power Electronics
                  and Design, 2003, Seoul, Korea, August 25-27, 2003},
  pages        = {330--333},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/871506.871588},
  doi          = {10.1145/871506.871588},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeCD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lctrts/LeeCD03,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  editor       = {Frank Mueller and
                  Ulrich Kremer},
  title        = {An algorithm for mapping loops onto coarse-grained reconfigurable
                  architectures},
  booktitle    = {Proceedings of the 2003 Conference on Languages, Compilers, and Tools
                  for Embedded Systems (LCTES'03). San Diego, California, USA, June
                  11-13, 2003},
  pages        = {183--188},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/780732.780758},
  doi          = {10.1145/780732.780758},
  timestamp    = {Fri, 25 Jun 2021 17:17:37 +0200},
  biburl       = {https://dblp.org/rec/conf/lctrts/LeeCD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mm/MohapatraCDNV03,
  author       = {Shivajit Mohapatra and
                  Radu Cornea and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Nalini Venkatasubramanian},
  editor       = {Lawrence A. Rowe and
                  Harrick M. Vin and
                  Thomas Plagemann and
                  Prashant J. Shenoy and
                  John R. Smith},
  title        = {Integrated power management for video streaming to mobile handheld
                  devices},
  booktitle    = {Proceedings of the Eleventh {ACM} International Conference on Multimedia,
                  Berkeley, CA, USA, November 2-8, 2003},
  pages        = {582--591},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/957013.957134},
  doi          = {10.1145/957013.957134},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mm/MohapatraCDNV03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mtv/MishraD03,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {A Methodology for Validation of Microprocessors using Equivalence
                  Checking},
  booktitle    = {Fourth International Workshop on Microprocessor Test and Verification,
                  Common Challenges and Solutions {(MTV} 2003), May 29-30, 2003, Hyatt
                  Town Lake Hotel, Austin, Texas, {USA}},
  pages        = {83--88},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/MTV.2003.1250267},
  doi          = {10.1109/MTV.2003.1250267},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mtv/MishraD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rsp/MishraKD03,
  author       = {Prabhat Mishra and
                  Arun Kejariwal and
                  Nikil D. Dutt},
  title        = {Rapid Exploration of Pipelined Processors through Automatic Generation
                  of Synthesizable {RTL} Models},
  booktitle    = {14th {IEEE} International Workshop on Rapid System Prototyping {(RSP}
                  2003), 9-11 June 2003, San Diego, CA, {USA}},
  pages        = {226--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/IWRSP.2003.1207052},
  doi          = {10.1109/IWRSP.2003.1207052},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rsp/MishraKD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtss/BussGD03,
  author       = {Marcio Buss and
                  Tony Givargis and
                  Nikil D. Dutt},
  title        = {Exploring Efficient Operating Points for Voltage Scaled Embedded Processor
                  Cores},
  booktitle    = {Proceedings of the 24th {IEEE} Real-Time Systems Symposium {(RTSS}
                  2003), 3-5 December 2003, Cancun, Mexico},
  pages        = {275--281},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/REAL.2003.1253274},
  doi          = {10.1109/REAL.2003.1253274},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtss/BussGD03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MamidipakaDK03,
  author       = {Mahesh Mamidipaka and
                  Nikil D. Dutt and
                  Kamal S. Khouri},
  title        = {A Methodology for Accurate Modeling of Energy Dissipation in Array
                  Structures},
  booktitle    = {16th International Conference on {VLSI} Design {(VLSI} Design 2003),
                  4-8 January 2003, New Delhi, India},
  pages        = {320},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICVD.2003.1183157},
  doi          = {10.1109/ICVD.2003.1183157},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MamidipakaDK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/GuptaDGN03,
  author       = {Sumit Gupta and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Alexandru Nicolau},
  title        = {{SPARK:} {A} High-Lev l Synthesis Framework For Applying Parallelizing
                  Compiler Transformations},
  booktitle    = {16th International Conference on {VLSI} Design {(VLSI} Design 2003),
                  4-8 January 2003, New Delhi, India},
  pages        = {461--466},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/ICVD.2003.1183177},
  doi          = {10.1109/ICVD.2003.1183177},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/GuptaDGN03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuptaSDGNKKR02,
  author       = {Sumit Gupta and
                  Nick Savoiu and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Alexandru Nicolau and
                  Timothy Kam and
                  Michael Kishinevsky and
                  Shai Rotem},
  title        = {Coordinated transformations for high-level synthesis of high performance
                  microprocessor blocks},
  booktitle    = {Proceedings of the 39th Design Automation Conference, {DAC} 2002,
                  New Orleans, LA, USA, June 10-14, 2002},
  pages        = {898--903},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/513918.514140},
  doi          = {10.1145/513918.514140},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GuptaSDGNKKR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/MishraDNT02,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Hiroyuki Tomiyama},
  title        = {Automatic Verification of In-Order Execution In Microprocessors with
                  Fragmented Pipelines and Multicycle Functional Units},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {36--43},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998247},
  doi          = {10.1109/DATE.2002.998247},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/MishraDNT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AzevedoICGDVN02,
  author       = {Ana Azevedo and
                  Ilya Issenin and
                  Radu Cornea and
                  Rajesh Gupta and
                  Nikil D. Dutt and
                  Alexander V. Veidenbaum and
                  Alexandru Nicolau},
  title        = {Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {168--175},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998266},
  doi          = {10.1109/DATE.2002.998266},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/AzevedoICGDVN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HalambiSBDN02,
  author       = {Ashok Halambi and
                  Aviral Shrivastava and
                  Partha Biswas and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {An Efficient Compiler Technique for Code Size Reduction Using Reduced
                  Bit-Width ISAs},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {402--408},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998305},
  doi          = {10.1109/DATE.2002.998305},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HalambiSBDN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GrunDN02,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Memory System Connectivity Exploration},
  booktitle    = {2002 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2002), 4-8 March 2002, Paris, France},
  pages        = {894--901},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DATE.2002.998406},
  doi          = {10.1109/DATE.2002.998406},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GrunDN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hipc/PandaD02a,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt},
  editor       = {Sartaj Sahni and
                  Viktor K. Prasanna and
                  Uday Shukla},
  title        = {Memory Architectures for Embedded Systems-On-Chip},
  booktitle    = {High Performance Computing - HiPC 2002, 9th International Conference,
                  Bangalore, India, December 18-21, 2002, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2552},
  pages        = {647--662},
  publisher    = {Springer},
  year         = {2002},
  url          = {https://doi.org/10.1007/3-540-36265-7\_61},
  doi          = {10.1007/3-540-36265-7\_61},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hipc/PandaD02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/MishraD02,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  title        = {Automatic functional test program generation for pipelined processors
                  using model checking},
  booktitle    = {Seventh {IEEE} International High-Level Design Validation and Test
                  Workshop 2002, Cannes, France, October 27-29, 2002},
  pages        = {99--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/HLDVT.2002.1224436},
  doi          = {10.1109/HLDVT.2002.1224436},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/MishraD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeCD02,
  author       = {Jong{-}eun Lee and
                  Kiyoung Choi and
                  Nikil D. Dutt},
  editor       = {Lawrence T. Pileggi and
                  Andreas Kuehlmann},
  title        = {Efficient instruction encoding for automatic instruction set design
                  of configurable ASIPs},
  booktitle    = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided
                  Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002},
  pages        = {649--654},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1145/774572.774668},
  doi          = {10.1145/774572.774668},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeCD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-3/MishraD02,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt},
  editor       = {Bernd Kleinjohann and
                  K. H. Kim and
                  Lisa Kleinjohann and
                  Achim Rettberg},
  title        = {Modeling and Verification of Pipelined Embedded Processors in the
                  Presence of Hazards and Exceptions},
  booktitle    = {Design and Analysis of Distributed Embedded Systems, {IFIP} 17\({}^{\mbox{th}}\)
                  World Computer Congress - {TC10} Stream on Distributed and Parallel
                  Embedded Systems {(DIPES} 2002), August 25-29, 2002, Montr{\'{e}}al,
                  Qu{\'{e}}bec, Canada},
  series       = {{IFIP} Conference Proceedings},
  volume       = {219},
  pages        = {81--90},
  publisher    = {Kluwer},
  year         = {2002},
  timestamp    = {Mon, 18 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip10-3/MishraD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/NicolauDSBH02,
  author       = {Alexandru Nicolau and
                  Nikil D. Dutt and
                  Aviral Shrivastava and
                  Partha Biswas and
                  Ashok Halambi},
  editor       = {El Mostapha Aboulhamid and
                  Yukihiro Nakamura},
  title        = {A Design Space Exploration Framework for Reduced Bit-Width Instruction
                  Set Architecture (rISA) Design},
  booktitle    = {Proceedings of the 15th International Symposium on System Synthesis
                  {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan},
  pages        = {120--125},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227163},
  doi          = {10.1109/ISSS.2002.1227163},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/NicolauDSBH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/DuttHM02,
  author       = {Nikil D. Dutt and
                  Daniel S. Hirschberg and
                  Mahesh Mamidipaka},
  editor       = {El Mostapha Aboulhamid and
                  Yukihiro Nakamura},
  title        = {Efficient Power Reduction Techniques for Time Multiplexed Address
                  Buses},
  booktitle    = {Proceedings of the 15th International Symposium on System Synthesis
                  {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan},
  pages        = {207--212},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227179},
  doi          = {10.1109/ISSS.2002.1227179},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/DuttHM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/NicolauDGSRG02,
  author       = {Alexandru Nicolau and
                  Nikil D. Dutt and
                  Rajesh Gupta and
                  Nick Savoiu and
                  Mehrdad Reshadi and
                  Sumit Gupta},
  editor       = {El Mostapha Aboulhamid and
                  Yukihiro Nakamura},
  title        = {Dynamic Common Sub-Expression Elimination during Scheduling in High-Level
                  Synthesis},
  booktitle    = {Proceedings of the 15th International Symposium on System Synthesis
                  {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan},
  pages        = {261--266},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227188},
  doi          = {10.1109/ISSS.2002.1227188},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/NicolauDGSRG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MishraTHGDN02,
  author       = {Prabhat Mishra and
                  Hiroyuki Tomiyama and
                  Ashok Halambi and
                  Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Automatic Modeling and Validation of Pipeline Specifications Driven
                  by an Architecture Description Language},
  booktitle    = {Proceedings of the 7th Asia and South Pacific Design Automation Conference
                  {(ASP-DAC} 2002), and the 15th International Conference on {VLSI}
                  Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002},
  pages        = {458},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/ASPDAC.2002.994963},
  doi          = {10.1109/ASPDAC.2002.994963},
  timestamp    = {Mon, 14 Nov 2022 15:28:09 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MishraTHGDN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/PandaDNCVBKG01,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Francky Catthoor and
                  Arnout Vandecappelle and
                  Erik Brockmeyer and
                  Chidamber Kulkarni and
                  Eddy de Greef},
  title        = {Data Memory Organization and Optimizations in Application-Specific
                  Systems},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {18},
  number       = {3},
  pages        = {56--68},
  year         = {2001},
  url          = {https://doi.org/10.1109/54.922803},
  doi          = {10.1109/54.922803},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/PandaDNCVBKG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/CatthoorDWD01,
  author       = {Francky Catthoor and
                  Koen Danckaert and
                  Sven Wuytack and
                  Nikil D. Dutt},
  title        = {Code Transformations for Data Transfer and Storage Exploration Preprocessing
                  in Multimedia Processors},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {18},
  number       = {3},
  pages        = {70--82},
  year         = {2001},
  url          = {https://doi.org/10.1109/54.922804},
  doi          = {10.1109/54.922804},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/CatthoorDWD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/KhareHSGDN01,
  author       = {Asheesh Khare and
                  Ashok Halambi and
                  Nicolae Savoiu and
                  Peter Grun and
                  Nikil D. Dutt and
                  Alex Nicolau},
  title        = {{V-SAT:} {A} visual specification and analysis tool for system-on-chip
                  exploration},
  journal      = {J. Syst. Archit.},
  volume       = {47},
  number       = {3-4},
  pages        = {263--275},
  year         = {2001},
  url          = {https://doi.org/10.1016/S1383-7621(00)00049-7},
  doi          = {10.1016/S1383-7621(00)00049-7},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/KhareHSGDN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/PandaCDDBKVK01,
  author       = {Preeti Ranjan Panda and
                  Francky Catthoor and
                  Nikil D. Dutt and
                  Koen Danckaert and
                  Erik Brockmeyer and
                  Chidamber Kulkarni and
                  Arnout Vandecappelle and
                  Per Gunnar Kjeldsberg},
  title        = {Data and memory optimization techniques for embedded systems},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {6},
  number       = {2},
  pages        = {149--206},
  year         = {2001},
  url          = {https://doi.org/10.1145/375977.375978},
  doi          = {10.1145/375977.375978},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/PandaCDDBKVK01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DuttNTH01,
  author       = {Nikil D. Dutt and
                  Alexandru Nicolau and
                  Hiroyuki Tomiyama and
                  Ashok Halambi},
  editor       = {Satoshi Goto},
  title        = {New directions in compiler technology for embedded systems (embedded
                  tutorial)},
  booktitle    = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation
                  Conference 2001, January 30-February 2, 2001, Yokohama, Japan},
  pages        = {409--414},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/370155.370429},
  doi          = {10.1145/370155.370429},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/DuttNTH01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GuptaSKDGN01,
  author       = {Sumit Gupta and
                  Nick Savoiu and
                  Sunwoo Kim and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Alexandru Nicolau},
  title        = {Speculation Techniques for High Level Synthesis of Control Intensive
                  Designs},
  booktitle    = {Proceedings of the 38th Design Automation Conference, {DAC} 2001,
                  Las Vegas, NV, USA, June 18-22, 2001},
  pages        = {269--272},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/378239.378481},
  doi          = {10.1145/378239.378481},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GuptaSKDGN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/GrunDN01,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Wolfgang Nebel and
                  Ahmed Jerraya},
  title        = {Access pattern based local memory customization for low power embedded
                  systems},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2001, Munich, Germany, March 12-16, 2001},
  pages        = {778--784},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DATE.2001.915120},
  doi          = {10.1109/DATE.2001.915120},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/GrunDN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/MishraDN01,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt and
                  Alex Nicolau},
  title        = {Automatic validation of pipeline specifications},
  booktitle    = {Proceedings of the Sixth {IEEE} International High-Level Design Validation
                  and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001},
  pages        = {9--13},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/HLDVT.2001.972800},
  doi          = {10.1109/HLDVT.2001.972800},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/MishraDN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MamidipakaHD01,
  author       = {Mahesh Mamidipaka and
                  Daniel S. Hirschberg and
                  Nikil D. Dutt},
  editor       = {Enrico Macii and
                  Vivek De and
                  Mary Jane Irwin},
  title        = {Low power address encoding using self-organizing lists},
  booktitle    = {Proceedings of the 2001 International Symposium on Low Power Electronics
                  and Design, 2001, Huntington Beach, California, USA, 2001},
  pages        = {188--193},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/383082.383129},
  doi          = {10.1145/383082.383129},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MamidipakaHD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/GrunDN01,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Rom{\'{a}}n Hermida and
                  El Mostapha Aboulhamid},
  title        = {{APEX:} Access Pattern Based Memory Architecture Exploration},
  booktitle    = {Proceedings of the 14th International Symposium on Systems Synthesis,
                  {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September
                  30 - October 3, 2001},
  pages        = {25--32},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ISSS.2001.957908},
  doi          = {10.1109/ISSS.2001.957908},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/GrunDN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/GuptaSDGN01,
  author       = {Sumit Gupta and
                  Nick Savoiu and
                  Nikil D. Dutt and
                  Rajesh K. Gupta and
                  Alexandru Nicolau},
  editor       = {Rom{\'{a}}n Hermida and
                  El Mostapha Aboulhamid},
  title        = {Conditional speculation and its effects on performance and area for
                  high-level snthesis},
  booktitle    = {Proceedings of the 14th International Symposium on Systems Synthesis,
                  {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September
                  30 - October 3, 2001},
  pages        = {171--176},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ISSS.2001.957934},
  doi          = {10.1109/ISSS.2001.957934},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/GuptaSDGN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/MishraDN01,
  author       = {Prabhat Mishra and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Rom{\'{a}}n Hermida and
                  El Mostapha Aboulhamid},
  title        = {Functional abstraction driven design space exploration of heterogeneous
                  programmable architectures},
  booktitle    = {Proceedings of the 14th International Symposium on Systems Synthesis,
                  {ISSS} 2001, Montr{\'{e}}l, Qu{\'{e}}bec, Canada, September
                  30 - October 3, 2001},
  pages        = {256--261},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2001.957951},
  doi          = {10.1109/ISSS.2001.957951},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/MishraDN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MishraGDN01,
  author       = {Prabhat Mishra and
                  Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Processor-Memory Co-Exploration driven by a Memory-Aware Architecture
                  Description Language},
  booktitle    = {14th International Conference on {VLSI} Design {(VLSI} Design 2001),
                  3-7 January 2001, Bangalore, India},
  pages        = {70--75},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICVD.2001.902642},
  doi          = {10.1109/ICVD.2001.902642},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MishraGDN01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DattaCBTD01,
  author       = {Anupam Datta and
                  Sidharth Choudhury and
                  Anupam Basu and
                  Hiroyuki Tomiyama and
                  Nikil D. Dutt},
  title        = {Satisfying Timing Constraints of Preemptive Real-Time Tasks through
                  Task Layout Technique},
  booktitle    = {14th International Conference on {VLSI} Design {(VLSI} Design 2001),
                  3-7 January 2001, Bangalore, India},
  pages        = {97--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/ICVD.2001.902646},
  doi          = {10.1109/ICVD.2001.902646},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DattaCBTD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/JhaD00,
  author       = {Pradip K. Jha and
                  Nikil D. Dutt},
  title        = {High-level library mapping for memories},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {5},
  number       = {3},
  pages        = {566--603},
  year         = {2000},
  url          = {https://doi.org/10.1145/348019.348297},
  doi          = {10.1145/348019.348297},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/JhaD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/PandaDN00,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {On-chip vs. off-chip memory: the data partitioning problem in embedded
                  processor-based systems},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {5},
  number       = {3},
  pages        = {682--704},
  year         = {2000},
  url          = {https://doi.org/10.1145/348019.348570},
  doi          = {10.1145/348019.348570},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/PandaDN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/WuD00,
  author       = {Allen C.{-}H. Wu and
                  Nikil D. Dutt},
  title        = {Guest editorial 11th international symposium on system-level synthesis
                  and design (ISSS'98)},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {8},
  number       = {5},
  pages        = {469--471},
  year         = {2000},
  url          = {https://doi.org/10.1109/TVLSI.2000.894151},
  doi          = {10.1109/TVLSI.2000.894151},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/WuD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/TomiyamaD00,
  author       = {Hiroyuki Tomiyama and
                  Nikil D. Dutt},
  editor       = {Frank Vahid and
                  Jan Madsen},
  title        = {Program path analysis to bound cache-related preemption delay in preemptive
                  real-time systems},
  booktitle    = {Proceedings of the Eighth International Workshop on Hardware/Software
                  Codesign, {CODES} 2000, San Diego, California, USA, 2000},
  pages        = {67--71},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/334012.334025},
  doi          = {10.1145/334012.334025},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/TomiyamaD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GrunDN00,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Giovanni De Micheli},
  title        = {Memory aware compilation through accurate timing extraction},
  booktitle    = {Proceedings of the 37th Conference on Design Automation, Los Angeles,
                  CA, USA, June 5-9, 2000},
  pages        = {316--321},
  publisher    = {{ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1145/337292.337428},
  doi          = {10.1145/337292.337428},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/GrunDN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/CatthoorDK00,
  author       = {Francky Catthoor and
                  Nikil D. Dutt and
                  Christoforos E. Kozyrakis},
  editor       = {Ivo Bolsens},
  title        = {How to Solve the Current Memory Access and Data Transfer Bottlenecks:
                  At the Processor Architecture or at the Compiler Level?},
  booktitle    = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March
                  2000, Paris, France},
  pages        = {426--433},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1109/DATE.2000.840306},
  doi          = {10.1109/DATE.2000.840306},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/CatthoorDK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HalambiCGDN00,
  author       = {Ashok Halambi and
                  Radu Cornea and
                  Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Ivo Bolsens},
  title        = {Architecture Exploration of Parameterizable {EPIC} {SOC} Architectures},
  booktitle    = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March
                  2000, Paris, France},
  pages        = {748},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1109/DATE.2000.840881},
  doi          = {10.1109/DATE.2000.840881},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HalambiCGDN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hldvt/TomiyamaYD00,
  author       = {Hiroyuki Tomiyama and
                  Taisei Yoshino and
                  Nikil D. Dutt},
  title        = {Verification of in-order execution in pipelined processors},
  booktitle    = {Proceedings of the {IEEE} International High-Level Design Validation
                  and Test Workshop 2000, Berkeley, California, USA, November 8-10,
                  2000},
  pages        = {40--44},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/HLDVT.2000.889557},
  doi          = {10.1109/HLDVT.2000.889557},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hldvt/TomiyamaYD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GrunDN00,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Ellen Sentovich},
  title        = {{MIST:} An Algorithm for Memory Miss Traffic Management},
  booktitle    = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided
                  Design, 2000, San Jose, California, USA, November 5-9, 2000},
  pages        = {431--437},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICCAD.2000.896510},
  doi          = {10.1109/ICCAD.2000.896510},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/GrunDN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NachtergaeleTD00,
  author       = {Lode Nachtergaele and
                  Vivek Tiwari and
                  Nikil D. Dutt},
  editor       = {Ellen Sentovich},
  title        = {System and Architecture-Level Power Reduction for Microprocessor-Based
                  Communication and Multi-Media Applications},
  booktitle    = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided
                  Design, 2000, San Jose, California, USA, November 5-9, 2000},
  pages        = {569--573},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICCAD.2000.896533},
  doi          = {10.1109/ICCAD.2000.896533},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/NachtergaeleTD00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-3/HalambiDN00,
  author       = {Ashok Halambi and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Bernd Kleinjohann},
  title        = {Customizing Software Toolkits for Embedded Systems-On-Chip},
  booktitle    = {Architecture and Design of Distributed Embedded Systems, {IFIP} {WG10.3/WG10.4/WG10.5}
                  International Workshop on Distributed and Parallel Embedded Systems
                  {(DIPES} 2000), October 18-19, 2000, Schlo{\ss} Eringerfeld, Germany},
  series       = {{IFIP} Conference Proceedings},
  volume       = {189},
  pages        = {87--98},
  publisher    = {Kluwer},
  year         = {2000},
  timestamp    = {Mon, 29 Jul 2002 14:40:52 +0200},
  biburl       = {https://dblp.org/rec/conf/ifip10-3/HalambiDN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ims/GrunDN00,
  author       = {Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Frederic T. Chong and
                  Christoforos E. Kozyrakis and
                  Mark Oskin},
  title        = {Aggressive Memory-Aware Compilation},
  booktitle    = {Intelligent Memory Systems, Second International Workshop, {IMS} 2000,
                  Cambridge, MA, USA, November 12, 2000, Revised Papers},
  series       = {Lecture Notes in Computer Science},
  volume       = {2107},
  pages        = {147--151},
  publisher    = {Springer},
  year         = {2000},
  url          = {https://doi.org/10.1007/3-540-44570-6\_10},
  doi          = {10.1007/3-540-44570-6\_10},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ims/GrunDN00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/PandaNDN99,
  author       = {Preeti Ranjan Panda and
                  Hiroshi Nakamura and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Augmenting Loop Tiling with Data Alignment for Improved Cache Performance},
  journal      = {{IEEE} Trans. Computers},
  volume       = {48},
  number       = {2},
  pages        = {142--149},
  year         = {1999},
  url          = {https://doi.org/10.1109/12.752655},
  doi          = {10.1109/12.752655},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/PandaNDN99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PandaDN99,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Local memory exploration and optimization in embedded systems},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {18},
  number       = {1},
  pages        = {3--13},
  year         = {1999},
  url          = {https://doi.org/10.1109/43.739054},
  doi          = {10.1109/43.739054},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PandaDN99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/PandaD99,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt},
  title        = {Low-power memory mapping through reducing address bus activity},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {7},
  number       = {3},
  pages        = {309--320},
  year         = {1999},
  url          = {https://doi.org/10.1109/92.784092},
  doi          = {10.1109/92.784092},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/PandaD99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HalambiGGKDN99,
  author       = {Ashok Halambi and
                  Peter Grun and
                  Vijay Ganesh and
                  Asheesh Khare and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {{EXPRESSION:} {A} Language for Architecture Exploration through Compiler/Simulator
                  Retargetability},
  booktitle    = {1999 Design, Automation and Test in Europe {(DATE} '99), 9-12 March
                  1999, Munich, Germany},
  pages        = {485--490},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1999},
  url          = {https://doi.org/10.1109/DATE.1999.761170},
  doi          = {10.1109/DATE.1999.761170},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/HalambiGGKDN99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/euromicro/KhareSHGDN99,
  author       = {Asheesh Khare and
                  Nicolae Savoiu and
                  Ashok Halambi and
                  Peter Grun and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {{V-SAT:} {A} Visual Specification and Analysis Tool for System-On-Chip
                  Exploration},
  booktitle    = {25th {EUROMICRO} '99 Conference, Informatics: Theory and Practice
                  for the New Millenium, 8-10 September 1999, Milan, Italy},
  pages        = {1196--1203},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/EURMIC.1999.794466},
  doi          = {10.1109/EURMIC.1999.794466},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/euromicro/KhareSHGDN99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DuttF99,
  author       = {Nikil D. Dutt and
                  Eric M. Foster},
  editor       = {Jacob K. White and
                  Ellen Sentovich},
  title        = {Design of a set-top box system on a chip (abstract)},
  booktitle    = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided
                  Design, 1999, San Jose, California, USA, November 7-11, 1999},
  pages        = {608},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.1999.10000},
  doi          = {10.1109/ICCAD.1999.10000},
  timestamp    = {Mon, 08 May 2023 21:43:38 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DuttF99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DuttK99,
  author       = {Nikil D. Dutt and
                  Brian Kelley},
  editor       = {Jacob K. White and
                  Ellen Sentovich},
  title        = {On the rapid prototyping and design of a wireless communication system
                  on a chip (abstract)},
  booktitle    = {Proceedings of the 1999 {IEEE/ACM} International Conference on Computer-Aided
                  Design, 1999, San Jose, California, USA, November 7-11, 1999},
  pages        = {609},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.1999.10002},
  doi          = {10.1109/ICCAD.1999.10002},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DuttK99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/GrunHDN99,
  author       = {Peter Grun and
                  Ashok Halambi and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {{RTGEN:} An Algorithm for Automatic Generation of Reservation Tables
                  from Architectural Descriptions},
  booktitle    = {Proceedings of the 12th International Symposium on System Synthesis,
                  {ISSS} '99, Boca Raton, Florida, USA, November 1-4, 1999},
  pages        = {44--50},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ISSS.1999.814259},
  doi          = {10.1109/ISSS.1999.814259},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/GrunHDN99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PandaDN98,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Incorporating {DRAM} access modes into high-level synthesis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {17},
  number       = {2},
  pages        = {96--109},
  year         = {1998},
  url          = {https://doi.org/10.1109/43.681260},
  doi          = {10.1109/43.681260},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/PandaDN98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/GrunBD98,
  author       = {Peter Grun and
                  Florin Balasa and
                  Nikil D. Dutt},
  editor       = {Gaetano Borriello and
                  Ahmed Amine Jerraya and
                  Luciano Lavagno},
  title        = {Memory size estimation for multimedia applications},
  booktitle    = {Proceedings of the Sixth International Workshop on Hardware/Software
                  Codesign, {CODES} 1998, Seattle, Washington, USA, March 15-18, 1998},
  pages        = {145--149},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1145/278241.278325},
  doi          = {10.1145/278241.278325},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/GrunBD98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PandaDN98,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Patrick M. Dewilde and
                  Franz J. Rammig and
                  Gerry Musgrave},
  title        = {Data Cache Sizing for Embedded Processor Applications},
  booktitle    = {1998 Design, Automation and Test in Europe {(DATE} '98), February
                  23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France},
  pages        = {925--926},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/DATE.1998.655972},
  doi          = {10.1109/DATE.1998.655972},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/PandaDN98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HeinNRKDC98,
  author       = {Soren Hein and
                  Vijay Nagasamy and
                  Bernhard Rohfleisch and
                  Christoforos E. Kozyrakis and
                  Nikil D. Dutt and
                  Francky Catthoor},
  editor       = {Hiroto Yasuura},
  title        = {Embedded memories in system design - from technology to systems architecture},
  booktitle    = {Proceedings of the 1998 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1998, San Jose, CA, USA, November 8-12, 1998},
  pages        = {1},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1145/288548.288549},
  doi          = {10.1145/288548.288549},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HeinNRKDC98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lcpc/KolsonND98,
  author       = {David J. Kolson and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  editor       = {Siddhartha Chatterjee and
                  Jan F. Prins and
                  Larry Carter and
                  Jeanne Ferrante and
                  Zhiyuan Li and
                  David C. Sehr and
                  Pen{-}Chung Yew},
  title        = {Copy Elimination for Parallelizing Compilers},
  booktitle    = {Languages and Compilers for Parallel Computing, 11th International
                  Workshop, LCPC'98, Chapel Hill, NC, USA, August 7-9, 1998, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {1656},
  pages        = {275--289},
  publisher    = {Springer},
  year         = {1998},
  url          = {https://doi.org/10.1007/3-540-48319-5\_18},
  doi          = {10.1007/3-540-48319-5\_18},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lcpc/KolsonND98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/OhmKD97,
  author       = {Seong Yong Ohm and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  title        = {A unified lower bound estimation technique for high-level synthesis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {16},
  number       = {5},
  pages        = {458--472},
  year         = {1997},
  url          = {https://doi.org/10.1109/43.631209},
  doi          = {10.1109/43.631209},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/OhmKD97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/PandaDN97,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Memory data organization for improved cache performance in embedded
                  processor applications},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {2},
  number       = {4},
  pages        = {384--409},
  year         = {1997},
  url          = {https://doi.org/10.1145/268424.268464},
  doi          = {10.1145/268424.268464},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/PandaDN97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/DuttJ97,
  author       = {Nikil D. Dutt and
                  Pradip K. Jha},
  title        = {{RT} Component Sets for High-Level Design Applications},
  journal      = {{VLSI} Design},
  volume       = {5},
  number       = {2},
  pages        = {155--165},
  year         = {1997},
  url          = {https://doi.org/10.1155/1997/35614},
  doi          = {10.1155/1997/35614},
  timestamp    = {Tue, 06 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsi/DuttJ97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PandaDN97,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Efficient utilization of scratch-pad memory in embedded processor
                  applications},
  booktitle    = {European Design and Test Conference, ED{\&}TC '97, Paris, France,
                  17-20 March 1997},
  pages        = {7--11},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/EDTC.1997.582323},
  doi          = {10.1109/EDTC.1997.582323},
  timestamp    = {Fri, 20 May 2022 15:59:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/PandaDN97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JhaD97,
  author       = {Pradip K. Jha and
                  Nikil D. Dutt},
  title        = {Library mapping for memories},
  booktitle    = {European Design and Test Conference, ED{\&}TC '97, Paris, France,
                  17-20 March 1997},
  pages        = {288--292},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/EDTC.1997.582372},
  doi          = {10.1109/EDTC.1997.582372},
  timestamp    = {Fri, 20 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/JhaD97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PandaDN97,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Ralph H. J. M. Otten and
                  Hiroto Yasuura},
  title        = {Exploiting off-chip memory access modes in high-level synthesis},
  booktitle    = {Proceedings of the 1997 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1997, San Jose, CA, USA, November 9-13, 1997},
  pages        = {333--340},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1109/ICCAD.1997.643539},
  doi          = {10.1109/ICCAD.1997.643539},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PandaDN97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PandaNDN97,
  author       = {Preeti Ranjan Panda and
                  Hiroshi Nakamura and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {A Data Alignment Technique for Improving Cache Performance},
  booktitle    = {Proceedings 1997 International Conference on Computer Design: {VLSI}
                  in Computers {\&} Processors, {ICCD} '97, Austin, Texas, USA,
                  October 12-15, 1997},
  pages        = {587--592},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/ICCD.1997.628925},
  doi          = {10.1109/ICCD.1997.628925},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PandaNDN97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/irregular/PandaNDN97,
  author       = {Preeti Ranjan Panda and
                  Hiroshi Nakamura and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Gianfranco Bilardi and
                  Afonso Ferreira and
                  Reinhard L{\"{u}}ling and
                  Jos{\'{e}} D. P. Rolim},
  title        = {Improving cache Performance Through Tiling and Data Alignment},
  booktitle    = {Solving Irregularly Structured Problems in Parallel, 4th International
                  Symposium, {IRREGULAR} '97, Paderborn, Germany, June 12-13, 1997,
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {1253},
  pages        = {167--185},
  publisher    = {Springer},
  year         = {1997},
  url          = {https://doi.org/10.1007/3-540-63138-0\_16},
  doi          = {10.1007/3-540-63138-0\_16},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/irregular/PandaNDN97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/PandaDN97,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Frank Vahid and
                  Francky Catthoor},
  title        = {Architectural Exploration and Optimization of Local Memory in Embedded
                  Systems},
  booktitle    = {Proceedings of the 10th International Symposium on System Synthesis,
                  {ISSS} '97, Antwerp, Belgium, September 17-19, 1997},
  pages        = {90},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.1997.621680},
  doi          = {10.1109/ISSS.1997.621680},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/PandaDN97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/PandaD97,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt},
  title        = {Behavioral Array Mapping into Multiport Memories Targeting Low Power},
  booktitle    = {10th International Conference on {VLSI} Design {(VLSI} Design 1997),
                  4-7 January 1997, Hyderabad, India},
  pages        = {268--273},
  publisher    = {{IEEE} Computer Society},
  year         = {1997},
  url          = {https://doi.org/10.1109/ICVD.1997.568088},
  doi          = {10.1109/ICVD.1997.568088},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/PandaD97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/KolsonND96,
  author       = {David J. Kolson and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  title        = {Elimination of redundant memory traffic in high-level synthesis},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {15},
  number       = {11},
  pages        = {1354--1364},
  year         = {1996},
  url          = {https://doi.org/10.1109/43.543768},
  doi          = {10.1109/43.543768},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/KolsonND96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/KolsonNDK96,
  author       = {David J. Kolson and
                  Alexandru Nicolau and
                  Nikil D. Dutt and
                  Ken Kennedy},
  title        = {Optimal register assignment to loops for embedded code generation},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {1},
  number       = {2},
  pages        = {251--279},
  year         = {1996},
  url          = {https://doi.org/10.1145/233539.233542},
  doi          = {10.1145/233539.233542},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/KolsonNDK96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JhaD96,
  author       = {Pradip K. Jha and
                  Nikil D. Dutt},
  title        = {High-level library mapping for arithmetic components},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {4},
  number       = {2},
  pages        = {157--169},
  year         = {1996},
  url          = {https://doi.org/10.1109/92.502189},
  doi          = {10.1109/92.502189},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JhaD96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PandaD96,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt},
  title        = {Reducing Address Bus Transitions for Low Power Memory Mapping},
  booktitle    = {1996 European Design and Test Conference, ED{\&}TC 1996, Paris,
                  France, March 11-14, 1996},
  pages        = {63--71},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/EDTC.1996.494129},
  doi          = {10.1109/EDTC.1996.494129},
  timestamp    = {Fri, 20 May 2022 15:52:30 +0200},
  biburl       = {https://dblp.org/rec/conf/date/PandaD96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/KolsonNDK96,
  author       = {David J. Kolson and
                  Alexandru Nicolau and
                  Nikil D. Dutt and
                  Ken Kennedy},
  title        = {A Method for Register Allocation to Loops in Multiple Register File
                  Architectures},
  booktitle    = {Proceedings of {IPPS} '96, The 10th International Parallel Processing
                  Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}},
  pages        = {28--33},
  publisher    = {{IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/IPPS.1996.508035},
  doi          = {10.1109/IPPS.1996.508035},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/KolsonNDK96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PandaD96,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt},
  editor       = {Mark Horowitz and
                  Jan M. Rabaey and
                  Brock Barton and
                  Massoud Pedram},
  title        = {Low-power mapping of behavioral arrays to multiple memories},
  booktitle    = {Proceedings of the 1996 International Symposium on Low Power Electronics
                  and Design, 1996, Monterey, California, USA, August 12-14, 1996},
  pages        = {289--292},
  publisher    = {{IEEE}},
  year         = {1996},
  url          = {https://doi.org/10.1109/LPE.1996.547525},
  doi          = {10.1109/LPE.1996.547525},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PandaD96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/PandaDN96,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Memory Organization for Improved Data Cache Performance in Embedded
                  Processors},
  booktitle    = {Proceedings of the 9th International Symposium on System Synthesis,
                  {ISSS} '96, San Diego, CA, USA, November 6-8, 1996},
  pages        = {90--95},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {1996},
  url          = {https://doi.org/10.1109/ISSS.1996.565886},
  doi          = {10.1109/ISSS.1996.565886},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/PandaDN96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijpp/CapitanioND95,
  author       = {Andrea Capitanio and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  title        = {A hypergraph-based model for port allocation on multiple-register-file
                  {VLIW} architectures},
  journal      = {Int. J. Parallel Program.},
  volume       = {23},
  number       = {6},
  pages        = {499--513},
  year         = {1995},
  url          = {https://doi.org/10.1007/BF02577864},
  doi          = {10.1007/BF02577864},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijpp/CapitanioND95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/JhaDP95,
  author       = {Pradip K. Jha and
                  Nikil D. Dutt and
                  Sri Parameswaran},
  editor       = {Isao Shirakawa},
  title        = {Reclocking for high-level synthesis},
  booktitle    = {Proceedings of the 1995 Conference on Asia Pacific Design Automation,
                  Makuhari, Massa, Chiba, Japan, August 29 - September 1, 1995},
  publisher    = {{ACM}},
  year         = {1995},
  url          = {https://doi.org/10.1145/224818.224839},
  doi          = {10.1145/224818.224839},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/JhaDP95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/JhaD95,
  author       = {Pradip K. Jha and
                  Nikil D. Dutt},
  title        = {Design reuse through high-level library mapping},
  booktitle    = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris,
                  France, March 6-9, 1995},
  pages        = {345--350},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/EDTC.1995.470373},
  doi          = {10.1109/EDTC.1995.470373},
  timestamp    = {Fri, 20 May 2022 15:41:46 +0200},
  biburl       = {https://dblp.org/rec/conf/date/JhaD95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/OnionND95,
  author       = {Frederick Onion and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  title        = {Incorporating compiler feedback into the design of ASIPs},
  booktitle    = {1995 European Design and Test Conference, ED{\&}TC 1995, Paris,
                  France, March 6-9, 1995},
  pages        = {508--515},
  publisher    = {{IEEE} Computer Society},
  year         = {1995},
  url          = {https://doi.org/10.1109/EDTC.1995.470353},
  doi          = {10.1109/EDTC.1995.470353},
  timestamp    = {Fri, 20 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/OnionND95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/KolsonNDK95,
  author       = {David J. Kolson and
                  Alexandru Nicolau and
                  Nikil D. Dutt and
                  Ken Kennedy},
  editor       = {Pierre G. Paulin and
                  Farhad Mavaddat},
  title        = {Optimal register assignment to loops for embedded code generation},
  booktitle    = {Proceedings of the 8th International Symposium on System Synthesis
                  {(ISSS} 1995), September 13-15, 1995, Cannes, France},
  pages        = {42--47},
  publisher    = {{ACM}},
  year         = {1995},
  url          = {https://doi.org/10.1145/224486.224494},
  doi          = {10.1145/224486.224494},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/KolsonNDK95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/OhmKDX95,
  author       = {Seong Yong Ohm and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt and
                  Min Xu},
  editor       = {Pierre G. Paulin and
                  Farhad Mavaddat},
  title        = {A comprehensive estimation technique for high-level synthesis},
  booktitle    = {Proceedings of the 8th International Symposium on System Synthesis
                  {(ISSS} 1995), September 13-15, 1995, Cannes, France},
  pages        = {122--127},
  publisher    = {{ACM}},
  year         = {1995},
  url          = {https://doi.org/10.1145/224486.224528},
  doi          = {10.1145/224486.224528},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/OhmKDX95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/PandaD95,
  author       = {Preeti Ranjan Panda and
                  Nikil D. Dutt},
  editor       = {Pierre G. Paulin and
                  Farhad Mavaddat},
  title        = {1995 high level synthesis design repository},
  booktitle    = {Proceedings of the 8th International Symposium on System Synthesis
                  {(ISSS} 1995), September 13-15, 1995, Cannes, France},
  pages        = {170--174},
  publisher    = {{ACM}},
  year         = {1995},
  url          = {https://doi.org/10.1145/224486.224537},
  doi          = {10.1145/224486.224537},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/PandaD95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KolsonND94,
  author       = {David J. Kolson and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  editor       = {Michael J. Lorenzetti},
  title        = {Minimization of Memory Traffic in High-Level Synthesis},
  booktitle    = {Proceedings of the 31st Conference on Design Automation, San Diego,
                  California, USA, June 6-10, 1994},
  pages        = {149--154},
  publisher    = {{ACM} Press},
  year         = {1994},
  url          = {https://doi.org/10.1145/196244.196316},
  doi          = {10.1145/196244.196316},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KolsonND94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuttACDWY94,
  author       = {Nikil D. Dutt and
                  David Agnew and
                  Raul Camposano and
                  Antun Domic and
                  Manfred Wiesel and
                  Hiroto Yasuura},
  editor       = {Michael J. Lorenzetti},
  title        = {Design Reuse: Fact or Fiction? (Panel)},
  booktitle    = {Proceedings of the 31st Conference on Design Automation, San Diego,
                  California, USA, June 6-10, 1994},
  pages        = {562},
  publisher    = {{ACM} Press},
  year         = {1994},
  url          = {https://doi.org/10.1145/196244.196555},
  doi          = {10.1145/196244.196555},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuttACDWY94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dagstuhl/NovackND94,
  author       = {Steven Novack and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  editor       = {Peter Marwedel and
                  Gert Goossens},
  title        = {A Unified code generation approach using mutation scheduling},
  booktitle    = {Code Generation for Embedded Processors [Dagstuhl Workshop, Dagstuhl,
                  Germany, August 31 - September 2, 1994]},
  pages        = {203--218},
  publisher    = {Kluwer},
  year         = {1994},
  timestamp    = {Tue, 19 Jun 2018 18:52:39 +0200},
  biburl       = {https://dblp.org/rec/conf/dagstuhl/NovackND94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KolsonND94,
  author       = {David J. Kolson and
                  Alexandru Nicolau and
                  Nikil D. Dutt},
  editor       = {Jochen A. G. Jess and
                  Richard L. Rudell},
  title        = {Integrating program transformations in the memory-based synthesis
                  of image and video algorithms},
  booktitle    = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994},
  pages        = {27--30},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICCAD.1994.629738},
  doi          = {10.1109/ICCAD.1994.629738},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KolsonND94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OhmKD94,
  author       = {Seong Yong Ohm and
                  Fadi J. Kurdahi and
                  Nikil D. Dutt},
  editor       = {Jochen A. G. Jess and
                  Richard L. Rudell},
  title        = {Comprehensive lower bound estimation from behavioral descriptions},
  booktitle    = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994},
  pages        = {182--187},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICCAD.1994.629763},
  doi          = {10.1109/ICCAD.1994.629763},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/OhmKD94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpp/CapitanioDN94,
  author       = {Andrea Capitanio and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Dharma P. Agrawal},
  title        = {Partitioning of Variables for Multiple-Register-File {VLIW} Architectures},
  booktitle    = {Proceedings of the 1994 International Conference on Parallel Processing,
                  North Carolina State University, NC, USA, August 15-19, 1994. Volume
                  {I:} Architecture},
  pages        = {298--301},
  publisher    = {{CRC} Press},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICPP.1994.155},
  doi          = {10.1109/ICPP.1994.155},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icpp/CapitanioDN94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifipPACT/CapitanioDN94,
  author       = {Andrea Capitanio and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Michel Cosnard and
                  Guang R. Gao and
                  Gabriel M. Silberman},
  title        = {Partitioning of Variables for Multiple-Register-File Architectures
                  via Hypergraph Coloring},
  booktitle    = {Parallel Architectures and Compilation Techniques, Proceedings of
                  the {IFIP} {WG10.3} Working Conference on Parallel Architectures and
                  Compilation Techniques, PACT'94, Montr{\'{e}}al, Canada, 24-26
                  August, 1994},
  series       = {{IFIP} Transactions},
  volume       = {{A-50}},
  pages        = {319--322},
  publisher    = {North-Holland},
  year         = {1994},
  url          = {http://dl.acm.org/citation.cfm?id=713841},
  timestamp    = {Thu, 21 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ifipPACT/CapitanioDN94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/AngD94,
  author       = {Roger P. Ang and
                  Nikil D. Dutt},
  editor       = {Pierre G. Paulin},
  title        = {An algorithm for the allocation of functional units from realistic
                  {RT} component libraries},
  booktitle    = {Proceedings of the 7th International Symposium on High Level Synthesis,
                  HLSS'94, Niagra-on-the-Lake, ON, Canada, May 18-20, 1994},
  pages        = {164--169},
  publisher    = {{ACM}},
  year         = {1994},
  url          = {https://doi.org/10.1109/ISHLS.1994.302325},
  doi          = {10.1109/ISHLS.1994.302325},
  timestamp    = {Mon, 09 Aug 2021 14:54:04 +0200},
  biburl       = {https://dblp.org/rec/conf/isss/AngD94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/JhaRDK94,
  author       = {Pradip K. Jha and
                  Champaka Ramachandran and
                  Nikil D. Dutt and
                  Fadi J. Kurdahi},
  title        = {An Empirical Study on the Effects of Physical Design in High-Level
                  Synthesis},
  booktitle    = {Proceedings of the Seventh International Conference on {VLSI} Design,
                  {VLSI} Design 1994, Calcutta, India, January 5-8, 1994},
  pages        = {11--16},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICVD.1994.282638},
  doi          = {10.1109/ICVD.1994.282638},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/JhaRDK94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/KolsonDN94,
  author       = {David J. Kolson and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Ultra Fine-Grain Template-Driven Synthesis},
  booktitle    = {Proceedings of the Seventh International Conference on {VLSI} Design,
                  {VLSI} Design 1994, Calcutta, India, January 5-8, 1994},
  pages        = {25--28},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICVD.1994.282651},
  doi          = {10.1109/ICVD.1994.282651},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/KolsonDN94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/JhaD94,
  author       = {Pradip K. Jha and
                  Nikil D. Dutt},
  title        = {Rapid Technology Projection for High-Level Synthesis},
  booktitle    = {Proceedings of the Seventh International Conference on {VLSI} Design,
                  {VLSI} Design 1994, Calcutta, India, January 5-8, 1994},
  pages        = {155--158},
  publisher    = {{IEEE} Computer Society},
  year         = {1994},
  url          = {https://doi.org/10.1109/ICVD.1994.282675},
  doi          = {10.1109/ICVD.1994.282675},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/JhaD94.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/Dutt93,
  author       = {Nikil D. Dutt},
  title        = {A language for designer controlled behavioral synthesis},
  journal      = {Integr.},
  volume       = {16},
  number       = {1},
  pages        = {1--31},
  year         = {1993},
  url          = {https://doi.org/10.1016/0167-9260(93)90056-I},
  doi          = {10.1016/0167-9260(93)90056-I},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/Dutt93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/JhaD93,
  author       = {Pradip K. Jha and
                  Nikil D. Dutt},
  title        = {Rapid estimation for parameterized components in high-level synthesis},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {1},
  number       = {3},
  pages        = {296--303},
  year         = {1993},
  url          = {https://doi.org/10.1109/92.238443},
  doi          = {10.1109/92.238443},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvlsi/JhaD93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/chdl/AngD93,
  author       = {Roger P. Ang and
                  Nikil D. Dutt},
  editor       = {David Agnew and
                  Luc J. M. Claesen and
                  Raul Camposano},
  title        = {A Representation for the Binding of RT-Component Functionality to
                  {HDL} Behavior},
  booktitle    = {Computer Hardware Description Languages and their Applications, Proceedings
                  of the 11th {IFIP} {WG10.2} International Conference on Computer Hardware
                  Description Languages and their Applications - {CHDL} '93, sponsored
                  by {IFIP} {WG10.2} and in cooperation with {IEEE} COMPSOC, Ottawa,
                  Ontario, Canada, 26-28 April, 1993},
  series       = {{IFIP} Transactions},
  volume       = {{A-32}},
  pages        = {263--280},
  publisher    = {North-Holland},
  year         = {1993},
  timestamp    = {Thu, 03 Jan 2002 11:54:34 +0100},
  biburl       = {https://dblp.org/rec/conf/chdl/AngD93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WangDNS93,
  author       = {Haigeng Wang and
                  Nikil D. Dutt and
                  Alexandru Nicolau and
                  Kai{-}Yeung Siu},
  editor       = {Alfred E. Dunlop},
  title        = {High-Level Synthesis of Scalable Architectures for {IIR} Filters using
                  Multichip Modules},
  booktitle    = {Proceedings of the 30th Design Automation Conference. Dallas, Texas,
                  USA, June 14-18, 1993},
  pages        = {336--342},
  publisher    = {{ACM} Press},
  year         = {1993},
  url          = {https://doi.org/10.1145/157485.164920},
  doi          = {10.1145/157485.164920},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WangDNS93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurodac/WangDN93,
  author       = {Haigeng Wang and
                  Nikil D. Dutt and
                  Alex Nicolau},
  title        = {Regular schedules for scalable design of {IIR} filters},
  booktitle    = {Proceedings of the European Design Automation Conference 1993, {EURO-DAC}
                  '93 with EURO-VHDL'93, Hamburg, Germany, September 20-24, 1993},
  pages        = {52--57},
  publisher    = {{IEEE} Computer Society},
  year         = {1993},
  url          = {https://doi.org/10.1109/EURDAC.1993.410616},
  doi          = {10.1109/EURDAC.1993.410616},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/eurodac/WangDN93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/WangDN93,
  author       = {Haigeng Wang and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  title        = {Harmonic Scheduling: {A} Technique for Scheduling Beyond Loop-Carried
                  Dependencies},
  booktitle    = {Proceedings of the Sixth International Conference on {VLSI} Design,
                  {VLSI} Design 1993, Bombay, India, January 3-6, 1993},
  pages        = {198--201},
  publisher    = {{IEEE} Computer Society},
  year         = {1993},
  url          = {https://doi.org/10.1109/ICVD.1993.669677},
  doi          = {10.1109/ICVD.1993.669677},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/WangDN93.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@book{DBLP:books/sp/GajskiDWL92,
  author       = {Daniel D. Gajski and
                  Nikil D. Dutt and
                  Allen C.{-}H. Wu},
  title        = {Youn-Long Steve Lin},
  publisher    = {Springer},
  year         = {1992},
  url          = {https://doi.org/10.1007/978-1-4615-3636-9},
  doi          = {10.1007/978-1-4615-3636-9},
  isbn         = {978-1-4613-6617-1},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/books/sp/GajskiDWL92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eurodac/WangDN92,
  author       = {Haigeng Wang and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Gerald Musgrave},
  title        = {Harmonic scheduling of linear recurrences for digital filter design},
  booktitle    = {Proceedings of the conference on European design automation, {EURO-DAC}
                  '92, Hamburg, Germany, September 7-10, 1992},
  pages        = {396--401},
  publisher    = {{IEEE} Computer Society Press},
  year         = {1992},
  url          = {https://doi.org/10.1109/EURDAC.1992.246213},
  doi          = {10.1109/EURDAC.1992.246213},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/eurodac/WangDN92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AngD92,
  author       = {Roger P. Ang and
                  Nikil D. Dutt},
  editor       = {Louise Trevillyan and
                  Michael R. Lightner},
  title        = {Equivalent design representations and transformations for interactive
                  scheduling},
  booktitle    = {1992 {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of
                  Technical Papers},
  pages        = {332--335},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1992},
  url          = {https://doi.org/10.1109/ICCAD.1992.279351},
  doi          = {10.1109/ICCAD.1992.279351},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/AngD92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ifip10-2/GajskiD92,
  author       = {Daniel Gajski and
                  Nikil D. Dutt},
  editor       = {Gabriele Saucier and
                  Jacques Trilhe},
  title        = {Benchmarking and the Art of Syntesis Tool Comparison},
  booktitle    = {Synthesis for Control Dominated Circuits, Selected papers from the
                  {IFIP} {WG10.2/WG10.5} Workshops, Grenoble, France, April and September,
                  1992},
  series       = {{IFIP} Transactions},
  volume       = {{A-22}},
  pages        = {439--453},
  publisher    = {North-Holland},
  year         = {1992},
  timestamp    = {Thu, 07 Feb 2002 13:52:32 +0100},
  biburl       = {https://dblp.org/rec/conf/ifip10-2/GajskiD92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/CapitanioDN92,
  author       = {Andrea Capitanio and
                  Nikil D. Dutt and
                  Alexandru Nicolau},
  editor       = {Wen{-}mei W. Hwu},
  title        = {Partitioned register files for VLIWs: a preliminary analysis of tradeoffs},
  booktitle    = {Proceedings of the 25th Annual International Symposium on Microarchitecture,
                  Portland, Oregon, USA, November 1992},
  pages        = {292--300},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {1992},
  url          = {https://doi.org/10.1109/MICRO.1992.697033},
  doi          = {10.1109/MICRO.1992.697033},
  timestamp    = {Tue, 31 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/CapitanioDN92.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuttK91,
  author       = {Nikil D. Dutt and
                  James R. Kipps},
  editor       = {A. Richard Newton},
  title        = {Bridging High-Level Synthesis to {RTL} Technology Libraries},
  booktitle    = {Proceedings of the 28th Design Automation Conference, San Francisco,
                  California, USA, June 17-21, 1991},
  pages        = {526--529},
  publisher    = {{ACM}},
  year         = {1991},
  url          = {https://doi.org/10.1145/127601.127726},
  doi          = {10.1145/127601.127726},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuttK91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/DuttG90,
  author       = {Nikil D. Dutt and
                  Daniel D. Gajski},
  title        = {Design Synthesis and Silicon Compilation},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {7},
  number       = {6},
  pages        = {8--23},
  year         = {1990},
  url          = {https://doi.org/10.1109/54.64954},
  doi          = {10.1109/54.64954},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/DuttG90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuttHG90,
  author       = {Nikil D. Dutt and
                  Tedd Hadley and
                  Daniel Gajski},
  editor       = {Richard C. Smith},
  title        = {An Intermediate Representation for Behavioral Synthesis},
  booktitle    = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando,
                  Florida, USA, June 24-28, 1990},
  pages        = {14--19},
  publisher    = {{IEEE} Computer Society Press},
  year         = {1990},
  url          = {https://doi.org/10.1145/123186.123192},
  doi          = {10.1145/123186.123192},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuttHG90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccl/Dutt90,
  author       = {Nikil D. Dutt},
  title        = {{LEGEND:} {A} Language for Generic Component Library Description},
  booktitle    = {1990 Internation Conference on Computer Languages, March 12-15 1990,
                  New Orleans, Louisiana, {USA}},
  pages        = {198--207},
  publisher    = {{IEEE} Computer Society},
  year         = {1990},
  url          = {https://doi.org/10.1109/ICCL.1990.63775},
  doi          = {10.1109/ICCL.1990.63775},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccl/Dutt90.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Dutt89,
  author       = {Nikil D. Dutt},
  title        = {A framework for behavioral synthesis from partial design structures},
  school       = {University of Illinois Urbana-Champaign, {USA}},
  year         = {1989},
  url          = {https://hdl.handle.net/2142/20525},
  timestamp    = {Thu, 05 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Dutt89.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DuttG89,
  author       = {Nikil D. Dutt and
                  Daniel Gajski},
  editor       = {Donald E. Thomas},
  title        = {Designer Controlled Behavioral Synthesis},
  booktitle    = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las
                  Vegas, Nevada, USA, June 25-29, 1989},
  pages        = {754--757},
  publisher    = {{ACM} Press},
  year         = {1989},
  url          = {https://doi.org/10.1145/74382.74522},
  doi          = {10.1145/74382.74522},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DuttG89.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics