BibTeX records: Tulika Mitra

download as .bib file

@article{DBLP:journals/todaes/WuCBLM24,
  author       = {Dan Wu and
                  Peng Chen and
                  Thilini Kaushalya Bandara and
                  Zhaoying Li and
                  Tulika Mitra},
  title        = {Flip: Data-centric Edge {CGRA} Accelerator},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {29},
  number       = {1},
  pages        = {22:1--22:25},
  year         = {2024},
  url          = {https://doi.org/10.1145/3631118},
  doi          = {10.1145/3631118},
  timestamp    = {Sat, 10 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/WuCBLM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LiLBM24,
  author       = {Huize Li and
                  Zhaoying Li and
                  Zhenyu Bai and
                  Tulika Mitra},
  title        = {{ASADI:} Accelerating Sparse Attention Using Diagonal-based In-Situ
                  Computing},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024},
  pages        = {774--787},
  publisher    = {{IEEE}},
  year         = {2024},
  url          = {https://doi.org/10.1109/HPCA57654.2024.00065},
  doi          = {10.1109/HPCA57654.2024.00065},
  timestamp    = {Wed, 17 Apr 2024 17:17:11 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/LiLBM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/Mitra23,
  author       = {Tulika Mitra},
  title        = {The 2022 International Conference on Computer-Aided Design {(ICCAD)}},
  journal      = {{IEEE} Des. Test},
  volume       = {40},
  number       = {2},
  pages        = {137--138},
  year         = {2023},
  url          = {https://doi.org/10.1109/MDAT.2023.3238340},
  doi          = {10.1109/MDAT.2023.3238340},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/Mitra23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/AggarwalBM23,
  author       = {Shivam Aggarwal and
                  Kuluhan Binici and
                  Tulika Mitra},
  title        = {Chameleon: Dual Memory Replay for Online Continual Learning on Edge
                  Devices},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2023, Antwerp, Belgium, April 17-19, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.23919/DATE56975.2023.10137046},
  doi          = {10.23919/DATE56975.2023.10137046},
  timestamp    = {Wed, 07 Jun 2023 22:08:03 +0200},
  biburl       = {https://dblp.org/rec/conf/date/AggarwalBM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BandaraWJWMP23,
  author       = {Thilini Kaushalya Bandara and
                  Dan Wu and
                  Rohan Juneja and
                  Dhananjaya Wijerathne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{FLEX:} Introducing FLEXible Execution on {CGRA} with Spatio-Temporal
                  Vector Dataflow},
  booktitle    = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD}
                  2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023},
  pages        = {1--9},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ICCAD57390.2023.10323612},
  doi          = {10.1109/ICCAD57390.2023.10323612},
  timestamp    = {Wed, 03 Jan 2024 08:34:26 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BandaraWJWMP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-06127,
  author       = {Dhananjaya Wijerathne and
                  Zhaoying Li and
                  Tulika Mitra},
  title        = {Accelerating Edge {AI} with Morpher: An Integrated Design, Compilation
                  and Simulation Framework for CGRAs},
  journal      = {CoRR},
  volume       = {abs/2309.06127},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.06127},
  doi          = {10.48550/ARXIV.2309.06127},
  eprinttype    = {arXiv},
  eprint       = {2309.06127},
  timestamp    = {Fri, 15 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-06127.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-10623,
  author       = {Dan Wu and
                  Peng Chen and
                  Thilini Kaushalya Bandara and
                  Zhaoying Li and
                  Tulika Mitra},
  title        = {Flip: Data-Centric Edge {CGRA} Accelerator},
  journal      = {CoRR},
  volume       = {abs/2309.10623},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.10623},
  doi          = {10.48550/ARXIV.2309.10623},
  eprinttype    = {arXiv},
  eprint       = {2309.10623},
  timestamp    = {Thu, 01 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-10623.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2309-11071,
  author       = {Dan Wu and
                  Zhaoying Li and
                  Tulika Mitra},
  title        = {InkStream: Real-time {GNN} Inference on Streaming Graphs via Incremental
                  Update},
  journal      = {CoRR},
  volume       = {abs/2309.11071},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2309.11071},
  doi          = {10.48550/ARXIV.2309.11071},
  eprinttype    = {arXiv},
  eprint       = {2309.11071},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2309-11071.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-03826,
  author       = {Huize Li and
                  Tulika Mitra},
  title        = {Accelerating Unstructured SpGEMM using Structured In-situ Computing},
  journal      = {CoRR},
  volume       = {abs/2311.03826},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.03826},
  doi          = {10.48550/ARXIV.2311.03826},
  eprinttype    = {arXiv},
  eprint       = {2311.03826},
  timestamp    = {Tue, 14 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-03826.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-12359,
  author       = {Shivam Aggarwal and
                  Alessandro Pappalardo and
                  Hans Jakob Damsgaard and
                  Giuseppe Franco and
                  Thomas B. Preu{\ss}er and
                  Michaela Blott and
                  Tulika Mitra},
  title        = {Post-Training Quantization with Low-precision Minifloats and Integers
                  on FPGAs},
  journal      = {CoRR},
  volume       = {abs/2311.12359},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.12359},
  doi          = {10.48550/ARXIV.2311.12359},
  eprinttype    = {arXiv},
  eprint       = {2311.12359},
  timestamp    = {Wed, 29 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-12359.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2311-14272,
  author       = {Shivam Aggarwal and
                  Kuluhan Binici and
                  Tulika Mitra},
  title        = {{CRISP:} Hybrid Structured Sparsity for Class-aware Model Pruning},
  journal      = {CoRR},
  volume       = {abs/2311.14272},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2311.14272},
  doi          = {10.48550/ARXIV.2311.14272},
  eprinttype    = {arXiv},
  eprint       = {2311.14272},
  timestamp    = {Thu, 30 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2311-14272.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiWCPM22,
  author       = {Zhaoying Li and
                  Dhananjaya Wijerathne and
                  Xianzhang Chen and
                  Anuj Pathania and
                  Tulika Mitra},
  title        = {ChordMap: Automated Mapping of Streaming Applications Onto {CGRA}},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {2},
  pages        = {306--319},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3058313},
  doi          = {10.1109/TCAD.2021.3058313},
  timestamp    = {Tue, 08 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LiWCPM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/VenkataramaniBM22,
  author       = {Vanchinathan Venkataramani and
                  Bruno Bodin and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{ASCENT:} Communication Scheduling for {SDF} on Bufferless Software-Defined
                  NoC},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {10},
  pages        = {3266--3275},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3128445},
  doi          = {10.1109/TCAD.2021.3128445},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/VenkataramaniBM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WijerathneLPMT22,
  author       = {Dhananjaya Wijerathne and
                  Zhaoying Li and
                  Anuj Pathania and
                  Tulika Mitra and
                  Lothar Thiele},
  title        = {HiMap: Fast and Scalable High-Quality Mapping on {CGRA} via Hierarchical
                  Abstraction},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {41},
  number       = {10},
  pages        = {3290--3303},
  year         = {2022},
  url          = {https://doi.org/10.1109/TCAD.2021.3132551},
  doi          = {10.1109/TCAD.2021.3132551},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WijerathneLPMT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aaai/BiniciAPLM22,
  author       = {Kuluhan Binici and
                  Shivam Aggarwal and
                  Nam Trung Pham and
                  Karianto Leman and
                  Tulika Mitra},
  title        = {Robust and Resource-Efficient Data-Free Knowledge Distillation by
                  Generative Pseudo Replay},
  booktitle    = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI}
                  2022, Thirty-Fourth Conference on Innovative Applications of Artificial
                  Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances
                  in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22
                  - March 1, 2022},
  pages        = {6089--6096},
  publisher    = {{AAAI} Press},
  year         = {2022},
  url          = {https://doi.org/10.1609/aaai.v36i6.20556},
  doi          = {10.1609/AAAI.V36I6.20556},
  timestamp    = {Mon, 04 Sep 2023 12:29:24 +0200},
  biburl       = {https://dblp.org/rec/conf/aaai/BiniciAPLM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/BandaraWMP22,
  author       = {Thilini Kaushalya Bandara and
                  Dhananjaya Wijerathne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {Babak Falsafi and
                  Michael Ferdman and
                  Shan Lu and
                  Thomas F. Wenisch},
  title        = {{REVAMP:} a systematic framework for heterogeneous {CGRA} realization},
  booktitle    = {{ASPLOS} '22: 27th {ACM} International Conference on Architectural
                  Support for Programming Languages and Operating Systems, Lausanne,
                  Switzerland, 28 February 2022 - 4 March 2022},
  pages        = {918--932},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3503222.3507772},
  doi          = {10.1145/3503222.3507772},
  timestamp    = {Mon, 05 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asplos/BandaraWMP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/WijerathneLBM22,
  author       = {Dhananjaya Wijerathne and
                  Zhaoying Li and
                  Thilini Kaushalya Bandara and
                  Tulika Mitra},
  editor       = {Rob Oshana},
  title        = {{PANORAMA:} divide-and-conquer approach for mapping complex loop kernels
                  on {CGRA}},
  booktitle    = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco,
                  California, USA, July 10 - 14, 2022},
  pages        = {127--132},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3489517.3530429},
  doi          = {10.1145/3489517.3530429},
  timestamp    = {Thu, 25 Aug 2022 14:23:32 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/WijerathneLBM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LeeAMC22,
  author       = {Jinho Lee and
                  Burin Amornpaisannon and
                  Tulika Mitra and
                  Trevor E. Carlson},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {GraphWave: {A} Highly-Parallel Compute-at-Memory Graph Processing
                  Accelerator},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {256--261},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774535},
  doi          = {10.23919/DATE54114.2022.9774535},
  timestamp    = {Wed, 25 May 2022 22:56:19 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LeeAMC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpca/LiWWM22,
  author       = {Zhaoying Li and
                  Dan Wu and
                  Dhananjaya Wijerathne and
                  Tulika Mitra},
  title        = {{LISA:} Graph Neural Network based Portable Mapping on Spatial Accelerators},
  booktitle    = {{IEEE} International Symposium on High-Performance Computer Architecture,
                  {HPCA} 2022, Seoul, South Korea, April 2-6, 2022},
  pages        = {444--459},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/HPCA53966.2022.00040},
  doi          = {10.1109/HPCA53966.2022.00040},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpca/LiWWM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhangWLM22,
  author       = {Yujie Zhang and
                  Dhananjaya Wijerathne and
                  Zhaoying Li and
                  Tulika Mitra},
  title        = {Power-Performance Characterization of TinyML Systems},
  booktitle    = {{IEEE} 40th International Conference on Computer Design, {ICCD} 2022,
                  Olympic Valley, CA, USA, October 23-26, 2022},
  pages        = {644--651},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ICCD56317.2022.00099},
  doi          = {10.1109/ICCD56317.2022.00099},
  timestamp    = {Tue, 05 Dec 2023 14:45:33 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhangWLM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobiarch/BudhdevMCM22,
  author       = {Nishant Budhdev and
                  Arka Maity and
                  Mun Choon Chan and
                  Tulika Mitra},
  editor       = {Yipeng Zhou and
                  Lorenzo Bertizzolo and
                  Stefano Secci},
  title        = {Load balancing for a user-level virtualized 5G cloud-RAN},
  booktitle    = {MobiArch '22: Proceedings of the 17th {ACM} Workshop on Mobility in
                  the Evolving Internet Architecture, Sydney, NSW, Australia, 21 October
                  2022},
  pages        = {1--6},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3556548.3559627},
  doi          = {10.1145/3556548.3559627},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mobiarch/BudhdevMCM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wacv/BiniciPML22,
  author       = {Kuluhan Binici and
                  Nam Trung Pham and
                  Tulika Mitra and
                  Karianto Leman},
  title        = {Preventing Catastrophic Forgetting and Distribution Mismatch in Knowledge
                  Distillation via Synthetic Data},
  booktitle    = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV}
                  2022, Waikoloa, HI, USA, January 3-8, 2022},
  pages        = {3625--3633},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/WACV51458.2022.00368},
  doi          = {10.1109/WACV51458.2022.00368},
  timestamp    = {Thu, 17 Feb 2022 14:50:06 +0100},
  biburl       = {https://dblp.org/rec/conf/wacv/BiniciPML22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccad/2022,
  editor       = {Tulika Mitra and
                  Evangeline F. Y. Young and
                  Jinjun Xiong},
  title        = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022
                  - 3 November 2022},
  publisher    = {{ACM}},
  year         = {2022},
  url          = {https://doi.org/10.1145/3508352},
  doi          = {10.1145/3508352},
  isbn         = {978-1-4503-9217-4},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/2022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2201-03019,
  author       = {Kuluhan Binici and
                  Shivam Aggarwal and
                  Nam Trung Pham and
                  Karianto Leman and
                  Tulika Mitra},
  title        = {Robust and Resource-Efficient Data-Free Knowledge Distillation by
                  Generative Pseudo Replay},
  journal      = {CoRR},
  volume       = {abs/2201.03019},
  year         = {2022},
  url          = {https://arxiv.org/abs/2201.03019},
  eprinttype    = {arXiv},
  eprint       = {2201.03019},
  timestamp    = {Thu, 20 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2201-03019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MitraG21,
  author       = {Tulika Mitra and
                  Andreas Gerstlauer},
  title        = {Report on the 2020 Embedded Systems Week {(ESWEEK):} {A} Virtual Event
                  during a Pandemic, September 20-25},
  journal      = {{IEEE} Des. Test},
  volume       = {38},
  number       = {1},
  pages        = {79--80},
  year         = {2021},
  url          = {https://doi.org/10.1109/MDAT.2020.3036595},
  doi          = {10.1109/MDAT.2020.3036595},
  timestamp    = {Tue, 23 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MitraG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/SalaminRPMHMA21,
  author       = {Sami Salamin and
                  Martin Rapp and
                  Anuj Pathania and
                  Arka Maity and
                  J{\"{o}}rg Henkel and
                  Tulika Mitra and
                  Hussam Amrouch},
  title        = {Power-Efficient Heterogeneous Many-Core Design With {NCFET} Technology},
  journal      = {{IEEE} Trans. Computers},
  volume       = {70},
  number       = {9},
  pages        = {1484--1497},
  year         = {2021},
  url          = {https://doi.org/10.1109/TC.2020.3013567},
  doi          = {10.1109/TC.2020.3013567},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/SalaminRPMHMA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/RappPMH21,
  author       = {Martin Rapp and
                  Anuj Pathania and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {Neural Network-Based Performance Prediction for Task Migration on
                  {S-NUCA} Many-Cores},
  journal      = {{IEEE} Trans. Computers},
  volume       = {70},
  number       = {10},
  pages        = {1691--1704},
  year         = {2021},
  url          = {https://doi.org/10.1109/TC.2020.3023022},
  doi          = {10.1109/TC.2020.3023022},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/RappPMH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/Mitra21,
  author       = {Tulika Mitra},
  title        = {Editorial: Reimagining {ACM} Transactions on Embedded Computing Systems
                  {(TECS)}},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {20},
  number       = {3},
  pages        = {18e:1--18e:3},
  year         = {2021},
  url          = {https://doi.org/10.1145/3450438},
  doi          = {10.1145/3450438},
  timestamp    = {Tue, 11 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/Mitra21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tse/WangCGMR21,
  author       = {Guanhua Wang and
                  Sudipta Chattopadhyay and
                  Ivan Gotovchits and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {oo7: Low-Overhead Defense Against Spectre Attacks via Program Analysis},
  journal      = {{IEEE} Trans. Software Eng.},
  volume       = {47},
  number       = {11},
  pages        = {2504--2519},
  year         = {2021},
  url          = {https://doi.org/10.1109/TSE.2019.2953709},
  doi          = {10.1109/TSE.2019.2953709},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tse/WangCGMR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/WijerathneLPMT21,
  author       = {Dhananjaya Wijerathne and
                  Zhaoying Li and
                  Anuj Pathania and
                  Tulika Mitra and
                  Lothar Thiele},
  title        = {HiMap: Fast and Scalable High-Quality Mapping on {CGRA} via Hierarchical
                  Abstraction},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2021, Grenoble, France, February 1-5, 2021},
  pages        = {1192--1197},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.23919/DATE51398.2021.9473916},
  doi          = {10.23919/DATE51398.2021.9473916},
  timestamp    = {Wed, 21 Jul 2021 10:04:34 +0200},
  biburl       = {https://dblp.org/rec/conf/date/WijerathneLPMT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobicom/BudhdevJKCM21,
  author       = {Nishant Budhdev and
                  Raj Joshi and
                  Pravein Govindan Kannan and
                  Mun Choon Chan and
                  Tulika Mitra},
  title        = {{FSA:} fronthaul slicing architecture for 5G using dataplane programmable
                  switches},
  booktitle    = {{ACM} MobiCom '21: The 27th Annual International Conference on Mobile
                  Computing and Networking, New Orleans, Louisiana, USA, October 25-29,
                  2021},
  pages        = {723--735},
  publisher    = {{ACM}},
  year         = {2021},
  url          = {https://doi.org/10.1145/3447993.3483247},
  doi          = {10.1145/3447993.3483247},
  timestamp    = {Thu, 30 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mobicom/BudhdevJKCM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2108-05698,
  author       = {Kuluhan Binici and
                  Nam Trung Pham and
                  Tulika Mitra and
                  Karianto Leman},
  title        = {Preventing Catastrophic Forgetting and Distribution Mismatch in Knowledge
                  Distillation via Synthetic Data},
  journal      = {CoRR},
  volume       = {abs/2108.05698},
  year         = {2021},
  url          = {https://arxiv.org/abs/2108.05698},
  eprinttype    = {arXiv},
  eprint       = {2108.05698},
  timestamp    = {Wed, 18 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2108-05698.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cem/PrakashWM20,
  author       = {Alok Prakash and
                  Siqi Wang and
                  Tulika Mitra},
  title        = {Mobile Application Processors: Techniques for Software Power-Performance
                  Optimization},
  journal      = {{IEEE} Consumer Electron. Mag.},
  volume       = {9},
  number       = {4},
  pages        = {67--76},
  year         = {2020},
  url          = {https://doi.org/10.1109/MCE.2020.2969171},
  doi          = {10.1109/MCE.2020.2969171},
  timestamp    = {Wed, 01 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cem/PrakashWM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ElesM20,
  author       = {Petru Eles and
                  Tulika Mitra},
  title        = {{ESWEEK} 2019 Conference Report},
  journal      = {{IEEE} Des. Test},
  volume       = {37},
  number       = {1},
  pages        = {100--101},
  year         = {2020},
  url          = {https://doi.org/10.1109/MDAT.2019.2952345},
  doi          = {10.1109/MDAT.2019.2952345},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/ElesM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/WangPM20,
  author       = {Siqi Wang and
                  Anuj Pathania and
                  Tulika Mitra},
  title        = {Neural Network Inference on Mobile SoCs},
  journal      = {{IEEE} Des. Test},
  volume       = {37},
  number       = {5},
  pages        = {50--57},
  year         = {2020},
  url          = {https://doi.org/10.1109/MDAT.2020.2968258},
  doi          = {10.1109/MDAT.2020.2968258},
  timestamp    = {Tue, 20 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/WangPM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MarwedelMGA20,
  author       = {Peter Marwedel and
                  Tulika Mitra and
                  Martin Edin Grimheden and
                  Hugo A. Andrade},
  title        = {Guest Editors' Introduction: Selected Papers from {IEEE} {VLSI} Test
                  Symposium},
  journal      = {{IEEE} Des. Test},
  volume       = {37},
  number       = {6},
  pages        = {5--7},
  year         = {2020},
  url          = {https://doi.org/10.1109/MDAT.2020.3009638},
  doi          = {10.1109/MDAT.2020.3009638},
  timestamp    = {Thu, 17 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MarwedelMGA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MarwedelMGA20a,
  author       = {Peter Marwedel and
                  Tulika Mitra and
                  Martin Edin Grimheden and
                  Hugo A. Andrade},
  title        = {Survey on Education for Cyber-Physical Systems},
  journal      = {{IEEE} Des. Test},
  volume       = {37},
  number       = {6},
  pages        = {56--70},
  year         = {2020},
  url          = {https://doi.org/10.1109/MDAT.2020.3009613},
  doi          = {10.1109/MDAT.2020.3009613},
  timestamp    = {Thu, 11 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MarwedelMGA20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangAZGPM20,
  author       = {Siqi Wang and
                  Gayathri Ananthanarayanan and
                  Yifan Zeng and
                  Neeraj Goel and
                  Anuj Pathania and
                  Tulika Mitra},
  title        = {High-Throughput {CNN} Inference on Embedded {ARM} Big.LITTLE Multicore
                  Processors},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {39},
  number       = {10},
  pages        = {2254--2267},
  year         = {2020},
  url          = {https://doi.org/10.1109/TCAD.2019.2944584},
  doi          = {10.1109/TCAD.2019.2944584},
  timestamp    = {Tue, 06 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangAZGPM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/VenkataramaniMM20,
  author       = {Vanchinathan Venkataramani and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{SPECTRUM:} {A} Software-defined Predictable Many-core Architecture
                  for {LTE/5G} Baseband Processing},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {19},
  number       = {5},
  pages        = {32:1--32:28},
  year         = {2020},
  url          = {https://doi.org/10.1145/3400032},
  doi          = {10.1145/3400032},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/VenkataramaniMM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tosem/WangCBMR20,
  author       = {Guanhua Wang and
                  Sudipta Chattopadhyay and
                  Arnab Kumar Biswas and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {KLEESpectre: Detecting Information Leakage through Speculative Cache
                  Attacks via Symbolic Execution},
  journal      = {{ACM} Trans. Softw. Eng. Methodol.},
  volume       = {29},
  number       = {3},
  pages        = {14:1--14:31},
  year         = {2020},
  url          = {https://doi.org/10.1145/3385897},
  doi          = {10.1145/3385897},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tosem/WangCBMR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/conext/BudhdevJKCM20,
  author       = {Nishant Budhdev and
                  Raj Joshi and
                  Pravein Govindan Kannan and
                  Mun Choon Chan and
                  Tulika Mitra},
  editor       = {Dongsu Han and
                  Anja Feldmann},
  title        = {Slicing 5G fronthaul networks using programmable switches},
  booktitle    = {CoNEXT '20: The 16th International Conference on emerging Networking
                  EXperiments and Technologies, Barcelona, Spain, December, 2020},
  pages        = {534--535},
  publisher    = {{ACM}},
  year         = {2020},
  url          = {https://doi.org/10.1145/3386367.3431668},
  doi          = {10.1145/3386367.3431668},
  timestamp    = {Sat, 30 Sep 2023 09:37:43 +0200},
  biburl       = {https://dblp.org/rec/conf/conext/BudhdevJKCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/HoffmanPKCM20,
  author       = {Alexander Hoffman and
                  Anuj Pathania and
                  Philipp H. Kindt and
                  Samarjit Chakraborty and
                  Tulika Mitra},
  title        = {BrezeFlow: Unified Debugger for Android {CPU} Power Governors and
                  Schedulers on Edge Devices},
  booktitle    = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco,
                  CA, USA, July 20-24, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/DAC18072.2020.9218542},
  doi          = {10.1109/DAC18072.2020.9218542},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/HoffmanPKCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/VenkataramaniPM20,
  author       = {Vanchinathan Venkataramani and
                  Anuj Pathania and
                  Tulika Mitra},
  title        = {Unified Thread- and Data-Mapping for Multi-Threaded Multi-Phase Applications
                  on {SPM} Many-Cores},
  booktitle    = {2020 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020},
  pages        = {1496--1501},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.23919/DATE48585.2020.9116493},
  doi          = {10.23919/DATE48585.2020.9116493},
  timestamp    = {Thu, 25 Jun 2020 12:55:44 +0200},
  biburl       = {https://dblp.org/rec/conf/date/VenkataramaniPM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icassp/VenkataramaniBM20,
  author       = {Vanchinathan Venkataramani and
                  Bruno Bodin and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {Time-Predictable Software-Defined Architecture with Sdf-Based Compiler
                  Flow for 5g Baseband Processing},
  booktitle    = {2020 {IEEE} International Conference on Acoustics, Speech and Signal
                  Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020},
  pages        = {1553--1557},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ICASSP40776.2020.9054285},
  doi          = {10.1109/ICASSP40776.2020.9054285},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icassp/VenkataramaniBM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/networking/BudhdevCM20,
  author       = {Nishant Budhdev and
                  Mun Choon Chan and
                  Tulika Mitra},
  title        = {Poster: IsoRAN: Isolation and Scaling for 5G {RAN} via User-Level
                  Data Plane Virtualization},
  booktitle    = {2020 {IFIP} Networking Conference, Networking 2020, Paris, France,
                  June 22-26, 2020},
  pages        = {634--636},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://ieeexplore.ieee.org/document/9142715},
  timestamp    = {Thu, 23 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/networking/BudhdevCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtcsa/UeterCBVM20,
  author       = {Niklas Ueter and
                  Jian{-}Jia Chen and
                  Georg von der Br{\"{u}}ggen and
                  Vanchinathan Venkataramani and
                  Tulika Mitra},
  title        = {Simultaneous Progressing Switching Protocols for Timing Predictable
                  Real-Time Network-on-Chips},
  booktitle    = {26th {IEEE} International Conference on Embedded and Real-Time Computing
                  Systems and Applications, {RTCSA} 2020, Gangnueng, Korea (South),
                  August 19-21, 2020},
  pages        = {1--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/RTCSA50079.2020.9203678},
  doi          = {10.1109/RTCSA50079.2020.9203678},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/rtcsa/UeterCBVM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/cases/2020,
  editor       = {Tulika Mitra and
                  Andreas Gerstlauer},
  title        = {International Conference on Compilers, Architecture, and Synthesis
                  for Embedded Systems, {CASES} 2020, Singapore, September 20-25, 2020},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/9243670/proceeding},
  isbn         = {978-1-7281-9192-8},
  timestamp    = {Tue, 10 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/emsoft/2020,
  editor       = {Tulika Mitra and
                  Andreas Gerstlauer},
  title        = {20th International Conference on Embedded Software, {EMSOFT} 2020,
                  Singapore, September 20-25, 2020},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/9243930/proceeding},
  isbn         = {978-1-7281-9195-9},
  timestamp    = {Tue, 01 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/emsoft/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2003-01841,
  author       = {Nishant Budhdev and
                  Mun Choon Chan and
                  Tulika Mitra},
  title        = {IsoRAN: Isolation and Scaling for 5G RANvia User-Level Data Plane
                  Virtualization},
  journal      = {CoRR},
  volume       = {abs/2003.01841},
  year         = {2020},
  url          = {https://arxiv.org/abs/2003.01841},
  eprinttype    = {arXiv},
  eprint       = {2003.01841},
  timestamp    = {Tue, 10 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2003-01841.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/WangAM19,
  author       = {Siqi Wang and
                  Gayathri Ananthanarayanan and
                  Tulika Mitra},
  title        = {OPTiC: Optimizing Collaborative {CPU-GPU} Computing on Mobile Devices
                  With Thermal Constraints},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {38},
  number       = {3},
  pages        = {393--406},
  year         = {2019},
  url          = {https://doi.org/10.1109/TCAD.2018.2873210},
  doi          = {10.1109/TCAD.2018.2873210},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/WangAM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/VenkataramaniCM19,
  author       = {Vanchinathan Venkataramani and
                  Mun Choon Chan and
                  Tulika Mitra},
  title        = {Scratchpad-Memory Management for Multi-Threaded Applications on Many-Core
                  Architectures},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {18},
  number       = {1},
  pages        = {10:1--10:28},
  year         = {2019},
  url          = {https://doi.org/10.1145/3301308},
  doi          = {10.1145/3301308},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/VenkataramaniCM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/ZhongDTM19,
  author       = {Guanwen Zhong and
                  Akshat Dubey and
                  Cheng Tan and
                  Tulika Mitra},
  title        = {Synergy: An {HW/SW} Framework for High Throughput CNNs on Embedded
                  Heterogeneous SoC},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {18},
  number       = {2},
  pages        = {13:1--13:23},
  year         = {2019},
  url          = {https://doi.org/10.1145/3301278},
  doi          = {10.1145/3301278},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tecs/ZhongDTM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/WijerathneLKPM19,
  author       = {Dhananjaya Wijerathne and
                  Zhaoying Li and
                  Manupa Karunarathne and
                  Anuj Pathania and
                  Tulika Mitra},
  title        = {{CASCADE:} High Throughput Data Streaming via Decoupled Access-Execute
                  {CGRA}},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {18},
  number       = {5s},
  pages        = {50:1--50:26},
  year         = {2019},
  url          = {https://doi.org/10.1145/3358177},
  doi          = {10.1145/3358177},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/WijerathneLKPM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangKMMP19,
  author       = {Bo Wang and
                  Manupa Karunarathne and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {HyCUBE: {A} 0.9V 26.4 MOPS/mW, 290 pJ/op, Power Efficient Accelerator
                  for IoT Applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau,
                  SAR, China, November 4-6, 2019},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/A-SSCC47793.2019.9056954},
  doi          = {10.1109/A-SSCC47793.2019.9056954},
  timestamp    = {Wed, 27 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangKMMP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/Mitra19,
  author       = {Tulika Mitra},
  title        = {Time-Predictable Computing by Design: Looking Back, Looking Forward},
  booktitle    = {Proceedings of the 56th Annual Design Automation Conference 2019,
                  {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019},
  pages        = {153},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316781.3323489},
  doi          = {10.1145/3316781.3323489},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/Mitra19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RappPMH19,
  author       = {Martin Rapp and
                  Anuj Pathania and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  editor       = {J{\"{u}}rgen Teich and
                  Franco Fummi},
  title        = {Prediction-Based Task Migration on {S-NUCA} Many-Cores},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2019, Florence, Italy, March 25-29, 2019},
  pages        = {1579--1582},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.23919/DATE.2019.8714974},
  doi          = {10.23919/DATE.2019.8714974},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RappPMH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KarunaratneWMP19,
  author       = {Manupa Karunaratne and
                  Dhananjaya Wijerathne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {David Z. Pan},
  title        = {4D-CGRA: Introducing Branch Dimension to Spatio-Temporal Application
                  Mapping on CGRAs},
  booktitle    = {Proceedings of the International Conference on Computer-Aided Design,
                  {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ICCAD45719.2019.8942148},
  doi          = {10.1109/ICCAD45719.2019.8942148},
  timestamp    = {Wed, 19 Feb 2020 16:38:01 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/KarunaratneWMP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lctrts/VenkataramaniMM19,
  author       = {Vanchinathan Venkataramani and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {Jian{-}Jia Chen and
                  Aviral Shrivastava},
  title        = {{SPECTRUM:} a software defined predictable many-core architecture
                  for {LTE} baseband processing},
  booktitle    = {Proceedings of the 20th {ACM} {SIGPLAN/SIGBED} International Conference
                  on Languages, Compilers, and Tools for Embedded Systems, {LCTES} 2019,
                  Phoenix, AZ, USA, June 23-23, 2019},
  pages        = {82--96},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3316482.3326352},
  doi          = {10.1145/3316482.3326352},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lctrts/VenkataramaniMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/VenkataramaniPM19,
  author       = {Vanchinathan Venkataramani and
                  Anuj Pathania and
                  Tulika Mitra},
  editor       = {Dionisios N. Pnevmatikatos and
                  Maxime Pelcat and
                  Matthias Jung},
  title        = {Scalable Optimal Greedy Scheduler for Asymmetric Multi-/Many-Core
                  Processors},
  booktitle    = {Embedded Computer Systems: Architectures, Modeling, and Simulation
                  - 19th International Conference, {SAMOS} 2019, Samos, Greece, July
                  7-11, 2019, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {11733},
  pages        = {127--141},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-3-030-27562-4\_9},
  doi          = {10.1007/978-3-030-27562-4\_9},
  timestamp    = {Wed, 21 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/samos/VenkataramaniPM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1903-05898,
  author       = {Siqi Wang and
                  Gayathri Ananthanarayanan and
                  Yifan Zeng and
                  Neeraj Goel and
                  Anuj Pathania and
                  Tulika Mitra},
  title        = {High-Throughput {CNN} Inference on Embedded {ARM} big.LITTLE Multi-Core
                  Processors},
  journal      = {CoRR},
  volume       = {abs/1903.05898},
  year         = {2019},
  url          = {http://arxiv.org/abs/1903.05898},
  eprinttype    = {arXiv},
  eprint       = {1903.05898},
  timestamp    = {Sun, 31 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1903-05898.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1908-11450,
  author       = {Siqi Wang and
                  Anuj Pathania and
                  Tulika Mitra},
  title        = {Neural Network Inference on Mobile SoCs},
  journal      = {CoRR},
  volume       = {abs/1908.11450},
  year         = {2019},
  url          = {http://arxiv.org/abs/1908.11450},
  eprinttype    = {arXiv},
  eprint       = {1908.11450},
  timestamp    = {Wed, 04 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1908-11450.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1909-00647,
  author       = {Guanhua Wang and
                  Sudipta Chattopadhyay and
                  Arnab Kumar Biswas and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {{KLEESPECTRE:} Detecting Information Leakage through Speculative Cache
                  Attacks via Symbolic Execution},
  journal      = {CoRR},
  volume       = {abs/1909.00647},
  year         = {2019},
  url          = {http://arxiv.org/abs/1909.00647},
  eprinttype    = {arXiv},
  eprint       = {1909.00647},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1909-00647.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1909-09457,
  author       = {Niklas Ueter and
                  Georg von der Br{\"{u}}ggen and
                  Jian{-}Jia Chen and
                  Tulika Mitra and
                  Vanchinathan Venkataramani},
  title        = {Simultaneous Progressing Switching Protocols for Timing Predictable
                  Real-Time Network-on-Chips},
  journal      = {CoRR},
  volume       = {abs/1909.09457},
  year         = {2019},
  url          = {http://arxiv.org/abs/1909.09457},
  eprinttype    = {arXiv},
  eprint       = {1909.09457},
  timestamp    = {Tue, 24 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1909-09457.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MitraTT18,
  author       = {Tulika Mitra and
                  J{\"{u}}rgen Teich and
                  Lothar Thiele},
  title        = {Guest Editors' Introduction: Special Issue on Time-Critical Systems
                  Design},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {2},
  pages        = {5--7},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2018.2796037},
  doi          = {10.1109/MDAT.2018.2796037},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MitraTT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MitraTT18a,
  author       = {Tulika Mitra and
                  J{\"{u}}rgen Teich and
                  Lothar Thiele},
  title        = {Time-Critical Systems Design: {A} Survey},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {2},
  pages        = {8--26},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2018.2794204},
  doi          = {10.1109/MDAT.2018.2794204},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MitraTT18a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/MitraTT18b,
  author       = {Tulika Mitra and
                  J{\"{u}}rgen Teich and
                  Lothar Thiele},
  title        = {Guest Editors' Introduction: Special Issue on Time-Critical Systems
                  Design Part {II}},
  journal      = {{IEEE} Des. Test},
  volume       = {35},
  number       = {4},
  pages        = {5--6},
  year         = {2018},
  url          = {https://doi.org/10.1109/MDAT.2018.2841769},
  doi          = {10.1109/MDAT.2018.2841769},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/MitraTT18b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/TanMVKMP18,
  author       = {Cheng Tan and
                  Aditi Kulkarni Mohite and
                  Vanchinathan Venkataramani and
                  Manupa Karunaratne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{LOCUS:} Low-Power Customizable Many-Core Architecture for Wearables},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {17},
  number       = {1},
  pages        = {16:1--16:26},
  year         = {2018},
  url          = {https://doi.org/10.1145/3122786},
  doi          = {10.1145/3122786},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/TanMVKMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aca/LiangWMH18,
  author       = {Yun Liang and
                  Shuo Wang and
                  Tulika Mitra and
                  Yajun Ha},
  editor       = {Chao Li and
                  Junjie Wu},
  title        = {Analytical Two-Level Near Threshold Cache Exploration for Low Power
                  Biomedical Applications},
  booktitle    = {Advanced Computer Architecture - 12th Conference, {ACA} 2018, Yingkou,
                  China, August 10-11, 2018, Proceedings},
  series       = {Communications in Computer and Information Science},
  volume       = {908},
  pages        = {95--108},
  publisher    = {Springer},
  year         = {2018},
  url          = {https://doi.org/10.1007/978-981-13-2423-9\_8},
  doi          = {10.1007/978-981-13-2423-9\_8},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/aca/LiangWMH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PathaniaK0MH18,
  author       = {Anuj Pathania and
                  Heba Khdr and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {QoS-aware stochastic power management for many-cores},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {69:1--69:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196097},
  doi          = {10.1145/3195970.3196097},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PathaniaK0MH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KarunaratneTMMP18,
  author       = {Manupa Karunaratne and
                  Cheng Tan and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {Dnestmap: mapping deeply-nested loops on ultra-low power CGRAs},
  booktitle    = {Proceedings of the 55th Annual Design Automation Conference, {DAC}
                  2018, San Francisco, CA, USA, June 24-29, 2018},
  pages        = {129:1--129:6},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3195970.3196027},
  doi          = {10.1145/3195970.3196027},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/KarunaratneTMMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/infocom/BudhdevCM18,
  author       = {Nishant Budhdev and
                  Mun Choon Chan and
                  Tulika Mitra},
  title        = {PR\({}^{\mbox{3}}\): Power Efficient and Low Latency Baseband Processing
                  for {LTE} Femtocells},
  booktitle    = {2018 {IEEE} Conference on Computer Communications, {INFOCOM} 2018,
                  Honolulu, HI, USA, April 16-19, 2018},
  pages        = {2357--2365},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/INFOCOM.2018.8486276},
  doi          = {10.1109/INFOCOM.2018.8486276},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/infocom/BudhdevCM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/TanKMP18,
  author       = {Cheng Tan and
                  Manupa Karunaratne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  editor       = {Murali Annavaram and
                  Timothy Mark Pinkston and
                  Babak Falsafi},
  title        = {Stitch: Fusible Heterogeneous Accelerators Enmeshed with Many-Core
                  Architecture for Wearables},
  booktitle    = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture,
                  {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018},
  pages        = {575--587},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCA.2018.00054},
  doi          = {10.1109/ISCA.2018.00054},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/TanKMP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/WangPM18,
  author       = {Siqi Wang and
                  Alok Prakash and
                  Tulika Mitra},
  title        = {Software Support for Heterogeneous Computing},
  booktitle    = {2018 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2018,
                  Hong Kong, China, July 8-11, 2018},
  pages        = {756--762},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISVLSI.2018.00142},
  doi          = {10.1109/ISVLSI.2018.00142},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/WangPM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mcsoc/VenkataramaniP018,
  author       = {Vanchinathan Venkataramani and
                  Anuj Pathania and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {Scalable Dynamic Task Scheduling on Adaptive Many-Core},
  booktitle    = {12th {IEEE} International Symposium on Embedded Multicore/Many-core
                  Systems-on-Chip, MCSoC 2018, Hanoi, Vietnam, September 12-14, 2018},
  pages        = {168--175},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/MCSoC2018.2018.00037},
  doi          = {10.1109/MCSOC2018.2018.00037},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mcsoc/VenkataramaniP018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/cases/2018,
  editor       = {Tulika Mitra and
                  Akash Kumar},
  title        = {Proceedings of the International Conference on Compilers, Architecture
                  and Synthesis for Embedded Systems, {CASES} 2018, Torino, Italy, September
                  30 - October 05, 2018},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {http://dl.acm.org/citation.cfm?id=3283552},
  isbn         = {978-1-5386-5564-1},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1804-00706,
  author       = {Guanwen Zhong and
                  Akshat Dubey and
                  Cheng Tan and
                  Tulika Mitra},
  title        = {Synergy: {A} {HW/SW} Framework for High Throughput CNNs on Embedded
                  Heterogeneous SoC},
  journal      = {CoRR},
  volume       = {abs/1804.00706},
  year         = {2018},
  url          = {http://arxiv.org/abs/1804.00706},
  eprinttype    = {arXiv},
  eprint       = {1804.00706},
  timestamp    = {Sat, 25 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1804-00706.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1807-05843,
  author       = {Guanhua Wang and
                  Sudipta Chattopadhyay and
                  Ivan Gotovchits and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {oo7: Low-overhead Defense against Spectre Attacks via Binary Analysis},
  journal      = {CoRR},
  volume       = {abs/1807.05843},
  year         = {2018},
  url          = {http://arxiv.org/abs/1807.05843},
  eprinttype    = {arXiv},
  eprint       = {1807.05843},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1807-05843.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/PathaniaVSMH17,
  author       = {Anuj Pathania and
                  Vanchinathan Venkataramani and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {Defragmentation of Tasks in Many-Core Architecture},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {14},
  number       = {1},
  pages        = {2:1--2:21},
  year         = {2017},
  url          = {https://doi.org/10.1145/3050437},
  doi          = {10.1145/3050437},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/PathaniaVSMH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/PathaniaVSMH17,
  author       = {Anuj Pathania and
                  Vanchinathan Venkataramani and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {Optimal Greedy Algorithm for Many-Core Scheduling},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {36},
  number       = {6},
  pages        = {1054--1058},
  year         = {2017},
  url          = {https://doi.org/10.1109/TCAD.2016.2618880},
  doi          = {10.1109/TCAD.2016.2618880},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/PathaniaVSMH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/WangZM17,
  author       = {Siqi Wang and
                  Guanwen Zhong and
                  Tulika Mitra},
  title        = {CGPredict: Embedded {GPU} Performance Estimation from Single-Threaded
                  Applications},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {16},
  number       = {5s},
  pages        = {146:1--146:22},
  year         = {2017},
  url          = {https://doi.org/10.1145/3126546},
  doi          = {10.1145/3126546},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/WangZM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tpds/YaoCMX17,
  author       = {Yuan Yao and
                  Wenzhi Chen and
                  Tulika Mitra and
                  Yang Xiang},
  title        = {TC-Release++: An Efficient Timestamp-Based Coherence Protocol for
                  Many-Core Architectures},
  journal      = {{IEEE} Trans. Parallel Distributed Syst.},
  volume       = {28},
  number       = {11},
  pages        = {3313--3327},
  year         = {2017},
  url          = {https://doi.org/10.1109/TPDS.2017.2719679},
  doi          = {10.1109/TPDS.2017.2719679},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tpds/YaoCMX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/KarunaratneMMP17,
  author       = {Manupa Karunaratne and
                  Aditi Kulkarni Mohite and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {HyCUBE: {A} {CGRA} with Reconfigurable Single-cycle Multi-hop Interconnect},
  booktitle    = {Proceedings of the 54th Annual Design Automation Conference, {DAC}
                  2017, Austin, TX, USA, June 18-22, 2017},
  pages        = {45:1--45:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3061639.3062262},
  doi          = {10.1145/3061639.3062262},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/KarunaratneMMP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PathaniaKSMH17,
  author       = {Anuj Pathania and
                  Heba Khdr and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Scalable probabilistic power budgeting for many-cores},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {864--869},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927108},
  doi          = {10.23919/DATE.2017.7927108},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/PathaniaKSMH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ZhongPWLMN17,
  author       = {Guanwen Zhong and
                  Alok Prakash and
                  Siqi Wang and
                  Yun Liang and
                  Tulika Mitra and
                  Sma{\"{\i}}l Niar},
  editor       = {David Atienza and
                  Giorgio Di Natale},
  title        = {Design Space exploration of FPGA-based accelerators with multi-level
                  parallelism},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017},
  pages        = {1141--1146},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.23919/DATE.2017.7927161},
  doi          = {10.23919/DATE.2017.7927161},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ZhongPWLMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/estimedia/Mitra17,
  author       = {Tulika Mitra},
  editor       = {Sander Stuijk and
                  Akash Kumar},
  title        = {Mobile heterogeneous computing: a software perspective},
  booktitle    = {Proceedings of the 15th {IEEE/ACM} Symposium on Embedded Systems for
                  Real-Time Multimedia, ESTIMedia 2017, Seoul, Republic of Korea, October
                  15 - 20, 2017},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3139315.3151619},
  doi          = {10.1145/3139315.3151619},
  timestamp    = {Thu, 17 Feb 2022 09:36:04 +0100},
  biburl       = {https://dblp.org/rec/conf/estimedia/Mitra17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdp/MakniNBZMA17,
  author       = {Mariem Makni and
                  Sma{\"{\i}}l Niar and
                  Mouna Baklouti and
                  Guanwen Zhong and
                  Tulika Mitra and
                  Mohamed Abid},
  editor       = {Igor V. Kotenko and
                  Yiannis Cotronis and
                  Masoud Daneshtalab},
  title        = {A Rapid Data Communication Exploration Tool for Hybrid {CPU-FPGA}
                  Architectures},
  booktitle    = {25th Euromicro International Conference on Parallel, Distributed and
                  Network-based Processing, {PDP} 2017, St. Petersburg, Russia, March
                  6-8, 2017},
  pages        = {85--92},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/PDP.2017.11},
  doi          = {10.1109/PDP.2017.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pdp/MakniNBZMA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/hwswco/HaTHGMDESGB17,
  author       = {Soonhoi Ha and
                  J{\"{u}}rgen Teich and
                  Christian Haubelt and
                  Michael Gla{\ss} and
                  Tulika Mitra and
                  Rainer D{\"{o}}mer and
                  Petru Eles and
                  Aviral Shrivastava and
                  Andreas Gerstlauer and
                  Shuvra S. Bhattacharyya},
  editor       = {Soonhoi Ha and
                  J{\"{u}}rgen Teich},
  title        = {Introduction to Hardware/Software Codesign},
  booktitle    = {Handbook of Hardware/Software Codesign},
  pages        = {3--26},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-94-017-7267-9\_41},
  doi          = {10.1007/978-94-017-7267-9\_41},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/reference/hwswco/HaTHGMDESGB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:reference/hwswco/Mitra17,
  author       = {Tulika Mitra},
  editor       = {Soonhoi Ha and
                  J{\"{u}}rgen Teich},
  title        = {Application-Specific Processors},
  booktitle    = {Handbook of Hardware/Software Codesign},
  pages        = {377--409},
  publisher    = {Springer},
  year         = {2017},
  url          = {https://doi.org/10.1007/978-94-017-7267-9\_13},
  doi          = {10.1007/978-94-017-7267-9\_13},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/reference/hwswco/Mitra17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvt/ZhongNPM16,
  author       = {Guanwen Zhong and
                  Sma{\"{\i}}l Niar and
                  Alok Prakash and
                  Tulika Mitra},
  title        = {Design of Multiple-Target Tracking System on Heterogeneous System-on-Chip
                  Devices},
  journal      = {{IEEE} Trans. Veh. Technol.},
  volume       = {65},
  number       = {6},
  pages        = {4802--4812},
  year         = {2016},
  url          = {https://doi.org/10.1109/TVT.2016.2546957},
  doi          = {10.1109/TVT.2016.2546957},
  timestamp    = {Thu, 25 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tvt/ZhongNPM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/TanKVKMP16,
  author       = {Cheng Tan and
                  Aditi Kulkarni Mohite and
                  Vanchinathan Venkataramani and
                  Manupa Karunaratne and
                  Tulika Mitra and
                  Li{-}Shiuan Peh},
  title        = {{LOCUS:} low-power customizable many-core architecture for wearables},
  booktitle    = {2016 International Conference on Compilers, Architectures and Synthesis
                  for Embedded Systems, {CASES} 2016, Pittsburgh, Pennsylvania, USA,
                  October 1-7, 2016},
  pages        = {11:1--11:10},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2968455.2968506},
  doi          = {10.1145/2968455.2968506},
  timestamp    = {Sat, 25 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cases/TanKVKMP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PrakashASMH16,
  author       = {Alok Prakash and
                  Hussam Amrouch and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {Improving mobile gaming performance through cooperative {CPU-GPU}
                  thermal management},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {47:1--47:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898031},
  doi          = {10.1145/2897937.2898031},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/PrakashASMH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PathaniaVSMH16,
  author       = {Anuj Pathania and
                  Vanchinathan Venkataramani and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  title        = {Distributed scheduling for many-cores using cooperative game theory},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {133:1--133:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898009},
  doi          = {10.1145/2897937.2898009},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PathaniaVSMH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ZhongPLMN16,
  author       = {Guanwen Zhong and
                  Alok Prakash and
                  Yun Liang and
                  Tulika Mitra and
                  Sma{\"{\i}}l Niar},
  title        = {Lin-analyzer: a high-level performance analysis tool for FPGA-based
                  accelerators},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {136:1--136:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898040},
  doi          = {10.1145/2897937.2898040},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ZhongPLMN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/PathaniaVSMH16,
  author       = {Anuj Pathania and
                  Vanchinathan Venkataramani and
                  Muhammad Shafique and
                  Tulika Mitra and
                  J{\"{o}}rg Henkel},
  editor       = {Luca Fanucci and
                  J{\"{u}}rgen Teich},
  title        = {Distributed fair scheduling for many-cores},
  booktitle    = {2016 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016},
  pages        = {379--384},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/document/7459340/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/PathaniaVSMH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/BolchiniCMM16,
  author       = {Cristiana Bolchini and
                  Matteo Carminati and
                  Tulika Mitra and
                  Thannirmalai Somu Muthukaruppan},
  title        = {Combined on-line lifetime-energy optimization for asymmetric multicores},
  booktitle    = {2016 {IEEE} International Symposium on Defect and Fault Tolerance
                  in {VLSI} and Nanotechnology Systems, {DFT} 2016, Storrs, CT, USA,
                  September 19-20, 2016},
  pages        = {35--40},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/DFT.2016.7684066},
  doi          = {10.1109/DFT.2016.7684066},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/BolchiniCMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/YaoWGMCZ16,
  author       = {Yuan Yao and
                  Guanhua Wang and
                  Zhiguo Ge and
                  Tulika Mitra and
                  Wenzhi Chen and
                  Naxin Zhang},
  editor       = {Ozcan Ozturk and
                  Kemal Ebcioglu and
                  Mahmut T. Kandemir and
                  Onur Mutlu},
  title        = {Efficient Timestamp-Based Cache Coherence Protocol for Many-Core Architectures},
  booktitle    = {Proceedings of the 2016 International Conference on Supercomputing,
                  {ICS} 2016, Istanbul, Turkey, June 1-3, 2016},
  pages        = {19:1--19:13},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2925426.2926270},
  doi          = {10.1145/2925426.2926270},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/YaoWGMCZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icse/RubinovRMR16,
  author       = {Konstantin Rubinov and
                  Lucia Rosculete and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  editor       = {Laura K. Dillon and
                  Willem Visser and
                  Laurie A. Williams},
  title        = {Automated partitioning of android applications for trusted execution
                  environments},
  booktitle    = {Proceedings of the 38th International Conference on Software Engineering,
                  {ICSE} 2016, Austin, TX, USA, May 14-22, 2016},
  pages        = {923--934},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2884781.2884817},
  doi          = {10.1145/2884781.2884817},
  timestamp    = {Tue, 10 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icse/RubinovRMR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dagstuhl-reports/MitraTT16,
  author       = {Tulika Mitra and
                  J{\"{u}}rgen Teich and
                  Lothar Thiele},
  title        = {Adaptive Isolation for Predictability and Security (Dagstuhl Seminar
                  16441)},
  journal      = {Dagstuhl Reports},
  volume       = {6},
  number       = {10},
  pages        = {120--153},
  year         = {2016},
  url          = {https://doi.org/10.4230/DagRep.6.10.120},
  doi          = {10.4230/DAGREP.6.10.120},
  timestamp    = {Wed, 07 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dagstuhl-reports/MitraTT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ipsj/Mitra15,
  author       = {Tulika Mitra},
  title        = {Heterogeneous Multi-core Architectures},
  journal      = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.},
  volume       = {8},
  pages        = {51--62},
  year         = {2015},
  url          = {https://doi.org/10.2197/ipsjtsldm.8.51},
  doi          = {10.2197/IPSJTSLDM.8.51},
  timestamp    = {Tue, 29 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ipsj/Mitra15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LiangMJ15,
  author       = {Yun Liang and
                  Tulika Mitra and
                  Lei Ju},
  title        = {Instruction Cache Locking Using Temporal Reuse Profile},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {9},
  pages        = {1387--1400},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2015.2418320},
  doi          = {10.1109/TCAD.2015.2418320},
  timestamp    = {Tue, 07 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/LiangMJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/TanMMJ15,
  author       = {Cheng Tan and
                  Thannirmalai Somu Muthukaruppan and
                  Tulika Mitra and
                  Lei Ju},
  title        = {Approximation-aware scheduling on heterogeneous multi-core architectures},
  booktitle    = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2015, Chiba, Japan, January 19-22, 2015},
  pages        = {618--623},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/ASPDAC.2015.7059077},
  doi          = {10.1109/ASPDAC.2015.7059077},
  timestamp    = {Tue, 07 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/TanMMJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cgo/JiaoLHM15,
  author       = {Qing Jiao and
                  Mian Lu and
                  Huynh Phung Huynh and
                  Tulika Mitra},
  editor       = {Kunle Olukotun and
                  Aaron Smith and
                  Robert Hundt and
                  Jason Mars},
  title        = {Improving {GPGPU} energy-efficiency through concurrent kernel execution
                  and {DVFS}},
  booktitle    = {Proceedings of the 13th Annual {IEEE/ACM} International Symposium
                  on Code Generation and Optimization, {CGO} 2015, San Francisco, CA,
                  USA, February 07 - 11, 2015},
  pages        = {1--11},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/CGO.2015.7054182},
  doi          = {10.1109/CGO.2015.7054182},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cgo/JiaoLHM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PathaniaIPM15,
  author       = {Anuj Pathania and
                  Alexandru Eugen Irimiea and
                  Alok Prakash and
                  Tulika Mitra},
  title        = {Power-Performance Modelling of Mobile Gaming Workloads on Heterogeneous
                  MPSoCs},
  booktitle    = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco,
                  CA, USA, June 7-11, 2015},
  pages        = {201:1--201:6},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://dl.acm.org/citation.cfm?id=2744894},
  timestamp    = {Wed, 28 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PathaniaIPM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/YaoWGMCZ15,
  author       = {Yuan Yao and
                  Guanhua Wang and
                  Zhiguo Ge and
                  Tulika Mitra and
                  Wenzhi Chen and
                  Naxin Zhang},
  editor       = {Wolfgang Nebel and
                  David Atienza},
  title        = {SelectDirectory: a selective directory for cache coherence in many-core
                  architectures},
  booktitle    = {Proceedings of the 2015 Design, Automation {\&} Test in Europe
                  Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March
                  9-13, 2015},
  pages        = {175--180},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {http://dl.acm.org/citation.cfm?id=2755792},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/YaoWGMCZ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/PrakashWIM15,
  author       = {Alok Prakash and
                  Siqi Wang and
                  Alexandru Eugen Irimiea and
                  Tulika Mitra},
  title        = {Energy-efficient execution of data-parallel applications on heterogeneous
                  mobile platforms},
  booktitle    = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015,
                  New York City, NY, USA, October 18-21, 2015},
  pages        = {208--215},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/ICCD.2015.7357105},
  doi          = {10.1109/ICCD.2015.7357105},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/PrakashWIM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/PricopiM14,
  author       = {Mihai Pricopi and
                  Tulika Mitra},
  title        = {Task Scheduling on Adaptive Multi-Core},
  journal      = {{IEEE} Trans. Computers},
  volume       = {63},
  number       = {10},
  pages        = {2590--2603},
  year         = {2014},
  url          = {https://doi.org/10.1109/TC.2013.115},
  doi          = {10.1109/TC.2013.115},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/PricopiM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/trets/ChenM14,
  author       = {Liang Chen and
                  Tulika Mitra},
  title        = {Graph Minor Approach for Application Mapping on CGRAs},
  journal      = {{ACM} Trans. Reconfigurable Technol. Syst.},
  volume       = {7},
  number       = {3},
  pages        = {21:1--21:25},
  year         = {2014},
  url          = {https://doi.org/10.1145/2655242},
  doi          = {10.1145/2655242},
  timestamp    = {Fri, 24 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/trets/ChenM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asplos/MuthukaruppanPM14,
  author       = {Thannirmalai Somu Muthukaruppan and
                  Anuj Pathania and
                  Tulika Mitra},
  editor       = {Rajeev Balasubramonian and
                  Al Davis and
                  Sarita V. Adve},
  title        = {Price theory based power management for heterogeneous multi-cores},
  booktitle    = {Architectural Support for Programming Languages and Operating Systems,
                  {ASPLOS} 2014, Salt Lake City, UT, USA, March 1-5, 2014},
  pages        = {161--176},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2541940.2541974},
  doi          = {10.1145/2541940.2541974},
  timestamp    = {Wed, 07 Jul 2021 13:23:08 +0200},
  biburl       = {https://dblp.org/rec/conf/asplos/MuthukaruppanPM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/ShafiqueGMPH14,
  author       = {Muhammad Shafique and
                  Siddharth Garg and
                  Tulika Mitra and
                  Sri Parameswaran and
                  J{\"{o}}rg Henkel},
  editor       = {Radu Marculescu and
                  Gabriela Nicolescu},
  title        = {Dark silicon as a challenge for hardware/software co-design},
  booktitle    = {2014 International Conference on Hardware/Software Codesign and System
                  Synthesis, {CODES+ISSS} 2014, Uttar Pradesh, India, October 12-17,
                  2014},
  pages        = {13:1--13:10},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2656075.2661645},
  doi          = {10.1145/2656075.2661645},
  timestamp    = {Sun, 08 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/codes/ShafiqueGMPH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/PathaniaJPM14,
  author       = {Anuj Pathania and
                  Qing Jiao and
                  Alok Prakash and
                  Tulika Mitra},
  title        = {Integrated {CPU-GPU} Power Management for 3D Mobile Games},
  booktitle    = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San
                  Francisco, CA, USA, June 1-5, 2014},
  pages        = {40:1--40:6},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2593069.2593151},
  doi          = {10.1145/2593069.2593151},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/PathaniaJPM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/DingLM14,
  author       = {Huping Ding and
                  Yun Liang and
                  Tulika Mitra},
  editor       = {Gerhard P. Fettweis and
                  Wolfgang Nebel},
  title        = {WCET-Centric dynamic instruction cache locking},
  booktitle    = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition,
                  {DATE} 2014, Dresden, Germany, March 24-28, 2014},
  pages        = {1--6},
  publisher    = {European Design and Automation Association},
  year         = {2014},
  url          = {https://doi.org/10.7873/DATE.2014.040},
  doi          = {10.7873/DATE.2014.040},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/DingLM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/ZhongVLMN14,
  author       = {Guanwen Zhong and
                  Vanchinathan Venkataramani and
                  Yun Liang and
                  Tulika Mitra and
                  Sma{\"{\i}}l Niar},
  title        = {Design space exploration of multiple loops on FPGAs using high level
                  synthesis},
  booktitle    = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014,
                  Seoul, South Korea, October 19-22, 2014},
  pages        = {456--463},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCD.2014.6974719},
  doi          = {10.1109/ICCD.2014.6974719},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/ZhongVLMN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isicir/Mitra14,
  author       = {Tulika Mitra},
  title        = {Energy-efficient computing with heterogeneous multi-cores},
  booktitle    = {2014 International Symposium on Integrated Circuits (ISIC), Singapore,
                  December 10-12, 2014},
  pages        = {63--66},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISICIR.2014.7029584},
  doi          = {10.1109/ISICIR.2014.7029584},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isicir/Mitra14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/emsoft/2014,
  editor       = {Tulika Mitra and
                  Jan Reineke},
  title        = {2014 International Conference on Embedded Software, {EMSOFT} 2014,
                  New Delhi, India, October 12-17, 2014},
  publisher    = {{ACM}},
  year         = {2014},
  url          = {https://doi.org/10.1145/2656045},
  doi          = {10.1145/2656045},
  timestamp    = {Wed, 04 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/emsoft/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/BriskM13,
  author       = {Philip Brisk and
                  Tulika Mitra},
  title        = {Introduction to the special issue on application-specific processors},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {2},
  pages        = {15:1--15:3},
  year         = {2013},
  url          = {https://doi.org/10.1145/2514641.2514642},
  doi          = {10.1145/2514641.2514642},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/BriskM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/LiangM13,
  author       = {Yun Liang and
                  Tulika Mitra},
  title        = {An analytical approach for fast and accurate design space exploration
                  of instruction caches},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {43:1--43:29},
  year         = {2013},
  url          = {https://doi.org/10.1145/2539036.2539039},
  doi          = {10.1145/2539036.2539039},
  timestamp    = {Tue, 08 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/LiangM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/DingLM13,
  author       = {Huping Ding and
                  Yun Liang and
                  Tulika Mitra},
  title        = {Shared cache aware task mapping for {WCRT} minimization},
  booktitle    = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2013, Yokohama, Japan, January 22-25, 2013},
  pages        = {735--740},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ASPDAC.2013.6509688},
  doi          = {10.1109/ASPDAC.2013.6509688},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/DingLM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/PricopiMVMV13,
  author       = {Mihai Pricopi and
                  Thannirmalai Somu Muthukaruppan and
                  Vanchinathan Venkataramani and
                  Tulika Mitra and
                  Sanjay Vishin},
  title        = {Power-performance modeling on asymmetric multi-cores},
  booktitle    = {International Conference on Compilers, Architecture and Synthesis
                  for Embedded Systems, {CASES} 2013, Montreal, QC, Canada, September
                  29 - October 4, 2013},
  pages        = {15:1--15:10},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/CASES.2013.6662519},
  doi          = {10.1109/CASES.2013.6662519},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/PricopiMVMV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DingLM13,
  author       = {Huping Ding and
                  Yun Liang and
                  Tulika Mitra},
  title        = {Integrated instruction cache analysis and locking in multitasking
                  real-time systems},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {147:1--147:10},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488916},
  doi          = {10.1145/2463209.2488916},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DingLM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/MuthukaruppanPVMV13,
  author       = {Thannirmalai Somu Muthukaruppan and
                  Mihai Pricopi and
                  Vanchinathan Venkataramani and
                  Tulika Mitra and
                  Sanjay Vishin},
  title        = {Hierarchical power management for asymmetric multi-core in dark silicon
                  era},
  booktitle    = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin,
                  TX, USA, May 29 - June 07, 2013},
  pages        = {174:1--174:9},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2463209.2488949},
  doi          = {10.1145/2463209.2488949},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/MuthukaruppanPVMV13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ChenM13,
  author       = {Liang Chen and
                  Tulika Mitra},
  title        = {Correction to "Graph Minor Approach for Application Mapping on
                  CGRAs"},
  booktitle    = {2013 International Conference on Field-Programmable Technology, {FPT}
                  2013, Kyoto, Japan, December 9-11, 2013},
  pages        = {510},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/FPT.2013.6718431},
  doi          = {10.1109/FPT.2013.6718431},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/ChenM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenTMB13,
  author       = {Liang Chen and
                  Joseph Tarango and
                  Tulika Mitra and
                  Philip Brisk},
  editor       = {J{\"{o}}rg Henkel},
  title        = {A just-in-time customizable processor},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  ICCAD'13, San Jose, CA, USA, November 18-21, 2013},
  pages        = {524--531},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCAD.2013.6691166},
  doi          = {10.1109/ICCAD.2013.6691166},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenTMB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/MuthukaruppanJMP13,
  author       = {Thannirmalai Somu Muthukaruppan and
                  Haris Javaid and
                  Tulika Mitra and
                  Sri Parameswaran},
  title        = {Energy-aware synthesis of application specific MPSoCs},
  booktitle    = {2013 {IEEE} 31st International Conference on Computer Design, {ICCD}
                  2013, Asheville, NC, USA, October 6-9, 2013},
  pages        = {62--69},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCD.2013.6657026},
  doi          = {10.1109/ICCD.2013.6657026},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/MuthukaruppanJMP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/MysurPMM13,
  author       = {Kaushik Triyambaka Mysur and
                  Mihai Pricopi and
                  Thomas Marconi and
                  Tulika Mitra},
  editor       = {Martin Margala and
                  Ricardo Augusto da Luz Reis and
                  Alex Orailoglu and
                  Luigi Carro and
                  Lu{\'{\i}}s Miguel Silveira and
                  H. Fatih Ugurdag},
  title        = {Implementation of core coalition on FPGAs},
  booktitle    = {21st {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip,
                  VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013},
  pages        = {198--203},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/VLSI-SoC.2013.6673275},
  doi          = {10.1109/VLSI-SOC.2013.6673275},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsi/MysurPMM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MuthukaruppanM13,
  author       = {Thannirmalai Somu Muthukaruppan and
                  Tulika Mitra},
  title        = {Lifetime Reliability Aware Architectural Adaptation},
  booktitle    = {26th International Conference on {VLSI} Design and 12th International
                  Conference on Embedded Systems, Pune, India, January 5-10, 2013},
  pages        = {227--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/VLSID.2013.192},
  doi          = {10.1109/VLSID.2013.192},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MuthukaruppanM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/rts/LiangDMRLS12,
  author       = {Yun Liang and
                  Huping Ding and
                  Tulika Mitra and
                  Abhik Roychoudhury and
                  Yan Li and
                  Vivy Suhendra},
  title        = {Timing analysis of concurrent programs running on shared cache multi-cores},
  journal      = {Real Time Syst.},
  volume       = {48},
  number       = {6},
  pages        = {638--680},
  year         = {2012},
  url          = {https://doi.org/10.1007/s11241-012-9160-2},
  doi          = {10.1007/S11241-012-9160-2},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/rts/LiangDMRLS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/PricopiM12,
  author       = {Mihai Pricopi and
                  Tulika Mitra},
  title        = {Bahurupi: {A} polymorphic heterogeneous multi-core architecture},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {8},
  number       = {4},
  pages        = {22:1--22:21},
  year         = {2012},
  url          = {https://doi.org/10.1145/2086696.2086701},
  doi          = {10.1145/2086696.2086701},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/PricopiM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DingLM12,
  author       = {Huping Ding and
                  Yun Liang and
                  Tulika Mitra},
  editor       = {Patrick Groeneveld and
                  Donatella Sciuto and
                  Soha Hassoun},
  title        = {WCET-centric partial instruction cache locking},
  booktitle    = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San
                  Francisco, CA, USA, June 3-7, 2012},
  pages        = {412--420},
  publisher    = {{ACM}},
  year         = {2012},
  url          = {https://doi.org/10.1145/2228360.2228434},
  doi          = {10.1145/2228360.2228434},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DingLM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/ChenMM12,
  author       = {Liang Chen and
                  Thomas Marconi and
                  Tulika Mitra},
  editor       = {Wolfgang Rosenstiel and
                  Lothar Thiele},
  title        = {Online scheduling for multi-core shared reconfigurable fabric},
  booktitle    = {2012 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012},
  pages        = {582--585},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/DATE.2012.6176537},
  doi          = {10.1109/DATE.2012.6176537},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/ChenMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ChenM12,
  author       = {Liang Chen and
                  Tulika Mitra},
  title        = {Graph minor approach for application mapping on CGRAs},
  booktitle    = {2012 International Conference on Field-Programmable Technology, {FPT}
                  2012, Seoul, Korea (South), December 10-12, 2012},
  pages        = {285--292},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/FPT.2012.6412149},
  doi          = {10.1109/FPT.2012.6412149},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/ChenM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenM11,
  author       = {Liang Chen and
                  Tulika Mitra},
  editor       = {Leon Stok and
                  Nikil D. Dutt and
                  Soha Hassoun},
  title        = {Shared reconfigurable fabric for multi-core customization},
  booktitle    = {Proceedings of the 48th Design Automation Conference, {DAC} 2011,
                  San Diego, California, USA, June 5-10, 2011},
  pages        = {830--835},
  publisher    = {{ACM}},
  year         = {2011},
  url          = {https://doi.org/10.1145/2024724.2024910},
  doi          = {10.1145/2024724.2024910},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MarconiM11,
  author       = {Thomas Marconi and
                  Tulika Mitra},
  editor       = {Russell Tessier},
  title        = {A novel online hardware task scheduling and placement algorithm for
                  3D partially reconfigurable FPGAs},
  booktitle    = {2011 International Conference on Field-Programmable Technology, {FPT}
                  2011, New Delhi, India, December 12-14, 2011},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/FPT.2011.6132700},
  doi          = {10.1109/FPT.2011.6132700},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/MarconiM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sasp/ChenBM11,
  author       = {Liang Chen and
                  Nicolas Boichat and
                  Tulika Mitra},
  title        = {Customized MPSoC synthesis for task sequence},
  booktitle    = {{IEEE} 9th Symposium on Application Specific Processors, {SASP} 2011,
                  San Diego, CA, USA, June 5-6, 2011},
  pages        = {16--21},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/SASP.2011.5941072},
  doi          = {10.1109/SASP.2011.5941072},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sasp/ChenBM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/toplas/SuhendraRM10,
  author       = {Vivy Suhendra and
                  Abhik Roychoudhury and
                  Tulika Mitra},
  title        = {Scratchpad allocation for concurrent embedded software},
  journal      = {{ACM} Trans. Program. Lang. Syst.},
  volume       = {32},
  number       = {4},
  pages        = {13:1--13:47},
  year         = {2010},
  url          = {https://doi.org/10.1145/1734206.1734210},
  doi          = {10.1145/1734206.1734210},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/toplas/SuhendraRM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/LiangM10,
  author       = {Yun Liang and
                  Tulika Mitra},
  editor       = {Vinod Kathail and
                  Reid Tatge and
                  Rajeev Barua},
  title        = {Improved procedure placement for set associative caches},
  booktitle    = {Proceedings of the 2010 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2010, Scottsdale, AZ,
                  USA, October 24-29, 2010},
  pages        = {147--156},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1878921.1878944},
  doi          = {10.1145/1878921.1878944},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/LiangM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiangM10,
  author       = {Yun Liang and
                  Tulika Mitra},
  editor       = {Sachin S. Sapatnekar},
  title        = {Instruction cache locking using temporal reuse profile},
  booktitle    = {Proceedings of the 47th Design Automation Conference, {DAC} 2010,
                  Anaheim, California, USA, July 13-18, 2010},
  pages        = {344--349},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1837274.1837362},
  doi          = {10.1145/1837274.1837362},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiangM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HuynhLM10,
  author       = {Huynh Phung Huynh and
                  Yun Liang and
                  Tulika Mitra},
  editor       = {Jinian Bian and
                  Qiang Zhou and
                  Peter Athanas and
                  Yajun Ha and
                  Kang Zhao},
  title        = {Efficient custom instructions generation for system-level design},
  booktitle    = {Proceedings of the International Conference on Field-Programmable
                  Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing,
                  China},
  pages        = {445--448},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/FPT.2010.5681456},
  doi          = {10.1109/FPT.2010.5681456},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HuynhLM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/BordoloiHMC10,
  author       = {Unmesh D. Bordoloi and
                  Huynh Phung Huynh and
                  Tulika Mitra and
                  Samarjit Chakraborty},
  editor       = {Fadi J. Kurdahi and
                  Jarmo Takala},
  title        = {Design space exploration of instruction set customizable MPSoCs for
                  multimedia applications},
  booktitle    = {Proceedings of the 2010 International Conference on Embedded Computer
                  Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2010),
                  Samos, Greece, July 19-22, 2010},
  pages        = {170--177},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ICSAMOS.2010.5642070},
  doi          = {10.1109/ICSAMOS.2010.5642070},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/samos/BordoloiHMC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/scopes/ChattopadhyayRM10,
  author       = {Sudipta Chattopadhyay and
                  Abhik Roychoudhury and
                  Tulika Mitra},
  editor       = {Ed F. Deprettere and
                  Todor P. Stefanov},
  title        = {Modeling shared cache and bus in multi-cores for timing analysis},
  booktitle    = {13th International Workshop on Software and Compilers for Embedded
                  Systems, {SCOPES} '10, St. Goar, Germany, June 29-30, 2010},
  pages        = {6},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1811212.1811220},
  doi          = {10.1145/1811212.1811220},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/scopes/ChattopadhyayRM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/HuynhSM09,
  author       = {Huynh Phung Huynh and
                  Joon Edward Sim and
                  Tulika Mitra},
  title        = {An efficient framework for dynamic reconfiguration of instruction-set
                  customization},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {13},
  number       = {1-2},
  pages        = {91--113},
  year         = {2009},
  url          = {https://doi.org/10.1007/s10617-008-9035-x},
  doi          = {10.1007/S10617-008-9035-X},
  timestamp    = {Fri, 02 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dafes/HuynhSM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dafes/JuLCMR09,
  author       = {Lei Ju and
                  Yun Liang and
                  Samarjit Chakraborty and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {Cache-aware optimization of {BAN} applications},
  journal      = {Des. Autom. Embed. Syst.},
  volume       = {13},
  number       = {3},
  pages        = {159--178},
  year         = {2009},
  url          = {https://doi.org/10.1007/s10617-009-9045-3},
  doi          = {10.1007/S10617-009-9045-3},
  timestamp    = {Tue, 07 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dafes/JuLCMR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jolpe/JayaseelanM09,
  author       = {Ramkumar Jayaseelan and
                  Tulika Mitra},
  title        = {Temperature Aware Scheduling for Embedded Processors},
  journal      = {J. Low Power Electron.},
  volume       = {5},
  number       = {3},
  pages        = {363--372},
  year         = {2009},
  url          = {https://doi.org/10.1166/jolpe.2009.1036},
  doi          = {10.1166/JOLPE.2009.1036},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jolpe/JayaseelanM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/rts/ChakrabortyMRT09,
  author       = {Samarjit Chakraborty and
                  Tulika Mitra and
                  Abhik Roychoudhury and
                  Lothar Thiele},
  title        = {Cache-aware timing analysis of streaming applications},
  journal      = {Real Time Syst.},
  volume       = {41},
  number       = {1},
  pages        = {52--85},
  year         = {2009},
  url          = {https://doi.org/10.1007/s11241-008-9062-5},
  doi          = {10.1007/S11241-008-9062-5},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/rts/ChakrabortyMRT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DangRMM09,
  author       = {Thanh Nga Dang and
                  Abhik Roychoudhury and
                  Tulika Mitra and
                  Prabhat Mishra},
  title        = {Generating test programs to cover pipeline interactions},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {142--147},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1629953},
  doi          = {10.1145/1629911.1629953},
  timestamp    = {Mon, 18 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/DangRMM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/BordoloiHCM09,
  author       = {Unmesh D. Bordoloi and
                  Huynh Phung Huynh and
                  Samarjit Chakraborty and
                  Tulika Mitra},
  title        = {Evaluating design trade-offs in customizable processors},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {244--249},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1629978},
  doi          = {10.1145/1629911.1629978},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/BordoloiHCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JayaseelanM09,
  author       = {Ramkumar Jayaseelan and
                  Tulika Mitra},
  title        = {Dynamic thermal management via architectural adaptation},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {484--489},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630038},
  doi          = {10.1145/1629911.1630038},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JayaseelanM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/GeMW09,
  author       = {Zhiguo Ge and
                  Tulika Mitra and
                  Weng{-}Fai Wong},
  title        = {A DVS-based pipelined reconfigurable instruction memory},
  booktitle    = {Proceedings of the 46th Design Automation Conference, {DAC} 2009,
                  San Francisco, CA, USA, July 26-31, 2009},
  pages        = {897--902},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629911.1630142},
  doi          = {10.1145/1629911.1630142},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/GeMW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HuynhM09,
  author       = {Huynh Phung Huynh and
                  Tulika Mitra},
  editor       = {Luca Benini and
                  Giovanni De Micheli and
                  Bashir M. Al{-}Hashimi and
                  Wolfgang M{\"{u}}ller},
  title        = {Runtime reconfiguration of custom instructions for real-time embedded
                  systems},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France,
                  April 20-24, 2009},
  pages        = {1536--1541},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/DATE.2009.5090906},
  doi          = {10.1109/DATE.2009.5090906},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/HuynhM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/emsoft/PuranikMS09,
  author       = {Vinayak Puranik and
                  Tulika Mitra and
                  Y. N. Srikant},
  editor       = {Samarjit Chakraborty and
                  Nicolas Halbwachs},
  title        = {Probabilistic modeling of data cache behavior},
  booktitle    = {Proceedings of the 9th {ACM} {\&} {IEEE} International conference
                  on Embedded software, {EMSOFT} 2009, Grenoble, France, October 12-16,
                  2009},
  pages        = {255--264},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1629335.1629370},
  doi          = {10.1145/1629335.1629370},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/emsoft/PuranikMS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JayaseelanM09,
  author       = {Ramkumar Jayaseelan and
                  Tulika Mitra},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A hybrid local-global approach for multi-core thermal management},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {314--320},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687459},
  doi          = {10.1145/1687399.1687459},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JayaseelanM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtss/LiSLMR09,
  author       = {Yan Li and
                  Vivy Suhendra and
                  Yun Liang and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  editor       = {Theodore P. Baker},
  title        = {Timing Analysis of Concurrent Programs Running on Shared Cache Multi-Cores},
  booktitle    = {Proceedings of the 30th {IEEE} Real-Time Systems Symposium, {RTSS}
                  2009, Washington, DC, USA, 1-4 December 2009},
  pages        = {57--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/RTSS.2009.32},
  doi          = {10.1109/RTSS.2009.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtss/LiSLMR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/samos/HuynhM09,
  author       = {Huynh Phung Huynh and
                  Tulika Mitra},
  editor       = {Koen Bertels and
                  Nikitas J. Dimopoulos and
                  Cristina Silvano and
                  Stephan Wong},
  title        = {Runtime Adaptive Extensible Embedded Processors - {A} Survey},
  booktitle    = {Embedded Computer Systems: Architectures, Modeling, and Simulation,
                  9th International Workshop, {SAMOS} 2009, Samos, Greece, July 20-23,
                  2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5657},
  pages        = {215--225},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-03138-0\_23},
  doi          = {10.1007/978-3-642-03138-0\_23},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/samos/HuynhM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/JayaseelanM09,
  author       = {Ramkumar Jayaseelan and
                  Tulika Mitra},
  title        = {Temperature Aware Scheduling for Embedded Processors},
  booktitle    = {{VLSI} Design 2009: Improving Productivity through Higher Abstraction,
                  The 22nd International Conference on {VLSI} Design, New Delhi, India,
                  5-9 January 2009},
  pages        = {541--546},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/VLSI.Design.2009.42},
  doi          = {10.1109/VLSI.DESIGN.2009.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/JayaseelanM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/WilhelmEEHTWBFHMMPPSS08,
  author       = {Reinhard Wilhelm and
                  Jakob Engblom and
                  Andreas Ermedahl and
                  Niklas Holsti and
                  Stephan Thesing and
                  David B. Whalley and
                  Guillem Bernat and
                  Christian Ferdinand and
                  Reinhold Heckmann and
                  Tulika Mitra and
                  Frank Mueller and
                  Isabelle Puaut and
                  Peter P. Puschner and
                  Jan Staschulat and
                  Per Stenstr{\"{o}}m},
  title        = {The worst-case execution-time problem - overview of methods and survey
                  of tools},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {7},
  number       = {3},
  pages        = {36:1--36:53},
  year         = {2008},
  url          = {https://doi.org/10.1145/1347375.1347389},
  doi          = {10.1145/1347375.1347389},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/WilhelmEEHTWBFHMMPPSS08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/SuhendraRM08,
  author       = {Vivy Suhendra and
                  Abhik Roychoudhury and
                  Tulika Mitra},
  editor       = {Catherine H. Gebotys and
                  Grant Martin},
  title        = {Scratchpad allocation for concurrent embedded software},
  booktitle    = {Proceedings of the 6th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA,
                  October 19-24, 2008},
  pages        = {37--42},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1450135.1450145},
  doi          = {10.1145/1450135.1450145},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/SuhendraRM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/LiangM08,
  author       = {Yun Liang and
                  Tulika Mitra},
  editor       = {Catherine H. Gebotys and
                  Grant Martin},
  title        = {Static analysis for fast and accurate design space exploration of
                  caches},
  booktitle    = {Proceedings of the 6th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA,
                  October 19-24, 2008},
  pages        = {103--108},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1450135.1450159},
  doi          = {10.1145/1450135.1450159},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/LiangM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/LiangJCMR08,
  author       = {Yun Liang and
                  Lei Ju and
                  Samarjit Chakraborty and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  editor       = {Catherine H. Gebotys and
                  Grant Martin},
  title        = {Cache-aware optimization of {BAN} applications},
  booktitle    = {Proceedings of the 6th International Conference on Hardware/Software
                  Codesign and System Synthesis, {CODES+ISSS} 2008, Atlanta, GA, USA,
                  October 19-24, 2008},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1450135.1450170},
  doi          = {10.1145/1450135.1450170},
  timestamp    = {Tue, 07 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/LiangJCMR08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SuhendraM08,
  author       = {Vivy Suhendra and
                  Tulika Mitra},
  editor       = {Limor Fix},
  title        = {Exploring locking {\&} partitioning for predictable shared caches
                  on multi-cores},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {300--303},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391545},
  doi          = {10.1145/1391469.1391545},
  timestamp    = {Tue, 28 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/SuhendraM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiangM08,
  author       = {Yun Liang and
                  Tulika Mitra},
  editor       = {Limor Fix},
  title        = {Cache modeling in probabilistic execution time analysis},
  booktitle    = {Proceedings of the 45th Design Automation Conference, {DAC} 2008,
                  Anaheim, CA, USA, June 8-13, 2008},
  pages        = {319--324},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1391469.1391551},
  doi          = {10.1145/1391469.1391551},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiangM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SimMW08,
  author       = {Joon Edward Sim and
                  Tulika Mitra and
                  Weng{-}Fai Wong},
  editor       = {Tarek A. El{-}Ghazawi and
                  Yao{-}Wen Chang and
                  Juinn{-}Dar Huang and
                  Proshanta Saha},
  title        = {Defining neighborhood relations for fast spatial-temporal partitioning
                  of applications on reconfigurable architectures},
  booktitle    = {2008 International Conference on Field-Programmable Technology, {FPT}
                  2008, Taipei, Taiwan, December 7-10, 2008},
  pages        = {121--128},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPT.2008.4762374},
  doi          = {10.1109/FPT.2008.4762374},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/SimMW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HuynhM08,
  author       = {Huynh Phung Huynh and
                  Tulika Mitra},
  editor       = {Tarek A. El{-}Ghazawi and
                  Yao{-}Wen Chang and
                  Juinn{-}Dar Huang and
                  Proshanta Saha},
  title        = {Processor customization for wearable bio-monitoring platforms},
  booktitle    = {2008 International Conference on Field-Programmable Technology, {FPT}
                  2008, Taipei, Taiwan, December 7-10, 2008},
  pages        = {249--252},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/FPT.2008.4762390},
  doi          = {10.1109/FPT.2008.4762390},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HuynhM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JayaseelanM08,
  author       = {Ramkumar Jayaseelan and
                  Tulika Mitra},
  editor       = {Sani R. Nassif and
                  Jaijeet S. Roychowdhury},
  title        = {Temperature aware task sequencing and voltage scaling},
  booktitle    = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008,
                  San Jose, CA, USA, November 10-13, 2008},
  pages        = {618--623},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICCAD.2008.4681641},
  doi          = {10.1109/ICCAD.2008.4681641},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JayaseelanM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/scp/LiLMR07,
  author       = {Xianfeng Li and
                  Liang Yun and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {Chronos: {A} timing analyzer for embedded software},
  journal      = {Sci. Comput. Program.},
  volume       = {69},
  number       = {1-3},
  pages        = {56--67},
  year         = {2007},
  url          = {https://doi.org/10.1016/j.scico.2007.01.014},
  doi          = {10.1016/J.SCICO.2007.01.014},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/scp/LiLMR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LiRMMC07,
  author       = {Xianfeng Li and
                  Abhik Roychoudhury and
                  Tulika Mitra and
                  Prabhat Mishra and
                  Xu Cheng},
  title        = {A Retargetable Software Timing Analyzer Using Architecture Description
                  Language},
  booktitle    = {Proceedings of the 12th Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007},
  pages        = {396--401},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ASPDAC.2007.358018},
  doi          = {10.1109/ASPDAC.2007.358018},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/LiRMMC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/HuynhSM07,
  author       = {Huynh Phung Huynh and
                  Joon Edward Sim and
                  Tulika Mitra},
  editor       = {Taewhan Kim and
                  Pascal Sainrat and
                  Steven S. Lumetta and
                  Nacho Navarro},
  title        = {An efficient framework for dynamic reconfiguration of instruction-set
                  customization},
  booktitle    = {Proceedings of the 2007 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2007, Salzburg, Austria,
                  September 30 - October 3, 2007},
  pages        = {135--144},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1289881.1289906},
  doi          = {10.1145/1289881.1289906},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/HuynhSM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/HuynhM07,
  author       = {Huynh Phung Huynh and
                  Tulika Mitra},
  editor       = {Rudy Lauwereins and
                  Jan Madsen},
  title        = {Instruction-set customization for real-time embedded systems},
  booktitle    = {2007 Design, Automation and Test in Europe Conference and Exposition,
                  {DATE} 2007, Nice, France, April 16-20, 2007},
  pages        = {1472--1477},
  publisher    = {{EDA} Consortium, San Jose, CA, {USA}},
  year         = {2007},
  url          = {https://dl.acm.org/citation.cfm?id=1266690},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/date/HuynhM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ecrts/ChakrabortyMRTBD07,
  author       = {Samarjit Chakraborty and
                  Tulika Mitra and
                  Abhik Roychoudhury and
                  Lothar Thiele and
                  Unmesh D. Bordoloi and
                  Cem Derdiyok},
  title        = {Cache-Aware Timing Analysis of Streaming Applications},
  booktitle    = {19th Euromicro Conference on Real-Time Systems, ECRTS'07, 4-6 July
                  2007, Pisa, Italy, Proceedings},
  pages        = {159--168},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ECRTS.2007.85},
  doi          = {10.1109/ECRTS.2007.85},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ecrts/ChakrabortyMRTBD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/YuM07,
  author       = {Pan Yu and
                  Tulika Mitra},
  editor       = {Koen Bertels and
                  Walid A. Najjar and
                  Arjan J. van Genderen and
                  Stamatis Vassiliadis},
  title        = {Disjoint Pattern Enumeration for Custom Instructions Identification},
  booktitle    = {{FPL} 2007, International Conference on Field Programmable Logic and
                  Applications, Amsterdam, The Netherlands, 27-29 August 2007},
  pages        = {273--278},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/FPL.2007.4380659},
  doi          = {10.1109/FPL.2007.4380659},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/YuM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wcet/YunRM07,
  author       = {Liang Yun and
                  Abhik Roychoudhury and
                  Tulika Mitra},
  editor       = {Christine Rochange},
  title        = {Timing Analysis of Body Area Network Applications},
  booktitle    = {7th Intl. Workshop on Worst-Case Execution Time {(WCET)} Analysis,
                  Pisa, Italy, July 3, 2007},
  series       = {OASIcs},
  volume       = {6},
  publisher    = {Internationales Begegnungs- und Forschungszentrum fuer Informatik
                  (IBFI), Schloss Dagstuhl, Germany},
  year         = {2007},
  url          = {http://drops.dagstuhl.de/opus/volltexte/2007/1192},
  timestamp    = {Tue, 15 Feb 2022 09:40:04 +0100},
  biburl       = {https://dblp.org/rec/conf/wcet/YunRM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/crc/CRCcompiler2007/MitraR07,
  author       = {Tulika Mitra and
                  Abhik Roychoudhury},
  editor       = {Y. N. Srikant and
                  Priti Shankar},
  title        = {Worst-Case Execution Time and Energy Analysis},
  booktitle    = {The Compiler Design Handbook: Optimizations and Machine Code Generation,
                  Second Edition},
  pages        = {1},
  publisher    = {{CRC} Press},
  year         = {2007},
  timestamp    = {Sun, 04 Aug 2019 17:44:34 +0200},
  biburl       = {https://dblp.org/rec/books/crc/CRCcompiler2007/MitraR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/rts/LiRM06,
  author       = {Xianfeng Li and
                  Abhik Roychoudhury and
                  Tulika Mitra},
  title        = {Modeling out-of-order processors for {WCET} analysis},
  journal      = {Real Time Syst.},
  volume       = {34},
  number       = {3},
  pages        = {195--227},
  year         = {2006},
  url          = {https://doi.org/10.1007/s11241-006-9205-5},
  doi          = {10.1007/S11241-006-9205-5},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/rts/LiRM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/SuhendraRM06,
  author       = {Vivy Suhendra and
                  Chandrashekar Raghavan and
                  Tulika Mitra},
  editor       = {Seongsoo Hong and
                  Wayne H. Wolf and
                  Kriszti{\'{a}}n Flautner and
                  Taewhan Kim},
  title        = {Integrated scratchpad memory optimization and task scheduling for
                  MPSoC architectures},
  booktitle    = {Proceedings of the 2006 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2006, Seoul, Korea, October
                  22-25, 2006},
  pages        = {401--410},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1176760.1176809},
  doi          = {10.1145/1176760.1176809},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/SuhendraRM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/JayaseelanLM06,
  author       = {Ramkumar Jayaseelan and
                  Haibin Liu and
                  Tulika Mitra},
  editor       = {Ellen Sentovich},
  title        = {Exploiting forwarding to improve data bandwidth of instruction-set
                  extensions},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1146924},
  doi          = {10.1145/1146909.1146924},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/JayaseelanLM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/SuhendraMRC06,
  author       = {Vivy Suhendra and
                  Tulika Mitra and
                  Abhik Roychoudhury and
                  Ting Chen},
  editor       = {Ellen Sentovich},
  title        = {Efficient detection and exploitation of infeasible paths for software
                  timing analysis},
  booktitle    = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006,
                  San Francisco, CA, USA, July 24-28, 2006},
  pages        = {358--363},
  publisher    = {{ACM}},
  year         = {2006},
  url          = {https://doi.org/10.1145/1146909.1147002},
  doi          = {10.1145/1146909.1147002},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/SuhendraMRC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtas/JayaseelanML06,
  author       = {Ramkumar Jayaseelan and
                  Tulika Mitra and
                  Xianfeng Li},
  title        = {Estimating the Worst-Case Energy Consumption of Embedded Software},
  booktitle    = {12th {IEEE} Real-Time and Embedded Technology and Applications Symposium
                  {(RTAS} 2006), 4-7 April 2006, San Jose, California, {USA}},
  pages        = {81--90},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/RTAS.2006.17},
  doi          = {10.1109/RTAS.2006.17},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtas/JayaseelanML06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/ChakrabortyCMR06,
  author       = {Biman Chakraborty and
                  Ting Chen and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {Handling Constraints in Multi-Objective {GA} for Embedded System Design},
  booktitle    = {19th International Conference on {VLSI} Design {(VLSI} Design 2006),
                  3-7 January 2006, Hyderabad, India},
  pages        = {305--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VLSID.2006.95},
  doi          = {10.1109/VLSID.2006.95},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/ChakrabortyCMR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/rts/LiMR05,
  author       = {Xianfeng Li and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {Modeling Control Speculation for Timing Analysis},
  journal      = {Real Time Syst.},
  volume       = {29},
  number       = {1},
  pages        = {27--58},
  year         = {2005},
  url          = {https://doi.org/10.1023/B:TIME.0000048933.15922.f9},
  doi          = {10.1023/B:TIME.0000048933.15922.F9},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/rts/LiMR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/YuM05,
  author       = {Pan Yu and
                  Tulika Mitra},
  editor       = {Petru Eles and
                  Axel Jantsch and
                  Reinaldo A. Bergamaschi},
  title        = {Satisfying real-time constraints with custom instructions},
  booktitle    = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005,
                  Jersey City, NJ, USA, September 19-21, 2005},
  pages        = {166--171},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1084834.1084879},
  doi          = {10.1145/1084834.1084879},
  timestamp    = {Mon, 26 Nov 2018 12:14:45 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/YuM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icdcit/RoychoudhuryMN05,
  author       = {Abhik Roychoudhury and
                  Tulika Mitra and
                  Hemendra Singh Negi},
  editor       = {Goutam Chakraborty},
  title        = {Analyzing Loop Paths for Execution Time Estimation},
  booktitle    = {Distributed Computing and Internet Technology, Second International
                  Conference, {ICDCIT} 2005, Bhubaneswar, India, December 22-24, 2005,
                  Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3816},
  pages        = {458--469},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11604655\_53},
  doi          = {10.1007/11604655\_53},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icdcit/RoychoudhuryMN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtss/SuhendraMRC05,
  author       = {Vivy Suhendra and
                  Tulika Mitra and
                  Abhik Roychoudhury and
                  Ting Chen},
  title        = {{WCET} Centric Data Allocation to Scratchpad Memory},
  booktitle    = {Proceedings of the 26th {IEEE} Real-Time Systems Symposium {(RTSS}
                  2005), 6-8 December 2005, Miami, FL, {USA}},
  pages        = {223--232},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/RTSS.2005.45},
  doi          = {10.1109/RTSS.2005.45},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtss/SuhendraMRC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wcet/ChenMRS05,
  author       = {Ting Chen and
                  Tulika Mitra and
                  Abhik Roychoudhury and
                  Vivy Suhendra},
  editor       = {Reinhard Wilhelm},
  title        = {Exploiting Branch Constraints without Exhaustive Path Enumeration},
  booktitle    = {5th Intl. Workshop on Worst-Case Execution Time {(WCET)} Analysis,
                  July 5, 2005, Palma de Mallorca, Spain},
  series       = {OASIcs},
  volume       = {1},
  publisher    = {Internationales Begegnungs- und Forschungszentrum fuer Informatik
                  (IBFI), Schloss Dagstuhl, Germany},
  year         = {2005},
  url          = {http://drops.dagstuhl.de/opus/volltexte/2007/816},
  timestamp    = {Tue, 15 Feb 2022 09:40:04 +0100},
  biburl       = {https://dblp.org/rec/conf/wcet/ChenMRS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/MitraRS04,
  author       = {Tulika Mitra and
                  Abhik Roychoudhury and
                  Qinghua Shen},
  title        = {Impact of Java Memory Model on Out-of-Order Multiprocessors},
  booktitle    = {13th International Conference on Parallel Architectures and Compilation
                  Techniques {(PACT} 2004), 29 September - 3 October 2004, Antibes Juan-les-Pins,
                  France},
  pages        = {99--110},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.ieeecomputersociety.org/10.1109/PACT.2004.10015},
  doi          = {10.1109/PACT.2004.10015},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/MitraRS04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cases/YuM04,
  author       = {Pan Yu and
                  Tulika Mitra},
  editor       = {Mary Jane Irwin and
                  Wei Zhao and
                  Luciano Lavagno and
                  Scott A. Mahlke},
  title        = {Scalable custom instructions identification for instruction-set extensible
                  processors},
  booktitle    = {Proceedings of the 2004 International Conference on Compilers, Architecture,
                  and Synthesis for Embedded Systems, {CASES} 2004, Washington DC, USA,
                  September 22 - 25, 2004},
  pages        = {69--78},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1023833.1023844},
  doi          = {10.1145/1023833.1023844},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/cases/YuM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YuM04,
  author       = {Pan Yu and
                  Tulika Mitra},
  editor       = {Sharad Malik and
                  Limor Fix and
                  Andrew B. Kahng},
  title        = {Characterizing embedded applications for instruction-set extensible
                  processors},
  booktitle    = {Proceedings of the 41th Design Automation Conference, {DAC} 2004,
                  San Diego, CA, USA, June 7-11, 2004},
  pages        = {723--728},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/996566.996764},
  doi          = {10.1145/996566.996764},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YuM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HeMW04,
  author       = {Lei He and
                  Tulika Mitra and
                  Weng{-}Fai Wong},
  title        = {Configuration bitstream compression for dynamically reconfigurable
                  FPGAs},
  booktitle    = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004,
                  San Jose, CA, USA, November 7-11, 2004},
  pages        = {766--773},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1109/ICCAD.2004.1382679},
  doi          = {10.1109/ICCAD.2004.1382679},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HeMW04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ics/LiNMR04,
  author       = {Xianfeng Li and
                  Hemendra Singh Negi and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  editor       = {Paul Feautrier and
                  James R. Goodman and
                  Andr{\'{e}} Seznec},
  title        = {Design space exploration of caches using compressed traces},
  booktitle    = {Proceedings of the 18th Annual International Conference on Supercomputing,
                  {ICS} 2004, Saint Malo, France, June 26 - July 01, 2004},
  pages        = {116--125},
  publisher    = {{ACM}},
  year         = {2004},
  url          = {https://doi.org/10.1145/1006209.1006227},
  doi          = {10.1145/1006209.1006227},
  timestamp    = {Tue, 06 Nov 2018 11:07:02 +0100},
  biburl       = {https://dblp.org/rec/conf/ics/LiNMR04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/rtss/LiRM04,
  author       = {Xianfeng Li and
                  Abhik Roychoudhury and
                  Tulika Mitra},
  title        = {Modeling Out-of-Order Processors for Software Timing Analysis},
  booktitle    = {Proceedings of the 25th {IEEE} Real-Time Systems Symposium {(RTSS}
                  2004), 5-8 December 2004, Lisbon, Portugal},
  pages        = {92--103},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/REAL.2004.33},
  doi          = {10.1109/REAL.2004.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/rtss/LiRM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/codes/NegiMR03,
  author       = {Hemendra Singh Negi and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  editor       = {Rajesh Gupta and
                  Yukihiro Nakamura and
                  Alex Orailoglu and
                  Pai H. Chou},
  title        = {Accurate estimation of cache-related preemption delay},
  booktitle    = {Proceedings of the 1st {IEEE/ACM/IFIP} International Conference on
                  Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2003,
                  Newport Beach, CA, USA, October 1-3, 2003},
  pages        = {201--206},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/944645.944698},
  doi          = {10.1145/944645.944698},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/codes/NegiMR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/LiMR03,
  author       = {Xianfeng Li and
                  Tulika Mitra and
                  Abhik Roychoudhury},
  title        = {Accurate timing analysis by modeling caches, speculation and their
                  interaction},
  booktitle    = {Proceedings of the 40th Design Automation Conference, {DAC} 2003,
                  Anaheim, CA, USA, June 2-6, 2003},
  pages        = {466--471},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/775832.775953},
  doi          = {10.1145/775832.775953},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/LiMR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/RoychoudhuryMK03,
  author       = {Abhik Roychoudhury and
                  Tulika Mitra and
                  S. R. Karri},
  title        = {Using Formal Techniques to Debug the {AMBA} System-on-Chip Bus Protocol},
  booktitle    = {2003 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2003), 3-7 March 2003, Munich, Germany},
  pages        = {10828--10833},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.ieeecomputersociety.org/10.1109/DATE.2003.10104},
  doi          = {10.1109/DATE.2003.10104},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/RoychoudhuryMK03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dcc/MitraC03,
  author       = {Tulika Mitra and
                  Tzi{-}cker Chiueh},
  title        = {Compression-Domain Editing of 3D Models},
  booktitle    = {2003 Data Compression Conference {(DCC} 2003), 25-27 March 2003, Snowbird,
                  UT, {USA}},
  pages        = {343--352},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DCC.2003.1194025},
  doi          = {10.1109/DCC.2003.1194025},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dcc/MitraC03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/LiaoWM03,
  author       = {Jirong Liao and
                  Weng{-}Fai Wong and
                  Tulika Mitra},
  editor       = {Peter Y. K. Cheung and
                  George A. Constantinides and
                  Jos{\'{e}} T. de Sousa},
  title        = {A Model for Hardware Realization of Kernel Loops},
  booktitle    = {Field Programmable Logic and Application, 13th International Conference,
                  {FPL} 2003, Lisbon, Portugal, September 1-3, 2003, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {2778},
  pages        = {334--344},
  publisher    = {Springer},
  year         = {2003},
  url          = {https://doi.org/10.1007/978-3-540-45234-8\_33},
  doi          = {10.1007/978-3-540-45234-8\_33},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpl/LiaoWM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ppopp/GoelRM03,
  author       = {Ankit Goel and
                  Abhik Roychoudhury and
                  Tulika Mitra},
  editor       = {Rudolf Eigenmann and
                  Martin C. Rinard},
  title        = {Compactly representing parallel program executions},
  booktitle    = {Proceedings of the {ACM} {SIGPLAN} Symposium on Principles and Practice
                  of Parallel Programming, {PPOPP} 2003, June 11-13, 2003, San Diego,
                  CA, {USA}},
  pages        = {191--202},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/781498.781530},
  doi          = {10.1145/781498.781530},
  timestamp    = {Sun, 12 Jun 2022 19:46:08 +0200},
  biburl       = {https://dblp.org/rec/conf/ppopp/GoelRM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fccm/MitraC02,
  author       = {Tulika Mitra and
                  Tzi{-}cker Chiueh},
  title        = {An {FPGA} Implementation of Triangle Mesh Decompression},
  booktitle    = {10th {IEEE} Symposium on Field-Programmable Custom Computing Machines
                  {(FCCM} 2002), 22-24 April 2002, Napa, CA, USA, Proceedings},
  pages        = {22},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPGA.2002.1106658},
  doi          = {10.1109/FPGA.2002.1106658},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fccm/MitraC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/GheorghitaWMT02,
  author       = {Stefan Valentin Gheorghita and
                  Weng{-}Fai Wong and
                  Tulika Mitra and
                  Surendranath Talla},
  title        = {A co-simulation study of adaptive {EPIC} computing},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {268--275},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188691},
  doi          = {10.1109/FPT.2002.1188691},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/GheorghitaWMT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icse/RoychoudhuryM02,
  author       = {Abhik Roychoudhury and
                  Tulika Mitra},
  editor       = {Will Tracz and
                  Michal Young and
                  Jeff Magee},
  title        = {Specifying multithreaded Java semantics for program verification},
  booktitle    = {Proceedings of the 24th International Conference on Software Engineering,
                  {ICSE} 2002, 19-25 May 2002, Orlando, Florida, {USA}},
  pages        = {489--499},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/581339.581399},
  doi          = {10.1145/581339.581399},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icse/RoychoudhuryM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipps/MitraC02,
  author       = {Tulika Mitra and
                  Tzi{-}cker Chiueh},
  title        = {Compression-Domain Parallel Rendering},
  booktitle    = {16th International Parallel and Distributed Processing Symposium {(IPDPS}
                  2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts
                  Proceedings},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/IPDPS.2002.1015468},
  doi          = {10.1109/IPDPS.2002.1015468},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ipps/MitraC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isss/RoychoudhuryLM02,
  author       = {Abhik Roychoudhury and
                  Xianfeng Li and
                  Tulika Mitra},
  editor       = {El Mostapha Aboulhamid and
                  Yukihiro Nakamura},
  title        = {Timing Analysis of Embedded Software for Speculative Processors},
  booktitle    = {Proceedings of the 15th International Symposium on System Synthesis
                  {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan},
  pages        = {126--131},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227164},
  doi          = {10.1109/ISSS.2002.1227164},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isss/RoychoudhuryLM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/usenix/YangMC02,
  author       = {Chuan{-}Kai Yang and
                  Tulika Mitra and
                  Tzi{-}cker Chiueh},
  editor       = {Chris G. Demetriou},
  title        = {A Decoupled Architecture for Application-Specific File Prefetching},
  booktitle    = {Proceedings of the {FREENIX} Track: 2002 {USENIX} Annual Technical
                  Conference, June 10-15, 2002, Monterey, California, {USA}},
  pages        = {157--170},
  publisher    = {{USENIX}},
  year         = {2002},
  url          = {http://www.usenix.org/publications/library/proceedings/usenix02/tech/freenix/yang.html},
  timestamp    = {Mon, 01 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/usenix/YangMC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mms/ChiuehMNY00,
  author       = {Tzi{-}cker Chiueh and
                  Tulika Mitra and
                  Anindya Neogi and
                  Chuan{-}Kai Yang},
  title        = {Zodiac: {A} history-based interactive video authoring system},
  journal      = {Multim. Syst.},
  volume       = {8},
  number       = {3},
  pages        = {201--211},
  year         = {2000},
  url          = {https://doi.org/10.1007/s005300000045},
  doi          = {10.1007/S005300000045},
  timestamp    = {Sun, 06 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mms/ChiuehMNY00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icmcs/MitraYC00,
  author       = {Tulika Mitra and
                  Chuan{-}Kai Yang and
                  Tzi{-}cker Chiueh},
  title        = {Application-Specific File Prefetching for Multimedia Programs},
  booktitle    = {2000 {IEEE} International Conference on Multimedia and Expo, {ICME}
                  2000, New York, NY, USA, July 30 - August 2, 2000},
  pages        = {459--462},
  publisher    = {{IEEE} Computer Society},
  year         = {2000},
  url          = {https://doi.org/10.1109/ICME.2000.869638},
  doi          = {10.1109/ICME.2000.869638},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/icmcs/MitraYC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/visualization/YangMC00,
  author       = {Chuan{-}Kai Yang and
                  Tulika Mitra and
                  Tzi{-}cker Chiueh},
  title        = {On-the-Fly rendering of losslessly compressed irregular volume data},
  booktitle    = {11th {IEEE} Visualization Conference, {IEEE} Vis 2000, Salt Lake City,
                  UT, USA, October 8-13, 2000, Proceedings},
  pages        = {101--108},
  publisher    = {{IEEE} Computer Society and {ACM}},
  year         = {2000},
  url          = {https://doi.org/10.1109/VISUAL.2000.885682},
  doi          = {10.1109/VISUAL.2000.885682},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/visualization/YangMC00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/VajapeyamJM99,
  author       = {Sriram Vajapeyam and
                  P. J. Joseph and
                  Tulika Mitra},
  editor       = {Allan Gottlieb and
                  William J. Dally},
  title        = {Dynamic Vectorization: {A} Mechanism for Exploiting Far-Flung {ILP}
                  in Ordinary Programs},
  booktitle    = {Proceedings of the 26th Annual International Symposium on Computer
                  Architecture, {ISCA} 1999, Atlanta, Georgia, USA, May 2-4, 1999},
  pages        = {16--27},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ISCA.1999.765936},
  doi          = {10.1109/ISCA.1999.765936},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/VajapeyamJM99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/MitraC99,
  author       = {Tulika Mitra and
                  Tzi{-}cker Chiueh},
  editor       = {Ronny Ronen and
                  Matthew K. Farrens and
                  Ilan Y. Spillinger},
  title        = {Dynamic 3D Graphics Workload Characterization and the Architectural
                  Implications},
  booktitle    = {Proceedings of the 32nd Annual {IEEE/ACM} International Symposium
                  on Microarchitecture, {MICRO} 32, Haifa, Israel, November 16-18, 1999},
  pages        = {62--71},
  publisher    = {{ACM/IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/MICRO.1999.809444},
  doi          = {10.1109/MICRO.1999.809444},
  timestamp    = {Tue, 31 May 2022 14:39:58 +0200},
  biburl       = {https://dblp.org/rec/conf/micro/MitraC99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/egh/MitraC98,
  author       = {Tulika Mitra and
                  Tzi{-}cker Chiueh},
  editor       = {Arie E. Kaufman and
                  Wolfgang Stra{\ss}er and
                  G{\"{u}}nter Knittel and
                  Hanspeter Pfister and
                  Stephen N. Spencer},
  title        = {A Breadth-First Approach To Efficient Mesh Traversal},
  booktitle    = {Proceedings of the 1998 {ACM} {SIGGRAPH/EUROGRAPHICS} Workshop on
                  Graphics Hardware, Lisbon, Portugal, August 31 - September 1, 1998},
  pages        = {31--37},
  publisher    = {The Eurographics Association},
  year         = {1998},
  url          = {https://doi.org/10.2312/EGGH/EGGH98/031-037},
  doi          = {10.2312/EGGH/EGGH98/031-037},
  timestamp    = {Tue, 06 Nov 2018 11:06:57 +0100},
  biburl       = {https://dblp.org/rec/conf/egh/MitraC98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icpads/MitraC98,
  author       = {Tulika Mitra and
                  Tzi{-}cker Chiueh},
  title        = {Implementation and Evaluation of the Parallel Mesa Library},
  booktitle    = {International Conference on Parallel and Distributed Systems, {ICPADS}
                  '98, Tainan, Taiwan, December 14-16, 1998},
  pages        = {84--91},
  publisher    = {{IEEE} Computer Society},
  year         = {1998},
  url          = {https://doi.org/10.1109/ICPADS.1998.741023},
  doi          = {10.1109/ICPADS.1998.741023},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icpads/MitraC98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mm/ChiuehMNY98,
  author       = {Tzi{-}cker Chiueh and
                  Tulika Mitra and
                  Anindya Neogi and
                  Chuan{-}Kai Yang},
  editor       = {Wolfgang Effelsberg and
                  Brian C. Smith},
  title        = {Zodiac: {A} History-Based Interactive Video Authoring System},
  booktitle    = {Proceedings of the 6th {ACM} International Conference on Multimedia
                  '98, Bristol, England, September 12-16, 1998},
  pages        = {435--444},
  publisher    = {{ACM}},
  year         = {1998},
  url          = {https://doi.org/10.1145/290747.291138},
  doi          = {10.1145/290747.291138},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/mm/ChiuehMNY98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/VajapeyamM97,
  author       = {Sriram Vajapeyam and
                  Tulika Mitra},
  editor       = {Andrew R. Pleszkun and
                  Trevor N. Mudge},
  title        = {Improving Superscalar Instruction Dispatch and Issue by Exploiting
                  Dynamic Code Sequences},
  booktitle    = {Proceedings of the 24th International Symposium on Computer Architecture,
                  Denver, Colorado, USA, June 2-4, 1997},
  pages        = {1--12},
  publisher    = {{ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1145/264107.264119},
  doi          = {10.1145/264107.264119},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/VajapeyamM97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics