Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Ozcan Ozturk 0001
@article{DBLP:journals/tc/BingolAMOA24, author = {Z{\"{u}}lal Bing{\"{o}}l and Mohammed Alser and Onur Mutlu and Ozcan Ozturk and Can Alkan}, title = {GateKeeper-GPU: Fast and Accurate Pre-Alignment Filtering in Short Read Mapping}, journal = {{IEEE} Trans. Computers}, volume = {73}, number = {5}, pages = {1206--1218}, year = {2024}, url = {https://doi.org/10.1109/TC.2024.3365931}, doi = {10.1109/TC.2024.3365931}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BingolAMOA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AhangariOYSAO23, author = {Hamzeh Ahangari and Yusuf Ibrahim Ozkok and Asil Yildirim and Fatih Say and Funda Atik and Ozcan Ozturk}, title = {Architecture for safety-critical transportation systems}, journal = {Microprocess. Microsystems}, volume = {98}, pages = {104818}, year = {2023}, url = {https://doi.org/10.1016/j.micpro.2023.104818}, doi = {10.1016/J.MICPRO.2023.104818}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/AhangariOYSAO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/AhangariOO23, author = {Hamzeh Ahangari and Muhammet Mustafa Ozdal and {\"{O}}zcan {\"{O}}zturk}, title = {HLS-based High-throughput and Work-efficient Synthesizable Graph Processing Template Pipeline}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {2}, pages = {34:1--34:24}, year = {2023}, url = {https://doi.org/10.1145/3529256}, doi = {10.1145/3529256}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/AhangariOO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/TurhanTO23, author = {Tuncer Turhan and Hakan Tekgul and Ozcan Ozturk}, title = {Compiler-Supported Selective Software Fault Tolerance}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2023, Tampa, FL, USA, November 7-9, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSC61021.2023.10354221}, doi = {10.1109/DSC61021.2023.10354221}, timestamp = {Tue, 23 Jan 2024 20:30:56 +0100}, biburl = {https://dblp.org/rec/conf/desec/TurhanTO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/OcalanO23, author = {Burak Ocalan and Ozcan Ozturk}, title = {Utilizing Prefetch Buffers for Iterative Graph Applications}, booktitle = {26th Euromicro Conference on Digital System Design, {DSD} 2023, Golem, Albania, September 6-8, 2023}, pages = {359--365}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DSD60849.2023.00057}, doi = {10.1109/DSD60849.2023.00057}, timestamp = {Tue, 02 Apr 2024 21:06:08 +0200}, biburl = {https://dblp.org/rec/conf/dsd/OcalanO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siu/PekerOYO23, author = {Melih Peker and {\"{O}}zcan {\"{O}}zturk and S{\"{u}}leyman Yildirim and Mahiye Uluyagmur {\"{O}}zt{\"{u}}rk}, title = {Automatic Selection of Compiler Optimizations by Machine Learning}, booktitle = {31st Signal Processing and Communications Applications Conference, {SIU} 2023, Istanbul, Turkey, July 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SIU59756.2023.10223902}, doi = {10.1109/SIU59756.2023.10223902}, timestamp = {Thu, 07 Sep 2023 10:28:21 +0200}, biburl = {https://dblp.org/rec/conf/siu/PekerOYO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06492, author = {Hadjer Benmeziane and Halima Bouzidi and Hamza Ouarnoughi and Ozcan Ozturk and Sma{\"{\i}}l Niar}, title = {Treasure What You Have: Exploiting Similarity in Deep Neural Networks for Efficient Video Processing}, journal = {CoRR}, volume = {abs/2305.06492}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06492}, doi = {10.48550/ARXIV.2305.06492}, eprinttype = {arXiv}, eprint = {2305.06492}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06492.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/OzturkPNM22, author = {Ozcan Ozturk and Sabri Pllana and Sma{\"{\i}}l Niar and Kaoutar El Maghraoui}, title = {Special issue on recent advances in autonomous vehicle solutions in the digital continuum}, journal = {Computing}, volume = {104}, number = {3}, pages = {459--460}, year = {2022}, url = {https://doi.org/10.1007/s00607-021-01024-7}, doi = {10.1007/S00607-021-01024-7}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/OzturkPNM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CicekNOS22, author = {Nihat Mert Cicek and Lin Ning and Ozcan Ozturk and Xipeng Shen}, title = {General Reuse-Centric {CNN} Accelerator}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {4}, pages = {880--891}, year = {2022}, url = {https://doi.org/10.1109/TC.2021.3064608}, doi = {10.1109/TC.2021.3064608}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/CicekNOS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/YesilO22, author = {Serif Yesil and Ozcan Ozturk}, title = {Scheduling for heterogeneous systems in accelerator-rich environments}, journal = {J. Supercomput.}, volume = {78}, number = {1}, pages = {200--221}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-03883-5}, doi = {10.1007/S11227-021-03883-5}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/YesilO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/CicekSO22, author = {Nihat Mert Cicek and Xipeng Shen and Ozcan Ozturk}, title = {Energy Efficient Boosting of {GEMM} Accelerators for {DNN} via Reuse}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {27}, number = {5}, pages = {43:1--43:26}, year = {2022}, url = {https://doi.org/10.1145/3503469}, doi = {10.1145/3503469}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/CicekSO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/DerebasogluKO22, author = {Erdem Derebasoglu and Ismail Kadayif and Ozcan Ozturk}, title = {Coherency Traffic Reduction in Manycore Systems}, booktitle = {25th Euromicro Conference on Digital System Design, {DSD} 2022, Maspalomas, Spain, August 31 - Sept. 2, 2022}, pages = {262--267}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSD57027.2022.00043}, doi = {10.1109/DSD57027.2022.00043}, timestamp = {Mon, 09 Jan 2023 17:07:14 +0100}, biburl = {https://dblp.org/rec/conf/dsd/DerebasogluKO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siu/PulatSYGO22, author = {G{\"{u}}lce Pulat and Aamir Saeed and Mehmetali Semi Yenimol and Utku G{\"{u}}lge{\c{c}} and {\"{O}}zcan {\"{O}}zturk}, title = {{\c{C}}izge Uygulamalar{\i}na {\"{O}}zel {\.{I}}{\c{s}}lemci Tasar{\i}m{\i}}, booktitle = {30th Signal Processing and Communications Applications Conference, {SIU} 2022, Safranbolu, Turkey, May 15-18, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SIU55565.2022.9864699}, doi = {10.1109/SIU55565.2022.9864699}, timestamp = {Wed, 07 Sep 2022 15:02:59 +0200}, biburl = {https://dblp.org/rec/conf/siu/PulatSYGO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/NalciKTO21, author = {Yigitcan Nalci and Pinar Kullu and Suleyman Tosun and Ozcan Ozturk}, title = {{ILP} formulation and heuristic method for energy-aware application mapping on 3D-NoCs}, journal = {J. Supercomput.}, volume = {77}, number = {3}, pages = {2667--2680}, year = {2021}, url = {https://doi.org/10.1007/s11227-020-03365-0}, doi = {10.1007/S11227-020-03365-0}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/NalciKTO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BingolAM0A21, author = {Z{\"{u}}lal Bing{\"{o}}l and Mohammed Alser and Onur Mutlu and Ozcan Ozturk and Can Alkan}, title = {GateKeeper-GPU: Fast and Accurate Pre-Alignment Filtering in Short Read Mapping}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2021, Portland, OR, USA, June 17-21, 2021}, pages = {209}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPDPSW52791.2021.00039}, doi = {10.1109/IPDPSW52791.2021.00039}, timestamp = {Mon, 28 Jun 2021 11:45:26 +0200}, biburl = {https://dblp.org/rec/conf/ipps/BingolAM0A21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-14978, author = {Z{\"{u}}lal Bing{\"{o}}l and Mohammed Alser and Onur Mutlu and Ozcan Ozturk and Can Alkan}, title = {GateKeeper-GPU: Fast and Accurate Pre-Alignment Filtering in Short Read Mapping}, journal = {CoRR}, volume = {abs/2103.14978}, year = {2021}, url = {https://arxiv.org/abs/2103.14978}, eprinttype = {arXiv}, eprint = {2103.14978}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-14978.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/AlouaniAON20, author = {Ihsen Alouani and Hamzeh Ahangari and Ozcan Ozturk and Sma{\"{\i}}l Niar}, title = {Power-efficient reliable register file for aggressive-environment applications}, journal = {{IET} Comput. Digit. Tech.}, volume = {14}, number = {1}, pages = {1--8}, year = {2020}, url = {https://doi.org/10.1049/iet-cdt.2018.5047}, doi = {10.1049/IET-CDT.2018.5047}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/AlouaniAON20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/MustafaORO20, author = {Naveed Ul Mustafa and Martin J. O'Riordan and Stephen Rogers and Ozcan Ozturk}, title = {Exploiting architectural features of a computer vision platform towards reducing memory stalls}, journal = {J. Real Time Image Process.}, volume = {17}, number = {4}, pages = {853--870}, year = {2020}, url = {https://doi.org/10.1007/s11554-018-0830-8}, doi = {10.1007/S11554-018-0830-8}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/MustafaORO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/AhangariAOYAO20, author = {Hamzeh Ahangari and Funda Atik and Yusuf Ibrahim Ozkok and Asil Yildirim and Serdar Oguz Ata and {\"{O}}zcan {\"{O}}zturk}, title = {Analysis of Design Parameters in Safety-Critical Computers}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {8}, number = {3}, pages = {712--723}, year = {2020}, url = {https://doi.org/10.1109/TETC.2018.2801463}, doi = {10.1109/TETC.2018.2801463}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/AhangariAOYAO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/DemirizA020, author = {Ayhan Demiriz and Hamzeh Ahangari and Ozcan Ozturk}, title = {Temperature-Aware Core Mapping for Heterogeneous 3D NoC Design Through Constraint Programming}, booktitle = {28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2020, V{\"{a}}ster{\aa}s, Sweden, March 11-13, 2020}, pages = {312--318}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PDP50117.2020.00054}, doi = {10.1109/PDP50117.2020.00054}, timestamp = {Tue, 19 May 2020 14:16:27 +0200}, biburl = {https://dblp.org/rec/conf/pdp/DemirizA020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/AkturkO19, author = {Ismail Akturk and Ozcan Ozturk}, title = {Adaptive Thread Scheduling in Chip Multiprocessors}, journal = {Int. J. Parallel Program.}, volume = {47}, number = {5-6}, pages = {1014--1044}, year = {2019}, url = {https://doi.org/10.1007/s10766-019-00637-y}, doi = {10.1007/S10766-019-00637-Y}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/AkturkO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intesa/OuarnoughiNGON19, author = {Hamza Ouarnoughi and Mohamed A. Neggaz and Berkay Gulcan and {\"{O}}zcan {\"{O}}zturk and Sma{\"{\i}}l Niar}, editor = {Maurizio Martina and William Fornaciari and Federico Reghenzani}, title = {Hierarchical Platform for Autonomous Driving}, booktitle = {{INTESA} 2019 Proceedings - INTelligent Embedded Systems Architectures and Applications Workshop, New York, NY, USA, October 13-18, 2019}, pages = {7--12}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3372394.3372400}, doi = {10.1145/3372394.3372400}, timestamp = {Tue, 22 Feb 2022 10:00:50 +0100}, biburl = {https://dblp.org/rec/conf/intesa/OuarnoughiNGON19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/OzturkGMB19, author = {Ozcan Ozturk and Ben Glick and Jens Mache and David P. Bunde}, title = {Peachy Parallel Assignments (EduPar 2019)}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2019, Rio de Janeiro, Brazil, May 20-24, 2019}, pages = {342--346}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IPDPSW.2019.00064}, doi = {10.1109/IPDPSW.2019.00064}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ipps/OzturkGMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/AlouaniAON18, author = {Ihsen Alouani and Hamzeh Ahangari and Ozcan Ozturk and Sma{\"{\i}}l Niar}, title = {A Novel Heterogeneous Approximate Multiplier for Low Power and High Performance}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {10}, number = {2}, pages = {45--48}, year = {2018}, url = {https://doi.org/10.1109/LES.2017.2778341}, doi = {10.1109/LES.2017.2778341}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/AlouaniAON18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AyupovYOKBO18, author = {Andrey Ayupov and Serif Yesil and Muhammet Mustafa Ozdal and Taemin Kim and Steven M. Burns and Ozcan Ozturk}, title = {A Template-Based Design Methodology for Graph-Parallel Hardware Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {2}, pages = {420--430}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2706562}, doi = {10.1109/TCAD.2017.2706562}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AyupovYOKBO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SoltaniyehKO18, author = {Mohammadreza Soltaniyeh and Ismail Kadayif and Ozcan Ozturk}, title = {Classifying Data Blocks at Subpage Granularity With an On-Chip Page Table to Improve Coherence in Tiled CMPs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {4}, pages = {806--819}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2729280}, doi = {10.1109/TCAD.2017.2729280}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SoltaniyehKO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AsadOFJ17, author = {Arghavan Asad and Ozcan Ozturk and Mahmood Fathy and Mohammad Reza Jahed{-}Motlagh}, title = {Optimization-based power and thermal management for dark silicon aware 3D chip multiprocessors using heterogeneous cache hierarchy}, journal = {Microprocess. Microsystems}, volume = {51}, pages = {76--98}, year = {2017}, url = {https://doi.org/10.1016/j.micpro.2017.03.011}, doi = {10.1016/J.MICPRO.2017.03.011}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/AsadOFJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OzdalYKAGBO17, author = {Muhammet Mustafa Ozdal and Serif Yesil and Taemin Kim and Andrey Ayupov and John Greth and Steven M. Burns and Ozcan Ozturk}, title = {Graph Analytics Accelerators for Cognitive Systems}, journal = {{IEEE} Micro}, volume = {37}, number = {1}, pages = {42--51}, year = {2017}, url = {https://doi.org/10.1109/MM.2017.7}, doi = {10.1109/MM.2017.7}, timestamp = {Thu, 31 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/OzdalYKAGBO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/OzturkODYK17, author = {{\"{O}}zcan {\"{O}}zturk and Umut Orhan and Wei Ding and Praveen Yedlapalli and Mahmut Taylan Kandemir}, title = {Cache Hierarchy-Aware Query Mapping on Emerging Multicore Architectures}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {3}, pages = {403--415}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2605682}, doi = {10.1109/TC.2016.2605682}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/OzturkODYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/AhangariAON17, author = {Hamzeh Ahangari and Ihsen Alouani and {\"{O}}zcan {\"{O}}zturk and Sma{\"{\i}}l Niar}, title = {Reconfigurable Hardened Latch and Flip-Flop for FPGAs}, booktitle = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017, Bochum, Germany, July 3-5, 2017}, pages = {433--438}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISVLSI.2017.82}, doi = {10.1109/ISVLSI.2017.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/AhangariAON17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/Ozturk17, author = {{\"{O}}zcan {\"{O}}zturk}, title = {Message from the general chair}, booktitle = {2017 {IEEE} International Conference on Microelectronic Systems Education, {MSE} 2017, Lake Louise, AB, Canada, May 11-12, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MSE.2017.7945064}, doi = {10.1109/MSE.2017.7945064}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mse/Ozturk17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/GedikOO16, author = {Bugra Gedik and H. G. {\"{O}}zsema and {\"{O}}zcan {\"{O}}zturk}, title = {Pipelined fission for stream programs with dynamic selectivity and partitioned state}, journal = {J. Parallel Distributed Comput.}, volume = {96}, pages = {106--120}, year = {2016}, url = {https://doi.org/10.1016/j.jpdc.2016.05.003}, doi = {10.1016/J.JPDC.2016.05.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/GedikOO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cd/SoltaniyehKO16, author = {Mohammadreza Soltaniyeh and Ismail Kadayif and {\"{O}}zcan {\"{O}}zturk}, editor = {Gianluca Palermo and John Feo}, title = {Boosting performance of directory-based cache coherence protocols with coherence bypass at subpage granularity and a novel on-chip page table}, booktitle = {Proceedings of the {ACM} International Conference on Computing Frontiers, CF'16, Como, Italy, May 16-19, 2016}, pages = {180--187}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2903150.2903175}, doi = {10.1145/2903150.2903175}, timestamp = {Tue, 06 Nov 2018 11:07:33 +0100}, biburl = {https://dblp.org/rec/conf/cd/SoltaniyehKO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AlouaniAON16, author = {Ihsen Alouani and Hamzeh Ahangari and {\"{O}}zcan {\"{O}}zturk and Sma{\"{\i}}l Niar}, editor = {Paris Kitsos}, title = {{NS-SRAM:} Neighborhood Solidarity {SRAM} for Reliability Enhancement of {SRAM} Memories}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {154--159}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.12}, doi = {10.1109/DSD.2016.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AlouaniAON16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/AhangariAONR16, author = {Hamzeh Ahangari and Ihsen Alouani and {\"{O}}zcan {\"{O}}zturk and Sma{\"{\i}}l Niar and Atika Rivenq}, title = {Register file reliability enhancement through adjacent narrow-width exploitation}, booktitle = {2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, {DTIS} 2016, Istanbul, Turkey, April 12-14, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/DTIS.2016.7483882}, doi = {10.1109/DTIS.2016.7483882}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/dtis/AhangariAONR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/YesilTO16, author = {Serif Yesil and Suleyman Tosun and {\"{O}}zcan {\"{O}}zturk}, title = {{FPGA} implementation of a fault-tolerant application-specific NoC design}, booktitle = {2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, {DTIS} 2016, Istanbul, Turkey, April 12-14, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/DTIS.2016.7483876}, doi = {10.1109/DTIS.2016.7483876}, timestamp = {Thu, 31 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dtis/YesilTO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/MustafaON16, author = {Naveed Ul Mustafa and Ozcan Ozturk and Sma{\"{\i}}l Niar}, title = {Adaptive routing framework for network on chip architectures}, booktitle = {Proceedings of the 2016 Workshop on Rapid Simulation and Performance Evaluation - Methods and Tools, RAPIDO@HiPEAC 2016, Prague, Czech Republic, January 18, 2016}, pages = {5:1--5:5}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2852339.2852344}, doi = {10.1145/2852339.2852344}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hipeac/MustafaON16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt/Ozturk16, author = {Ozcan Ozturk}, title = {Keynote 1: "Analysis of design parameters in safety-critical systems"}, booktitle = {11th International Design {\&} Test Symposium, {IDT} 2016, Hammamet, Tunisia, December 18-20, 2016}, pages = {xi}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IDT.2016.7842998}, doi = {10.1109/IDT.2016.7842998}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/idt/Ozturk16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/OzdalYKAGBO16, author = {Muhammet Mustafa Ozdal and Serif Yesil and Taemin Kim and Andrey Ayupov and John Greth and Steven M. Burns and {\"{O}}zcan {\"{O}}zturk}, title = {Energy Efficient Architecture for Graph Analytics Accelerators}, booktitle = {43rd {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2016, Seoul, South Korea, June 18-22, 2016}, pages = {166--177}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCA.2016.24}, doi = {10.1109/ISCA.2016.24}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/OzdalYKAGBO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/MustafaAOCU16, author = {Naveed Ul Mustafa and Adri{\`{a}} Armejach and {\"{O}}zcan {\"{O}}zturk and Adri{\'{a}}n Cristal and Osman S. Unsal}, editor = {Walid A. Najjar and Andreas Gerstlauer}, title = {Implications of non-volatile memory as primary storage for database management systems}, booktitle = {International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, {SAMOS} 2016, Agios Konstantinos, Samos Island, Greece, July 17-21, 2016}, pages = {164--171}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SAMOS.2016.7818344}, doi = {10.1109/SAMOS.2016.7818344}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/MustafaAOCU16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2016, editor = {Ozcan Ozturk and Kemal Ebcioglu and Mahmut T. Kandemir and Onur Mutlu}, title = {Proceedings of the 2016 International Conference on Supercomputing, {ICS} 2016, Istanbul, Turkey, June 1-3, 2016}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2925426}, isbn = {978-1-4503-4361-9}, timestamp = {Wed, 09 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/OzturkAKT15, author = {{\"{O}}zcan {\"{O}}zturk and Ismail Akturk and Ismail Kadayif and Suleyman Tosun}, title = {Energy reduction in 3D NoCs through communication optimization}, journal = {Computing}, volume = {97}, number = {6}, pages = {593--609}, year = {2015}, url = {https://doi.org/10.1007/s00607-013-0378-1}, doi = {10.1007/S00607-013-0378-1}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/OzturkAKT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TosunAMO15, author = {Suleyman Tosun and Vahid Babaei Ajabshir and Ozge Mercanoglu and {\"{O}}zcan {\"{O}}zturk}, title = {Fault-Tolerant Topology Generation Method for Application-Specific Network-on-Chips}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {9}, pages = {1495--1508}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2413848}, doi = {10.1109/TCAD.2015.2413848}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TosunAMO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/TosunOOO15, author = {Suleyman Tosun and {\"{O}}zcan {\"{O}}zturk and Erencan Ozkan and Meltem Ozen}, title = {Application mapping algorithms for mesh-based network-on-chip architectures}, journal = {J. Supercomput.}, volume = {71}, number = {3}, pages = {995--1017}, year = {2015}, url = {https://doi.org/10.1007/s11227-014-1348-x}, doi = {10.1007/S11227-014-1348-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/TosunOOO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/AsadOFJ15, author = {Arghavan Asad and Ozcan Ozturk and Mahmood Fathy and Mohammad Reza Jahed{-}Motlagh}, title = {Exploiting Heterogeneity in Cache Hierarchy in Dark-Silicon 3D Chip Multi-processors}, booktitle = {2015 Euromicro Conference on Digital System Design, {DSD} 2015, Madeira, Portugal, August 26-28, 2015}, pages = {314--321}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DSD.2015.42}, doi = {10.1109/DSD.2015.42}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/AsadOFJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/OnsoriAOF15, author = {Salman Onsori and Arghavan Asad and {\"{O}}zcan {\"{O}}zturk and Mahmood Fathy}, title = {Hybrid stacked memory architecture for energy efficient embedded chip-multiprocessors based on compiler directed approach}, booktitle = {Sixth International Green and Sustainable Computing Conference, {IGSC} 2015, Las Vegas, NV, USA, December 14-16, 2015}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IGCC.2015.7393714}, doi = {10.1109/IGCC.2015.7393714}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/OnsoriAOF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OzdalYKABO15, author = {Muhammet Mustafa Ozdal and Serif Yesil and Taemin Kim and Andrey Ayupov and Steven M. Burns and Ozcan Ozturk}, editor = {Diana Marculescu and Frank Liu}, title = {Architectural Requirements for Energy Efficient Execution of Graph Analytics Applications}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {676--681}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372635}, doi = {10.1109/ICCAD.2015.7372635}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/OzdalYKABO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YesilOKABO15, author = {Serif Yesil and Muhammet Mustafa Ozdal and Taemin Kim and Andrey Ayupov and Steven M. Burns and Ozcan Ozturk}, editor = {Diana Marculescu and Frank Liu}, title = {Hardware Accelerator Design for Data Centers}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {770--775}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372648}, doi = {10.1109/ICCAD.2015.7372648}, timestamp = {Thu, 31 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/YesilOKABO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/AhangariYOUC15, author = {Hamzeh Ahangari and Gulay Yalcin and Ozcan Ozturk and Osman S. Unsal and Adri{\'{a}}n Cristal}, title = {{JSRAM:} {A} Circuit-Level Technique for Trading-Off Robustness and Capacity in Cache Memories}, booktitle = {2015 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2015, Montpellier, France, July 8-10, 2015}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISVLSI.2015.48}, doi = {10.1109/ISVLSI.2015.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/AhangariYOUC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/asplos/2015, editor = {{\"{O}}zcan {\"{O}}zturk and Kemal Ebcioglu and Sandhya Dwarkadas}, title = {Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2015, Istanbul, Turkey, March 14-18, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2694344}, doi = {10.1145/2694344}, isbn = {978-1-4503-2835-7}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/DemirizBO14, author = {Ayhan Demiriz and Nader Bagherzadeh and {\"{O}}zcan {\"{O}}zturk}, title = {Voltage island based heterogeneous NoC design through constraint programming}, journal = {Comput. Electr. Eng.}, volume = {40}, number = {8}, pages = {307--316}, year = {2014}, url = {https://doi.org/10.1016/j.compeleceng.2014.08.005}, doi = {10.1016/J.COMPELECENG.2014.08.005}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/DemirizBO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/DemirbasAOG14, author = {Dilek Demirbas and Ismail Akturk and {\"{O}}zcan {\"{O}}zturk and Ugur G{\"{u}}d{\"{u}}kbay}, title = {Application-Specific Heterogeneous Network-on-Chip Design}, journal = {Comput. J.}, volume = {57}, number = {8}, pages = {1117--1131}, year = {2014}, url = {https://doi.org/10.1093/comjnl/bxt011}, doi = {10.1093/COMJNL/BXT011}, timestamp = {Thu, 31 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/DemirbasAOG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/TosunAMO14, author = {Suleyman Tosun and Vahid Babaei Ajabshir and Ozge Mercanoglu and {\"{O}}zcan {\"{O}}zturk}, title = {Fault-Tolerant Irregular Topology Design Method for Network-on-Chips}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {631--634}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.13}, doi = {10.1109/DSD.2014.13}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/TosunAMO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/KalenderMO14, author = {Mert Emin Kalender and Cem Mergenci and Ozcan Ozturk}, title = {AutopaR: An Automatic Parallelization Tool for Recursive Calls}, booktitle = {43rd International Conference on Parallel Processing Workshops, {ICPPW} 2014, Minneapolis, MN, USA, September 9-12, 2014}, pages = {159--165}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICPPW.2014.32}, doi = {10.1109/ICPPW.2014.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/KalenderMO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/OrhanDYKO14, author = {Umut Orhan and Wei Ding and Praveen Yedlapalli and Mahmut T. Kandemir and {\"{O}}zcan {\"{O}}zturk}, title = {A cache topology-aware multi-query scheduler for multicore architectures}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {86--87}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983047}, doi = {10.1109/IISWC.2014.6983047}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/OrhanDYKO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AkturkO14, author = {Ismail Akturk and {\"{O}}zcan {\"{O}}zturk}, editor = {Masoud Daneshtalab and Masoumeh Ebrahimi and Maurizio Palesi and Federico Angiolini and Juha Plosila}, title = {Adaptive Compute-phase Prediction and Thread Prioritization to Mitigate Memory Access Latency}, booktitle = {Proceedings of the 2nd International Workshop on Many-core Embedded Systems, MES'2014, in conjunction with the 41st International Symposium on Computer Architecture, ISCA'2014, Minneapolis, MN, USA, June 15, 2014}, pages = {48--51}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2613908.2613919}, doi = {10.1145/2613908.2613919}, timestamp = {Thu, 31 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/AkturkO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/AkturkO13, author = {Ismail Akturk and Ozcan Ozturk}, title = {Reliability-Aware Heterogeneous 3D Chip Multiprocessor Design}, journal = {J. Electron. Test.}, volume = {29}, number = {2}, pages = {177--184}, year = {2013}, url = {https://doi.org/10.1007/s10836-013-5373-0}, doi = {10.1007/S10836-013-5373-0}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/AkturkO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/AlbayrakAO13, author = {Omer Erdil Albayrak and Ismail Akturk and Ozcan Ozturk}, title = {Improving application behavior on heterogeneous manycore systems through kernel mapping}, journal = {Parallel Comput.}, volume = {39}, number = {12}, pages = {867--878}, year = {2013}, url = {https://doi.org/10.1016/j.parco.2013.08.011}, doi = {10.1016/J.PARCO.2013.08.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/AlbayrakAO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/DioufHCOP13, author = {Boubacar Diouf and Can Hantas and Albert Cohen and {\"{O}}zcan {\"{O}}zturk and Jens Palsberg}, title = {A decoupled local memory allocator}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {9}, number = {4}, pages = {34:1--34:22}, year = {2013}, url = {https://doi.org/10.1145/2400682.2400693}, doi = {10.1145/2400682.2400693}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/DioufHCOP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/OzturkKC13, author = {Ozcan Ozturk and Mahmut T. Kandemir and Guangyu Chen}, title = {Compiler-Directed Energy Reduction Using Dynamic Voltage Scaling and Voltage Islands for Embedded Systems}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {2}, pages = {268--278}, year = {2013}, url = {https://doi.org/10.1109/TC.2011.229}, doi = {10.1109/TC.2011.229}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/OzturkKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/KadayifTKO13, author = {Ismail Kadayif and Mahir Turkcan and Seher Kiziltepe and Ozcan Ozturk}, title = {Hardware/software approaches for reducing the process variation impact on instruction fetches}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {4}, pages = {54:1--54:23}, year = {2013}, url = {https://doi.org/10.1145/2489778}, doi = {10.1145/2489778}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/KadayifTKO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/AkturkO13, author = {Ismail Akturk and Ozcan Ozturk}, title = {ILP-Based Communication Reduction for Heterogeneous 3D Network-on-Chips}, booktitle = {21st Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2013, Belfast, United Kingdom, February 27 - March 1, 2013}, pages = {514--518}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PDP.2013.83}, doi = {10.1109/PDP.2013.83}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/AkturkO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/EzeON13, author = {Melvin Eze and Ozcan Ozturk and Vijaykrishnan Narayanan}, editor = {Martin Margala and Ricardo Augusto da Luz Reis and Alex Orailoglu and Luigi Carro and Lu{\'{\i}}s Miguel Silveira and H. Fatih Ugurdag}, title = {Staggered latch bus: {A} reliable offset switched architecture for long on-chip interconnect}, booktitle = {21st {IEEE/IFIP} International Conference on {VLSI} and System-on-Chip, VLSI-SoC 2013, Istanbul, Turkey, October 7-9, 2013}, pages = {296--301}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLSI-SoC.2013.6673296}, doi = {10.1109/VLSI-SOC.2013.6673296}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/EzeON13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/AlbayrakAO12, author = {Omer Erdil Albayrak and Ismail Akturk and Ozcan Ozturk}, title = {Effective Kernel Mapping for OpenCL Applications in Heterogeneous Platforms}, booktitle = {41st International Conference on Parallel Processing Workshops, {ICPPW} 2012, Pittsburgh, PA, USA, September 10-13, 2012}, pages = {81--88}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPPW.2012.14}, doi = {10.1109/ICPPW.2012.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/AlbayrakAO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cl/Ozturk11, author = {Ozcan Ozturk}, title = {Reducing memory space consumption through dataflow analysis}, journal = {Comput. Lang. Syst. Struct.}, volume = {37}, number = {4}, pages = {168--177}, year = {2011}, url = {https://doi.org/10.1016/j.cl.2011.07.001}, doi = {10.1016/J.CL.2011.07.001}, timestamp = {Tue, 11 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cl/Ozturk11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Ozturk11, author = {Ozcan Ozturk}, title = {Data locality and parallelism optimization using a constraint-based approach}, journal = {J. Parallel Distributed Comput.}, volume = {71}, number = {2}, pages = {280--287}, year = {2011}, url = {https://doi.org/10.1016/j.jpdc.2010.08.005}, doi = {10.1016/J.JPDC.2010.08.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/Ozturk11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/Ozturk11, author = {Ozcan Ozturk}, title = {Multicore Education Through Simulation}, journal = {{IEEE} Trans. Educ.}, volume = {54}, number = {2}, pages = {203--209}, year = {2011}, url = {https://doi.org/10.1109/TE.2010.2102027}, doi = {10.1109/TE.2010.2102027}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/Ozturk11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/Ozturk10, author = {Ozcan Ozturk}, title = {Improving chip multiprocessor reliability through code replication}, journal = {Comput. Electr. Eng.}, volume = {36}, number = {3}, pages = {480--490}, year = {2010}, url = {https://doi.org/10.1016/j.compeleceng.2009.11.004}, doi = {10.1016/J.COMPELECENG.2009.11.004}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/Ozturk10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/OzturkKI10, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin}, title = {On-chip memory space partitioning for chip multiprocessors using polyhedral algebra}, journal = {{IET} Comput. Digit. Tech.}, volume = {4}, number = {6}, pages = {484--498}, year = {2010}, url = {https://doi.org/10.1049/iet-cdt.2009.0089}, doi = {10.1049/IET-CDT.2009.0089}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/OzturkKI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/YemlihaKOKM10, author = {Taylan Yemliha and Mahmut T. Kandemir and Ozcan Ozturk and Emre Kultursay and Sai Prashanth Muralidhara}, editor = {Pasqua D'Ambra and Mario Rosario Guarracino and Domenico Talia}, title = {Code Scheduling for Optimizing Parallelism and Data Locality}, booktitle = {Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference, Ischia, Italy, August 31 - September 3, 2010, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6271}, pages = {204--216}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15277-1\_20}, doi = {10.1007/978-3-642-15277-1\_20}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/YemlihaKOKM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/OzturkKIN10, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin and Sri Hari Krishna Narayanan}, editor = {Jaejin Lee and Bruce R. Childers}, title = {Compiler directed network-on-chip reliability enhancement for chip multiprocessors}, booktitle = {Proceedings of the {ACM} {SIGPLAN/SIGBED} 2010 conference on Languages, compilers, and tools for embedded systems, {LCTES} 2010, Stockholm, Sweden, April 13-15, 2010}, pages = {85--94}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1755888.1755902}, doi = {10.1145/1755888.1755902}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/OzturkKIN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/OzturkKSK09, author = {Ozcan Ozturk and Mahmut T. Kandemir and Seung Woo Son and Ibrahim Kolcu}, title = {Shared scratch pad memory space management across applications}, journal = {Int. J. Embed. Syst.}, volume = {4}, number = {1}, pages = {54--65}, year = {2009}, url = {https://doi.org/10.1504/IJES.2009.027240}, doi = {10.1504/IJES.2009.027240}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/OzturkKSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/OzturkKI09, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin}, title = {Using Data Compression for Increasing Memory System Utilization}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {28}, number = {6}, pages = {901--914}, year = {2009}, url = {https://doi.org/10.1109/TCAD.2009.2017430}, doi = {10.1109/TCAD.2009.2017430}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/OzturkKI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/KandemirZMON09, author = {Mahmut T. Kandemir and Yuanrui Zhang and Sai Prashanth Muralidhara and Ozcan Ozturk and Sri Hari Krishna Narayanan}, editor = {J{\"{o}}rg Henkel and Sri Parameswaran}, title = {Slicing based code parallelization for minimizing inter-processor communication}, booktitle = {Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2009, Grenoble, France, October 11-16, 2009}, pages = {87--96}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629395.1629409}, doi = {10.1145/1629395.1629409}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/KandemirZMON09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KandemirOM09, author = {Mahmut T. Kandemir and Ozcan Ozturk and Sai Prashanth Muralidhara}, title = {Dynamic thread and data mapping for NoC based CMPs}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {852--857}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1630129}, doi = {10.1145/1629911.1630129}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KandemirOM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KandemirZO09, author = {Mahmut T. Kandemir and Yuanrui Zhang and Ozcan Ozturk}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Adaptive prefetching for shared cache based chip multiprocessors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {773--778}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090768}, doi = {10.1109/DATE.2009.5090768}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/KandemirZO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HongNKO09, author = {Shengyan Hong and Sri Hari Krishna Narayanan and Mahmut T. Kandemir and Ozcan Ozturk}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Process variation aware thread mapping for Chip Multiprocessors}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {821--826}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090776}, doi = {10.1109/DATE.2009.5090776}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HongNKO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OzturkK09, author = {Ozcan Ozturk and Mahmut T. Kandemir}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Using dynamic compilation for continuing execution under reduced memory availability}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1373--1378}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090878}, doi = {10.1109/DATE.2009.5090878}, timestamp = {Wed, 09 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/OzturkK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/DioufOC09, author = {Boubacar Diouf and Ozcan Ozturk and Albert Cohen}, editor = {Guang R. Gao and Lori L. Pollock and John Cavazos and Xiaoming Li}, title = {Optimizing Local Memory Allocation and Assignment through a Decoupled Approach}, booktitle = {Languages and Compilers for Parallel Computing, 22nd International Workshop, {LCPC} 2009, Newark, DE, USA, October 8-10, 2009, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5898}, pages = {408--415}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-13374-9\_29}, doi = {10.1007/978-3-642-13374-9\_29}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/DioufOC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KandemirMNZO09, author = {Mahmut T. Kandemir and Sai Prashanth Muralidhara and Sri Hari Krishna Narayanan and Yuanrui Zhang and Ozcan Ozturk}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Optimizing shared cache behavior of chip multiprocessors}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {505--516}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669176}, doi = {10.1145/1669112.1669176}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KandemirMNZO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/Ozturk09, author = {Ozcan Ozturk}, title = {Multicore education through simulation}, booktitle = {{IEEE} International Conference on Microelectronic Systems Education, {MSE} '09, San Francisco, CA, USA, July 25-27, 2009}, pages = {9--11}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MSE.2009.5270841}, doi = {10.1109/MSE.2009.5270841}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/Ozturk09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/OzturkK08, author = {Ozcan Ozturk and Mahmut T. Kandemir}, title = {ILP-Based energy minimization techniques for banked memories}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {3}, pages = {50:1--50:40}, year = {2008}, url = {https://doi.org/10.1145/1367045.1367059}, doi = {10.1145/1367045.1367059}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/OzturkK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/OzturkKC08, author = {Ozcan Ozturk and Mahmut T. Kandemir and Guangyu Chen}, title = {Access pattern-based code compression for memory-constrained systems}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {4}, pages = {60:1--60:30}, year = {2008}, url = {https://doi.org/10.1145/1391962.1391968}, doi = {10.1145/1391962.1391968}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/OzturkKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/SonMOKKK08, author = {Seung Woo Son and Sai Prashanth Muralidhara and Ozcan Ozturk and Mahmut T. Kandemir and Ibrahim Kolcu and Mustafa Karak{\"{o}}y}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {Profiler and compiler assisted adaptive {I/O} prefetching for shared storage caches}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {112--121}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454133}, doi = {10.1145/1454115.1454133}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/SonMOKKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YemlihaSKO08, author = {Taylan Yemliha and Shekhar Srikantaiah and Mahmut T. Kandemir and Ozcan Ozturk}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{SPM} management using Markov chain based data access prediction}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {565--569}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681632}, doi = {10.1109/ICCAD.2008.4681632}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YemlihaSKO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/OzturkKN08, author = {Ozcan Ozturk and Mahmut T. Kandemir and Sri Hari Krishna Narayanan}, title = {A Scratch-Pad Memory Aware Dynamic Loop Scheduling Algorithm}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {738--743}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479830}, doi = {10.1109/ISQED.2008.4479830}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/OzturkKN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/OzturkSKK08, author = {Ozcan Ozturk and Seung Woo Son and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, title = {Prefetch throttling and data pinning for improving performance of shared caches}, booktitle = {Proceedings of the {ACM/IEEE} Conference on High Performance Computing, {SC} 2008, November 15-21, 2008, Austin, Texas, {USA}}, pages = {59}, publisher = {{IEEE/ACM}}, year = {2008}, url = {https://doi.org/10.1109/SC.2008.5213128}, doi = {10.1109/SC.2008.5213128}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/sc/OzturkSKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/KandemirO08, author = {Mahmut T. Kandemir and Ozcan Ozturk}, editor = {Zhen Liu and Vishal Misra and Prashant J. Shenoy}, title = {Software-directed combined cpu/link voltage scaling fornoc-based cmps}, booktitle = {Proceedings of the 2008 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2008, Annapolis, MD, USA, June 2-6, 2008}, pages = {359--370}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375457.1375498}, doi = {10.1145/1375457.1375498}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/KandemirO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SonCOKC07, author = {Seung Woo Son and Guangyu Chen and Ozcan Ozturk and Mahmut T. Kandemir and Alok N. Choudhary}, title = {Compiler-Directed Energy Optimization for Parallel Disk Based Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {9}, pages = {1241--1257}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.1056}, doi = {10.1109/TPDS.2007.1056}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/SonCOKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/OzturkCKK07, author = {Ozcan Ozturk and Guilin Chen and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, title = {Compiler-Directed Variable Latency Aware {SPM} Management to CopeWith Timing Problems}, booktitle = {Fifth International Symposium on Code Generation and Optimization {(CGO} 2007), 11-14 March 2007, San Jose, California, {USA}}, pages = {232--243}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CGO.2007.6}, doi = {10.1109/CGO.2007.6}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/OzturkCKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KocKEO07, author = {Hakduran Koc and Mahmut T. Kandemir and Ehat Ercanli and Ozcan Ozturk}, title = {Reducing Off-Chip Memory Access Costs Using Data Recomputation in Embedded Chip Multi-processors}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {224--229}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278535}, doi = {10.1145/1278480.1278535}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KocKEO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XueOK07, author = {Liping Xue and Ozcan Ozturk and Mahmut T. Kandemir}, title = {A Memory-Conscious Code Parallelization Scheme}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, pages = {230--233}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1145/1278480.1278536}, doi = {10.1145/1278480.1278536}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XueOK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KandemirYSO07, author = {Mahmut T. Kandemir and Taylan Yemliha and Seung Woo Son and Ozcan Ozturk}, editor = {Rudy Lauwereins and Jan Madsen}, title = {Memory bank aware dynamic loop scheduling}, booktitle = {2007 Design, Automation and Test in Europe Conference and Exposition, {DATE} 2007, Nice, France, April 16-20, 2007}, pages = {1671--1676}, publisher = {{EDA} Consortium, San Jose, CA, {USA}}, year = {2007}, url = {https://dl.acm.org/citation.cfm?id=1266733}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/KandemirYSO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/OzturkKS07, author = {Ozcan Ozturk and Mahmut T. Kandemir and Seung Woo Son}, editor = {Diana Marculescu and Anand Raghunathan and Ali Keshavarzi and Vijaykrishnan Narayanan}, title = {An ilp based approach to reducing energy consumption in nocbased {CMPS}}, booktitle = {Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007}, pages = {411--414}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1283780.1283871}, doi = {10.1145/1283780.1283871}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/OzturkKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/LiCKOKRV07, author = {Feihui Li and Guilin Chen and Mahmut T. Kandemir and Ozcan Ozturk and Mustafa Karak{\"{o}}y and Rajaraman Ramanarayanan and Balaji Vaidyanathan}, title = {A Process Scheduler-Based Approach to NoC Power Management}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {77--82}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.23}, doi = {10.1109/VLSID.2007.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/LiCKOKRV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/YemlihaCOKD07, author = {Taylan Yemliha and Guangyu Chen and Ozcan Ozturk and Mahmut T. Kandemir and Vijay Degalahal}, title = {Compiler-Directed Code Restructuring for Operating with Compressed Arrays}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {221--226}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.50}, doi = {10.1109/VLSID.2007.50}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/YemlihaCOKD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/KandemirOD07, author = {Mahmut T. Kandemir and Ozcan Ozturk and Vijay Degalahal}, title = {Enhancing Locality in Two-Dimensional Space through Integrated Computation and Data Mappings}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {227--232}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.77}, doi = {10.1109/VLSID.2007.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/KandemirOD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/XueKCLORV07, author = {Liping Xue and Mahmut T. Kandemir and Guilin Chen and Feihui Li and Ozcan Ozturk and Rajaraman Ramanarayanan and Balaji Vaidyanathan}, title = {Locality-Aware Distributed Loop Scheduling for Chip Multiprocessors}, booktitle = {20th International Conference on {VLSI} Design {(VLSI} Design 2007), Sixth International Conference on Embedded Systems {(ICES} 2007), 6-10 January 2007, Bangalore, India}, pages = {251--258}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/VLSID.2007.97}, doi = {10.1109/VLSID.2007.97}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/XueKCLORV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4799, author = {Ozcan Ozturk and Hendra Saputra and Mahmut T. Kandemir and Ibrahim Kolcu}, title = {Access Pattern-Based Code Compression for Memory-Constrained Embedded Systems}, journal = {CoRR}, volume = {abs/0710.4799}, year = {2007}, url = {http://arxiv.org/abs/0710.4799}, eprinttype = {arXiv}, eprint = {0710.4799}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4799.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OzturkWKX06, author = {Ozcan Ozturk and Feng Wang and Mahmut T. Kandemir and Yuan Xie}, editor = {Fumiyasu Hirose}, title = {Optimal topology exploration for application-specific 3D architectures}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {390--395}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594714}, doi = {10.1109/ASPDAC.2006.1594714}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/OzturkWKX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OzturkCKK06, author = {Ozcan Ozturk and Guangyu Chen and Mahmut T. Kandemir and Ibrahim Kolcu}, editor = {Fumiyasu Hirose}, title = {Compiler-Guided data compression for reducing memory consumption of embedded applications}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {814--819}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594786}, doi = {10.1109/ASPDAC.2006.1594786}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/OzturkCKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/OzturkCK06, author = {Ozcan Ozturk and Guangyu Chen and Mahmut T. Kandemir}, title = {Multi-compilation: capturing interactions among concurrently-executing applications}, booktitle = {Proceedings of the Third Conference on Computing Frontiers, 2006, Ischia, Italy, May 3-5, 2006}, pages = {157--170}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1128022.1128043}, doi = {10.1145/1128022.1128043}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/OzturkCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OzturkCK06, author = {Ozcan Ozturk and Guilin Chen and Mahmut T. Kandemir}, editor = {Ellen Sentovich}, title = {Optimizing code parallelization through a constraint network based approach}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {863--688}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147083}, doi = {10.1145/1146909.1147083}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OzturkCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XueOLKK06, author = {Liping Xue and Ozcan Ozturk and Feihui Li and Mahmut T. Kandemir and Ibrahim Kolcu}, editor = {Georges G. E. Gielen}, title = {Dynamic partitioning of processing and memory resources in embedded MPSoC architectures}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {690--695}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244044}, doi = {10.1109/DATE.2006.244044}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XueOLKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenOKK06, author = {Guilin Chen and Ozcan Ozturk and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, editor = {Georges G. E. Gielen}, title = {Dynamic scratch-pad memory management for irregular array access patterns}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {931--936}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243810}, doi = {10.1109/DATE.2006.243810}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenOKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/OzturkKT06, author = {Ozcan Ozturk and Mahmut T. Kandemir and Suleyman Tosun}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {An {ILP} based approach to address code generation for digital signal processors}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {37--42}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1127919}, doi = {10.1145/1127908.1127919}, timestamp = {Wed, 16 Aug 2023 21:16:32 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/OzturkKT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/OzturkKSK06, author = {Ozcan Ozturk and Mahmut T. Kandemir and Seung Woo Son and Mustafa Karak{\"{o}}y}, editor = {Gang Qu and Yehea I. Ismail and Narayanan Vijaykrishnan and Hai Zhou}, title = {Selective code/data migration for reducing communication energy in embedded MpSoC architectures}, booktitle = {Proceedings of the 16th {ACM} Great Lakes Symposium on {VLSI} 2006, Philadelphia, PA, USA, April 30 - May 1, 2006}, pages = {386--391}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1127908.1127997}, doi = {10.1145/1127908.1127997}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/OzturkKSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OzturkCKK06, author = {Ozcan Ozturk and G. Chen and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, editor = {Soha Hassoun}, title = {Cache miss clustering for banked memory systems}, booktitle = {2006 International Conference on Computer-Aided Design, {ICCAD} 2006, San Jose, CA, USA, November 5-9, 2006}, pages = {244--250}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1233501.1233550}, doi = {10.1145/1233501.1233550}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OzturkCKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/OzturkKIT06, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin and Suleyman Tosun}, title = {Multi-Level On-Chip Memory Hierarchy Design for Embedded Chip Multiprocessors}, booktitle = {12th International Conference on Parallel and Distributed Systems, {ICPADS} 2006, Minneapolis, Minnesota, USA, July 12-15, 2006}, pages = {383--390}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPADS.2006.66}, doi = {10.1109/ICPADS.2006.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/OzturkKIT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscis/TosunMKO06, author = {Suleyman Tosun and Nazanin Mansouri and Mahmut T. Kandemir and Ozcan Ozturk}, editor = {Albert Levi and Erkay Savas and H{\"{u}}sn{\"{u}} Yenig{\"{u}}n and Selim Balcisoy and Y{\"{u}}cel Saygin}, title = {An {ILP} Formulation for Task Scheduling on Heterogeneous Chip Multiprocessors}, booktitle = {Computer and Information Sciences - {ISCIS} 2006, 21th International Symposium, Istanbul, Turkey, November 1-3, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4263}, pages = {267--276}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11902140\_30}, doi = {10.1007/11902140\_30}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iscis/TosunMKO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KocOKNE06, author = {Hakduran Koc and Ozcan Ozturk and Mahmut T. Kandemir and Sri Hari Krishna Narayanan and Ehat Ercanli}, editor = {Wolfgang Nebel and Mircea R. Stan and Anand Raghunathan and J{\"{o}}rg Henkel and Diana Marculescu}, title = {Minimizing energy consumption of banked memories using data recomputation}, booktitle = {Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006, Tegernsee, Bavaria, Germany, October 4-6, 2006}, pages = {358--362}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1165573.1165658}, doi = {10.1145/1165573.1165658}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/KocOKNE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/OzturkK06, author = {Ozcan Ozturk and Mahmut T. Kandemir}, title = {Data Replication in Banked DRAMs for Reducing Energy Consumption}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {551--556}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.43}, doi = {10.1109/ISQED.2006.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/OzturkK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/NarayananKO06, author = {Sri Hari Krishna Narayanan and Mahmut T. Kandemir and Ozcan Ozturk}, title = {Compiler-Directed Power Density Reduction in NoC-Based Multi-Core Designs}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {570--575}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.36}, doi = {10.1109/ISQED.2006.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/NarayananKO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/OzturkKK06, author = {Ozcan Ozturk and Mahmut T. Kandemir and Ibrahim Kolcu}, title = {Shared Scratch-Pad Memory Space Management}, booktitle = {7th International Symposium on Quality of Electronic Design {(ISQED} 2006), 27-29 March 2006, San Jose, CA, {USA}}, pages = {576--584}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISQED.2006.115}, doi = {10.1109/ISQED.2006.115}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/OzturkKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/OzturkCKK06, author = {Ozcan Ozturk and G. Chen and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, title = {An Integer Linear Programming Based Approach to Simultaneous Memory Space Partitioning and Data Allocation for Chip Multiprocessors}, booktitle = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2006), 2-3 March 2006, Karlsruhe, Germany}, pages = {50--58}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISVLSI.2006.22}, doi = {10.1109/ISVLSI.2006.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/OzturkCKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChenLOCKK06, author = {Guangyu Chen and Feihui Li and Ozcan Ozturk and Guilin Chen and Mahmut T. Kandemir and Ibrahim Kolcu}, title = {Leakage-Aware {SPM} Management}, booktitle = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2006), 2-3 March 2006, Karlsruhe, Germany}, pages = {393--398}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISVLSI.2006.58}, doi = {10.1109/ISVLSI.2006.58}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChenLOCKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/KocTOK06, author = {Hakduran Koc and Suleyman Tosun and Ozcan Ozturk and Mahmut T. Kandemir}, title = {Reducing Memory Requirements through Task Recomputation in Embedded Multi-CPU Systems}, booktitle = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2006), 2-3 March 2006, Karlsruhe, Germany}, pages = {448--449}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISVLSI.2006.77}, doi = {10.1109/ISVLSI.2006.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/KocTOK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChenLKOD06, author = {Guangyu Chen and Feihui Li and Mahmut T. Kandemir and Ozcan Ozturk and I. Demirkiran}, title = {Compiler-Directed Management of Leakage Power in Software-Managed Memories}, booktitle = {2006 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2006), 2-3 March 2006, Karlsruhe, Germany}, pages = {450--451}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISVLSI.2006.29}, doi = {10.1109/ISVLSI.2006.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChenLKOD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChenOCK06, author = {Guilin Chen and Ozcan Ozturk and Guangyu Chen and Mahmut T. Kandemir}, title = {Energy-Aware Code Replication for Improving Reliability in Embedded Chip Multiprocessors}, booktitle = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September 24-27, 2006}, pages = {77--78}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SOCC.2006.283848}, doi = {10.1109/SOCC.2006.283848}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChenOCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KadayifKCOKS05, author = {Ismail Kadayif and Mahmut T. Kandemir and Guilin Chen and Ozcan Ozturk and Mustafa Karak{\"{o}}y and Ugur Sezer}, title = {Optimizing Array-Intensive Applications for On-Chip Multiprocessors}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {16}, number = {5}, pages = {396--411}, year = {2005}, url = {https://doi.org/10.1109/TPDS.2005.57}, doi = {10.1109/TPDS.2005.57}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KadayifKCOKS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OzturkKCIK05, author = {Ozcan Ozturk and Mahmut T. Kandemir and G. Chen and Mary Jane Irwin and Mustafa Karak{\"{o}}y}, editor = {Tingao Tang}, title = {Customized on-chip memories for embedded chip multiprocessors}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {743--748}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1121008}, doi = {10.1145/1120725.1121008}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/OzturkKCIK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/OzturkKI05, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin}, editor = {Petru Eles and Axel Jantsch and Reinaldo A. Bergamaschi}, title = {Increasing on-chip memory space utilization for embedded chip multiprocessors through data compression}, booktitle = {Proceedings of the 3rd {IEEE/ACM/IFIP} International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2005, Jersey City, NJ, USA, September 19-21, 2005}, pages = {87--92}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1084834.1084860}, doi = {10.1145/1084834.1084860}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/OzturkKI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MemikKO05, author = {Gokhan Memik and Mahmut T. Kandemir and Ozcan Ozturk}, title = {Increasing Register File Immunity to Transient Errors}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {586--591}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.181}, doi = {10.1109/DATE.2005.181}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MemikKO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OzturkK05, author = {Ozcan Ozturk and Mahmut T. Kandemir}, title = {Nonuniform Banking for Reducing Memory Energy Consumption}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {814--819}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.225}, doi = {10.1109/DATE.2005.225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OzturkK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OzturkSKK05, author = {Ozcan Ozturk and Hendra Saputra and Mahmut T. Kandemir and Ibrahim Kolcu}, title = {Access Pattern-Based Code Compression for Memory-Constrained Embedded Systems}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {882--887}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.46}, doi = {10.1109/DATE.2005.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OzturkSKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KandemirLCCO05, author = {Mahmut T. Kandemir and Feihui Li and Guilin Chen and Guangyu Chen and Ozcan Ozturk}, title = {Studying Storage-Recomputation Tradeoffs in Memory-Constrained Embedded Processing}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {1026--1031}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.285}, doi = {10.1109/DATE.2005.285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KandemirLCCO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OzturkKI05, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin}, title = {{BB-GC:} Basic-Block Level Garbage Collection}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {1032--1037}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.80}, doi = {10.1109/DATE.2005.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OzturkKI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/OzturkK05, author = {Ozcan Ozturk and Mahmut T. Kandemir}, editor = {John C. Lach and Gang Qu and Yehea I. Ismail}, title = {Integer linear programming based energy optimization for banked DRAMs}, booktitle = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005, Chicago, Illinois, USA, April 17-19, 2005}, pages = {92--95}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1057661.1057685}, doi = {10.1145/1057661.1057685}, timestamp = {Wed, 15 Dec 2021 17:59:57 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/OzturkK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/OzturkK05a, author = {Ozcan Ozturk and Mahmut T. Kandemir}, editor = {John C. Lach and Gang Qu and Yehea I. Ismail}, title = {Energy management in software-controlled multi-level memory hierarchies}, booktitle = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005, Chicago, Illinois, USA, April 17-19, 2005}, pages = {270--275}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1057661.1057727}, doi = {10.1145/1057661.1057727}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/OzturkK05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/OzturkKI05, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin}, editor = {John C. Lach and Gang Qu and Yehea I. Ismail}, title = {Using data compression in an MPSoC architecture for improving performance}, booktitle = {Proceedings of the 15th {ACM} Great Lakes Symposium on {VLSI} 2005, Chicago, Illinois, USA, April 17-19, 2005}, pages = {353--356}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1057661.1057746}, doi = {10.1145/1057661.1057746}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/OzturkKI05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenOKK05, author = {Guilin Chen and Ozcan Ozturk and Mahmut T. Kandemir and Ibrahim Kolcu}, title = {Integrating loop and data optimizations for locality within a constraint network based framework}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {279--282}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560078}, doi = {10.1109/ICCAD.2005.1560078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenOKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/TosunOMAKXH05, author = {Suleyman Tosun and Ozcan Ozturk and Nazanin Mansouri and Ercument Arvas and Mahmut T. Kandemir and Yuan Xie and Wei{-}Lun Hung}, title = {An {ILP} Formulation for Reliability-Oriented High-Level Synthesis}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {364--369}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.15}, doi = {10.1109/ISQED.2005.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/TosunOMAKXH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ChenCOK05, author = {Guilin Chen and Guangyu Chen and Ozcan Ozturk and Mahmut T. Kandemir}, title = {Exploiting Inter-Processor Data Sharing for Improving Behavior of Multi-Processor SoCs}, booktitle = {2005 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2005), New Frontiers in {VLSI} Design, 11-12 May 2005, Tampa, FL, {USA}}, pages = {90--95}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISVLSI.2005.32}, doi = {10.1109/ISVLSI.2005.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ChenCOK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/SaputraOVKB05, author = {Hendra Saputra and Ozcan Ozturk and Narayanan Vijaykrishnan and Mahmut T. Kandemir and Richard R. Brooks}, title = {A Data-Driven Approach for Embedded Security}, booktitle = {2005 {IEEE} Computer Society Annual Symposium on {VLSI} {(ISVLSI} 2005), New Frontiers in {VLSI} Design, 11-12 May 2005, Tampa, FL, {USA}}, pages = {104--109}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISVLSI.2005.4}, doi = {10.1109/ISVLSI.2005.4}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/SaputraOVKB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/ChenCOK05, author = {Guilin Chen and Guangyu Chen and Ozcan Ozturk and Mahmut T. Kandemir}, title = {An Adaptive Locality-Conscious Process Scheduler for Embedded Systems}, booktitle = {11th {IEEE} Real-Time and Embedded Technology and Applications Symposium {(RTAS} 2005), 7-10 March 2005, San Francisco, CA, {USA}}, pages = {354--364}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RTAS.2005.6}, doi = {10.1109/RTAS.2005.6}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/ChenCOK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/TosunMKO05, author = {Suleyman Tosun and Nazanin Mansouri and Mahmut T. Kandemir and {\"{O}}zcan {\"{O}}zturk}, title = {Constraint-based Code mapping for heterogeneous Chip multiprocessors}, booktitle = {Proceedings 2005 {IEEE} International {SOC} Conference, September 25-28, 2005, Washington Dulles Airport, Herndon, VA, {USA}}, pages = {89--90}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/SOCC.2005.1554464}, doi = {10.1109/SOCC.2005.1554464}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/TosunMKO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/NarayananOKK05, author = {Sri Hari Krishna Narayanan and {\"{O}}zcan {\"{O}}zturk and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, title = {Workload Clustering for Increasing Energy Savings on Embedded MPSoCs}, booktitle = {Proceedings 2005 {IEEE} International {SOC} Conference, September 25-28, 2005, Washington Dulles Airport, Herndon, VA, {USA}}, pages = {155--160}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/SOCC.2005.1554485}, doi = {10.1109/SOCC.2005.1554485}, timestamp = {Wed, 09 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/NarayananOKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/OzturkKIT05, author = {{\"{O}}zcan {\"{O}}zturk and Mahmut T. Kandemir and Mary Jane Irwin and Suleyman Tosun}, title = {On-Chip Memory Management for Embedded MpSoC Architectures Based on Data Compression}, booktitle = {Proceedings 2005 {IEEE} International {SOC} Conference, September 25-28, 2005, Washington Dulles Airport, Herndon, VA, {USA}}, pages = {175--178}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/SOCC.2005.1554489}, doi = {10.1109/SOCC.2005.1554489}, timestamp = {Wed, 09 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/OzturkKIT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/KandemirOK04, author = {Mahmut T. Kandemir and Ozcan Ozturk and Mustafa Karak{\"{o}}y}, editor = {Mary Jane Irwin and Wei Zhao and Luciano Lavagno and Scott A. Mahlke}, title = {Dynamic on-chip memory management for chip multiprocessors}, booktitle = {Proceedings of the 2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2004, Washington DC, USA, September 22 - 25, 2004}, pages = {14--23}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1023833.1023838}, doi = {10.1145/1023833.1023838}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/KandemirOK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OzturkKDCI04, author = {Ozcan Ozturk and Mahmut T. Kandemir and I. Demirkiran and Guangyu Chen and Mary Jane Irwin}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Data compression for improving {SPM} behavior}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {401--406}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996680}, doi = {10.1145/996566.996680}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OzturkKDCI04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/KandemirOIK04, author = {Mahmut T. Kandemir and Ozcan Ozturk and Mary Jane Irwin and Ibrahim Kolcu}, editor = {Marco Danelutto and Marco Vanneschi and Domenico Laforenza}, title = {Using Data Compression to Increase Energy Savings in Multi-bank Memories}, booktitle = {Euro-Par 2004 Parallel Processing, 10th International Euro-Par Conference, Pisa, Italy, August 31-September 3, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3149}, pages = {310--317}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27866-5\_40}, doi = {10.1007/978-3-540-27866-5\_40}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/KandemirOIK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/OzturkKIK04, author = {Ozcan Ozturk and Mahmut T. Kandemir and Mary Jane Irwin and Ibrahim Kolcu}, editor = {David Garrett and John C. Lach and Charles A. Zukowski}, title = {Tuning data replication for improving behavior of MPSoC applications}, booktitle = {Proceedings of the 14th {ACM} Great Lakes Symposium on {VLSI} 2004, Boston, MA, USA, April 26-28, 2004}, pages = {170--173}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/988952.988994}, doi = {10.1145/988952.988994}, timestamp = {Fri, 20 Aug 2021 16:30:37 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/OzturkKIK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/ChenOK04, author = {Guilin Chen and Ozcan Ozturk and Mahmut T. Kandemir}, editor = {Rudolf Eigenmann and Zhiyuan Li and Samuel P. Midkiff}, title = {An ILP-Based Approach to Locality Optimization}, booktitle = {Languages and Compilers for High Performance Computing, 17th International Workshop, {LCPC} 2004, West Lafayette, IN, USA, September 22-24, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3602}, pages = {149--163}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/11532378\_12}, doi = {10.1007/11532378\_12}, timestamp = {Wed, 20 Nov 2019 17:19:17 +0100}, biburl = {https://dblp.org/rec/conf/lcpc/ChenOK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.