BibTeX records: Danella Zhao

download as .bib file

@inproceedings{DBLP:conf/percom/JiangWZZX23,
  author       = {Peng Jiang and
                  Hongyi Wu and
                  Yanxiao Zhao and
                  Dan Zhao and
                  Chunsheng Xin},
  title        = {{SEEK:} Detecting {GPS} Spoofing via a Sequential Dashcam-Based Vehicle
                  Localization Framework},
  booktitle    = {{IEEE} International Conference on Pervasive Computing and Communications,
                  PerCom 2023, Atlanta, GA, USA, March 13-17, 2023},
  pages        = {71--80},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/PERCOM56429.2023.10099105},
  doi          = {10.1109/PERCOM56429.2023.10099105},
  timestamp    = {Mon, 24 Apr 2023 18:33:23 +0200},
  biburl       = {https://dblp.org/rec/conf/percom/JiangWZZX23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/LiZ23,
  author       = {Zhuoran Li and
                  Dan Zhao},
  editor       = {J{\"{u}}rgen Becker and
                  Andrew Marshall and
                  Tanja Harbaum and
                  Amlan Ganguly and
                  Fahad Siddiqui and
                  Kieran McLaughlin},
  title        = {{\(\mu\)}ThingNet: Leveraging Fine-Grained Power Analysis towards
                  {A} Robust Zero-Day Defender},
  booktitle    = {36th {IEEE} International System-on-Chip Conference, {SOCC} 2023,
                  Santa Clara, CA, USA, September 5-8, 2023},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/SOCC58585.2023.10256758},
  doi          = {10.1109/SOCC58585.2023.10256758},
  timestamp    = {Tue, 21 Nov 2023 07:48:13 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/LiZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LiZ22,
  author       = {Zhuoran Li and
                  Dan Zhao},
  editor       = {Cristiana Bolchini and
                  Ingrid Verbauwhede and
                  Ioana Vatajelu},
  title        = {ThingNet: {A} Lightweight Real-time Mirai IoT Variants Hunter through
                  {CPU} Power Fingerprinting},
  booktitle    = {2022 Design, Automation {\&} Test in Europe Conference {\&}
                  Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022},
  pages        = {310--315},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.23919/DATE54114.2022.9774536},
  doi          = {10.23919/DATE54114.2022.9774536},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/date/LiZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipsn/JungFKXZZ22,
  author       = {Woosub Jung and
                  Yizhou Feng and
                  Sabbir Ahmed Khan and
                  Chunsheng Xin and
                  Danella Zhao and
                  Gang Zhou},
  title        = {DeepAuditor: Distributed Online Intrusion Detection System for IoT
                  Devices via Power Side-channel Auditing},
  booktitle    = {21st {ACM/IEEE} International Conference on Information Processing
                  in Sensor Networks, {IPSN} 2022, Milano, Italy, May 4-6, 2022},
  pages        = {415--427},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IPSN54338.2022.00040},
  doi          = {10.1109/IPSN54338.2022.00040},
  timestamp    = {Mon, 25 Jul 2022 15:19:44 +0200},
  biburl       = {https://dblp.org/rec/conf/ipsn/JungFKXZZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ipsn/JungFKXZZ22a,
  author       = {Woosub Jung and
                  Yizhou Feng and
                  Sabbir Ahmed Khan and
                  Chunsheng Xin and
                  Danella Zhao and
                  Gang Zhou},
  title        = {Demo Abstract: {A} Distributed Power Side-channel Auditing System
                  for Online loT Intrusion Detection},
  booktitle    = {21st {ACM/IEEE} International Conference on Information Processing
                  in Sensor Networks, {IPSN} 2022, Milano, Italy, May 4-6, 2022},
  pages        = {509--510},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/IPSN54338.2022.00055},
  doi          = {10.1109/IPSN54338.2022.00055},
  timestamp    = {Mon, 25 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ipsn/JungFKXZZ22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/RezaZB21,
  author       = {Md Farhadur Reza and
                  Dan Zhao and
                  Magdy A. Bayoumi},
  title        = {Energy-efficient task-resource co-allocation and heterogeneous multi-core
                  NoC design in dark silicon era},
  journal      = {Microprocess. Microsystems},
  volume       = {86},
  pages        = {104055},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.micpro.2021.104055},
  doi          = {10.1016/J.MICPRO.2021.104055},
  timestamp    = {Wed, 17 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/RezaZB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/socc/2021,
  editor       = {Gang Qu and
                  Jinjun Xiong and
                  Danella Zhao and
                  Venki Muthukumar and
                  Md Farhadur Reza and
                  Ramalingam Sridhar},
  title        = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021,
                  Las Vegas, NV, USA, September 14-17, 2021},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/SOCC52499.2021},
  doi          = {10.1109/SOCC52499.2021},
  isbn         = {978-1-6654-2931-3},
  timestamp    = {Wed, 30 Mar 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2106-12753,
  author       = {Woosub Jung and
                  Yizhou Feng and
                  Sabbir Ahmed Khan and
                  Chunsheng Xin and
                  Danella Zhao and
                  Gang Zhou},
  title        = {DeepAuditor: Distributed Online Intrusion Detection System for IoT
                  devices via Power Side-channel Auditing},
  journal      = {CoRR},
  volume       = {abs/2106.12753},
  year         = {2021},
  url          = {https://arxiv.org/abs/2106.12753},
  eprinttype    = {arXiv},
  eprint       = {2106.12753},
  timestamp    = {Wed, 30 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2106-12753.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/RezaZWB18,
  author       = {Md Farhadur Reza and
                  Dan Zhao and
                  Hongyi Wu and
                  Magdy A. Bayoumi},
  title        = {Hotspot-aware task-resource co-allocation for heterogeneous many-core
                  networks-on-chip},
  journal      = {Comput. Electr. Eng.},
  volume       = {68},
  pages        = {581--602},
  year         = {2018},
  url          = {https://doi.org/10.1016/j.compeleceng.2018.04.019},
  doi          = {10.1016/J.COMPELECENG.2018.04.019},
  timestamp    = {Fri, 15 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cee/RezaZWB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RezaLDBZ18,
  author       = {Md Farhadur Reza and
                  Tung Thanh Le and
                  Bappaditya Dey and
                  Magdy A. Bayoumi and
                  Dan Zhao},
  title        = {Neuro-NoC: Energy Optimization in Heterogeneous Many-Core NoC using
                  Neural Networks in Dark Silicon Era},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018,
                  27-30 May 2018, Florence, Italy},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISCAS.2018.8351580},
  doi          = {10.1109/ISCAS.2018.8351580},
  timestamp    = {Thu, 16 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RezaLDBZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/RezaZB18,
  author       = {Md Farhadur Reza and
                  Dan Zhao and
                  Magdy A. Bayoumi},
  title        = {Power- Thermal Aware Balanced Task-Resource Co-Allocation in Heterogeneous
                  Many {CPU-GPU} Cores NoC in Dark Silicon Era},
  booktitle    = {31st {IEEE} International System-on-Chip Conference, {SOCC} 2018,
                  Arlington, VA, USA, September 4-7, 2018},
  pages        = {260--265},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/SOCC.2018.8618557},
  doi          = {10.1109/SOCC.2018.8618557},
  timestamp    = {Thu, 16 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/RezaZB18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/RezaeiDZ17,
  author       = {Amin Rezaei and
                  Masoud Daneshtalab and
                  Dan Zhao},
  title        = {{CAP-W:} Congestion-aware platform for wireless-based network-on-chip
                  in many-core era},
  journal      = {Microprocess. Microsystems},
  volume       = {52},
  pages        = {23--33},
  year         = {2017},
  url          = {https://doi.org/10.1016/j.micpro.2017.05.014},
  doi          = {10.1016/J.MICPRO.2017.05.014},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/RezaeiDZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RezaZB17,
  author       = {Md Farhadur Reza and
                  Dan Zhao and
                  Magdy A. Bayoumi},
  title        = {Dark silicon-power-thermal aware runtime mapping and configuration
                  in heterogeneous many-core NoC},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017,
                  Baltimore, MD, USA, May 28-31, 2017},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCAS.2017.8050254},
  doi          = {10.1109/ISCAS.2017.8050254},
  timestamp    = {Thu, 16 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/RezaZB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/LeZB17,
  author       = {Tung Thanh Le and
                  Dan Zhao and
                  Magdy A. Bayoumi},
  title        = {Efficient Reconfigurable Global Network-on-Chip Designs towards Heterogeneous
                  {CPU-GPU} Systems: An Application-Aware Approach},
  booktitle    = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017,
                  Bochum, Germany, July 3-5, 2017},
  pages        = {439--444},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISVLSI.2017.83},
  doi          = {10.1109/ISVLSI.2017.83},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isvlsi/LeZB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdp/RezaeiZDZ17,
  author       = {Amin Rezaei and
                  Dan Zhao and
                  Masoud Daneshtalab and
                  Hai Zhou},
  editor       = {Igor V. Kotenko and
                  Yiannis Cotronis and
                  Masoud Daneshtalab},
  title        = {Multi-objective Task Mapping Approach for Wireless NoC in Dark Silicon
                  Age},
  booktitle    = {25th Euromicro International Conference on Parallel, Distributed and
                  Network-based Processing, {PDP} 2017, St. Petersburg, Russia, March
                  6-8, 2017},
  pages        = {589--592},
  publisher    = {{IEEE} Computer Society},
  year         = {2017},
  url          = {https://doi.org/10.1109/PDP.2017.12},
  doi          = {10.1109/PDP.2017.12},
  timestamp    = {Thu, 24 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/pdp/RezaeiZDZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/LeNZWB17,
  author       = {Tung Thanh Le and
                  Rui Ning and
                  Dan Zhao and
                  Hongyi Wu and
                  Magdy A. Bayoumi},
  editor       = {Massimo Alioto and
                  Hai Helen Li and
                  J{\"{u}}rgen Becker and
                  Ulf Schlichtmann and
                  Ramalingam Sridhar},
  title        = {Optimizing the heterogeneous network on-chip design in manycore architectures},
  booktitle    = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017,
                  Munich, Germany, September 5-8, 2017},
  pages        = {184--189},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SOCC.2017.8226033},
  doi          = {10.1109/SOCC.2017.8226033},
  timestamp    = {Fri, 15 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/LeNZWB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/BaiZB17,
  author       = {Mingmin Bai and
                  Dan Zhao and
                  Magdy A. Bayoumi},
  editor       = {Massimo Alioto and
                  Hai Helen Li and
                  J{\"{u}}rgen Becker and
                  Ulf Schlichtmann and
                  Ramalingam Sridhar},
  title        = {Router-level performance driven dynamic management in hierarchical
                  networks-on-chip},
  booktitle    = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017,
                  Munich, Germany, September 5-8, 2017},
  pages        = {310--315},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SOCC.2017.8226067},
  doi          = {10.1109/SOCC.2017.8226067},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/BaiZB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/RezaeiDSZ16,
  author       = {Amin Rezaei and
                  Masoud Daneshtalab and
                  Farshad Safaei and
                  Danella Zhao},
  title        = {Hierarchical approach for hybrid wireless Network-on-chip in many-core
                  era},
  journal      = {Comput. Electr. Eng.},
  volume       = {51},
  pages        = {225--234},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.compeleceng.2015.10.007},
  doi          = {10.1016/J.COMPELECENG.2015.10.007},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cee/RezaeiDSZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/RezaeiZDW16,
  author       = {Amin Rezaei and
                  Danella Zhao and
                  Masoud Daneshtalab and
                  Hongyi Wu},
  title        = {Shift sprinting: fine-grained temperature-aware NoC-based MCSoC architecture
                  in dark silicon age},
  booktitle    = {Proceedings of the 53rd Annual Design Automation Conference, {DAC}
                  2016, Austin, TX, USA, June 5-9, 2016},
  pages        = {155:1--155:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2897937.2898090},
  doi          = {10.1145/2897937.2898090},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/dac/RezaeiZDW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/RezaZW16,
  author       = {Md Farhadur Reza and
                  Dan Zhao and
                  Hongyi Wu},
  editor       = {Ayse K. Coskun and
                  Martin Margala and
                  Laleh Behjat and
                  Jie Han},
  title        = {Task-Resource Co-Allocation for Hotspot Minimization in Heterogeneous
                  Many-Core NoCs},
  booktitle    = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016},
  pages        = {137--140},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2902961.2903003},
  doi          = {10.1145/2902961.2903003},
  timestamp    = {Fri, 15 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/RezaZW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdp/RezaeiDPZ16,
  author       = {Amin Rezaei and
                  Masoud Daneshtalab and
                  Maurizio Palesi and
                  Danella Zhao},
  title        = {Efficient Congestion-Aware Scheme for Wireless on-Chip Networks},
  booktitle    = {24th Euromicro International Conference on Parallel, Distributed,
                  and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece,
                  February 17-19, 2016},
  pages        = {742--749},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/PDP.2016.88},
  doi          = {10.1109/PDP.2016.88},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pdp/RezaeiDPZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/LeeZ16,
  author       = {Edward A. Lee and
                  Danella Zhao},
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {Plenary {I:} The Internet of important things},
  booktitle    = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SOCC.2016.7905418},
  doi          = {10.1109/SOCC.2016.7905418},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/LeeZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/RudellZ16,
  author       = {Jacques Christophe Rudell and
                  Danella Zhao},
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {Tutorial 2B: {CMOS} integrated system on a chip for neural interface
                  applications},
  booktitle    = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SOCC.2016.7905410},
  doi          = {10.1109/SOCC.2016.7905410},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/RudellZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/WingZ16,
  author       = {Jeannette M. Wing and
                  Danella Zhao},
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {Opening keynote: Crashing drones and hijacked cameras: Cybertrust
                  meets cyberphysical},
  booktitle    = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SOCC.2016.7905417},
  doi          = {10.1109/SOCC.2016.7905417},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/WingZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/BaiZW16,
  author       = {Mingmin Bai and
                  Dan Zhao and
                  Hongyi Wu},
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {CATBR-Congestion Aware Traffic Bridging Routing among hierarchical
                  networks-on-chip},
  booktitle    = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  pages        = {52--57},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SOCC.2016.7905433},
  doi          = {10.1109/SOCC.2016.7905433},
  timestamp    = {Fri, 15 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/BaiZW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/RezaeiDZM16,
  author       = {Amin Rezaei and
                  Masoud Daneshtalab and
                  Dan Zhao and
                  Mehdi Modarressi},
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {SAMi: Self-aware migration approach for congestion reduction in NoC-based
                  MCSoC},
  booktitle    = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  pages        = {145--150},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SOCC.2016.7905455},
  doi          = {10.1109/SOCC.2016.7905455},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/RezaeiDZM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/MaabiSRDZ16,
  author       = {Somayeh Maabi and
                  Farshad Safaei and
                  Amin Rezaei and
                  Masoud Daneshtalab and
                  Dan Zhao},
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {{ERFAN:} Efficient reconfigurable fault-tolerant deflection routing
                  algorithm for 3-D Network-on-Chip},
  booktitle    = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  pages        = {306--311},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SOCC.2016.7905497},
  doi          = {10.1109/SOCC.2016.7905497},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/MaabiSRDZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/socc/2016,
  editor       = {Karan S. Bhatia and
                  Massimo Alioto and
                  Danella Zhao and
                  Andrew Marshall and
                  Ramalingam Sridhar},
  title        = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016,
                  Seattle, WA, USA, September 6-9, 2016},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7897353/proceeding},
  isbn         = {978-1-5090-1367-8},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/2016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dcan/00010WK15,
  author       = {Dan Zhao and
                  Yi Wang and
                  Hongyi Wu and
                  Takamaro Kikkawa},
  title        = {I(Re)2-WiNoC: Exploring scalable wireless on-chip micronetworks for
                  heterogeneous embedded many-core SoCs},
  journal      = {Digit. Commun. Networks},
  volume       = {1},
  number       = {1},
  pages        = {45--56},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.dcan.2015.01.003},
  doi          = {10.1016/J.DCAN.2015.01.003},
  timestamp    = {Fri, 15 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dcan/00010WK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pdp/RezaeiDZSWE15,
  author       = {Amin Rezaei and
                  Masoud Daneshtalab and
                  Danella Zhao and
                  Farshad Safaei and
                  Xiaohang Wang and
                  Masoumeh Ebrahimi},
  editor       = {Masoud Daneshtalab and
                  Marco Aldinucci and
                  Ville Lepp{\"{a}}nen and
                  Johan Lilius and
                  Mats Brorsson},
  title        = {Dynamic Application Mapping Algorithm for Wireless Network-on-Chip},
  booktitle    = {23rd Euromicro International Conference on Parallel, Distributed,
                  and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6,
                  2015},
  pages        = {421--424},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/PDP.2015.14},
  doi          = {10.1109/PDP.2015.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pdp/RezaeiDZSWE15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/BuechnerZ14,
  author       = {Thomas B{\"{u}}chner and
                  Danella Zhao},
  editor       = {Kaijian Shi and
                  Thomas B{\"{u}}chner and
                  Danella Zhao and
                  Ramalingam Sridhar},
  title        = {Message from program chairs},
  booktitle    = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014,
                  Las Vegas, NV, USA, September 2-5, 2014},
  pages        = {vi--vii},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SOCC.2014.6948873},
  doi          = {10.1109/SOCC.2014.6948873},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/BuechnerZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/socc/2014,
  editor       = {Kaijian Shi and
                  Thomas B{\"{u}}chner and
                  Danella Zhao and
                  Ramalingam Sridhar},
  title        = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014,
                  Las Vegas, NV, USA, September 2-5, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6937053/proceeding},
  isbn         = {978-1-4799-3378-5},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/WangZL12,
  author       = {Yi Wang and
                  Dan Zhao and
                  Jian Li},
  title        = {DuSCA: {A} multi-channeling strategy for doubling communication capacity
                  in wireless NoC},
  booktitle    = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012,
                  Montreal, QC, Canada, September 30 - Oct. 3, 2012},
  pages        = {75--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICCD.2012.6378620},
  doi          = {10.1109/ICCD.2012.6378620},
  timestamp    = {Sun, 23 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/WangZL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ZhaoW12,
  author       = {Dan Zhao and
                  Yi Wang},
  editor       = {Ramalingam Sridhar and
                  Norbert Schuhmann and
                  Kaijian Shi},
  title        = {Design of a scalable {RF} microarchitecture for heterogeneous MPSoCs},
  booktitle    = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls,
                  NY, USA, September 12-14, 2012},
  pages        = {346--351},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/SOCC.2012.6398330},
  doi          = {10.1109/SOCC.2012.6398330},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ZhaoW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/ZhaoWLK11,
  author       = {Dan Zhao and
                  Yi Wang and
                  Jian Li and
                  Takamaro Kikkawa},
  editor       = {Radu Marculescu and
                  Michael Kishinevsky and
                  Ran Ginosar and
                  Karam S. Chatha},
  title        = {Design of multi-channel wireless NoC to improve on-chip communication
                  capacity!},
  booktitle    = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip,
                  Pittsburgh, Pennsylvania, USA, May 1-4, 2011},
  pages        = {177--184},
  publisher    = {{ACM/IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1145/1999946.1999975},
  doi          = {10.1145/1999946.1999975},
  timestamp    = {Sun, 23 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/ZhaoWLK11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/WuWZ10,
  author       = {Ruizhe Wu and
                  Yi Wang and
                  Dan Zhao},
  title        = {A Low-Cost Deadlock-Free Design of Minimal-Table Rerouted XY-Routing
                  for Irregular Wireless NoCs},
  booktitle    = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip,
                  Grenoble, France, May 3-6, 2010},
  pages        = {199--206},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/NOCS.2010.29},
  doi          = {10.1109/NOCS.2010.29},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/WuWZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZ09,
  author       = {Yi Wang and
                  Dan Zhao},
  title        = {Distributed Flow Control and Buffer Management for Wireless Network-on-Chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17
                  May 2009, Taipei, Taiwan},
  pages        = {1353--1356},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISCAS.2009.5118015},
  doi          = {10.1109/ISCAS.2009.5118015},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/YuYZF08,
  author       = {Thomas Edison Yu and
                  Tomokazu Yoneda and
                  Danella Zhao and
                  Hideo Fujiwara},
  title        = {Effective Domain Partitioning for Multi-Clock Domain {IP} Core Wrapper
                  Design under Power Constraints},
  journal      = {{IEICE} Trans. Inf. Syst.},
  volume       = {91-D},
  number       = {3},
  pages        = {807--814},
  year         = {2008},
  url          = {https://doi.org/10.1093/ietisy/e91-d.3.807},
  doi          = {10.1093/IETISY/E91-D.3.807},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/YuYZF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ZhaoW08,
  author       = {Dan Zhao and
                  Yi Wang},
  title        = {{SD-MAC:} Design and Synthesis of a Hardware-Efficient Collision-Free
                  QoS-Aware {MAC} Protocol for Wireless Network-on-Chip},
  journal      = {{IEEE} Trans. Computers},
  volume       = {57},
  number       = {9},
  pages        = {1230--1245},
  year         = {2008},
  url          = {https://doi.org/10.1109/TC.2008.86},
  doi          = {10.1109/TC.2008.86},
  timestamp    = {Wed, 28 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/ZhaoW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ZhaoW08,
  author       = {Dan Zhao and
                  Yi Wang},
  title        = {MTNet: Design of a Wireless Test Framework for Heterogeneous Nanometer
                  Systems-on-Chip},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {16},
  number       = {8},
  pages        = {1046--1057},
  year         = {2008},
  url          = {https://doi.org/10.1109/TVLSI.2008.2000820},
  doi          = {10.1109/TVLSI.2008.2000820},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ZhaoW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangZ07,
  author       = {Yi Wang and
                  Dan Zhao},
  editor       = {Georges G. E. Gielen},
  title        = {The design and synthesis of a synchronous and distributed {MAC} protocol
                  for wireless network-on-chip},
  booktitle    = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007,
                  San Jose, CA, USA, November 5-8, 2007},
  pages        = {612--617},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/ICCAD.2007.4397332},
  doi          = {10.1109/ICCAD.2007.4397332},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangZ07,
  author       = {Yi Wang and
                  Dan Zhao},
  title        = {Design and Implementation of Routing Scheme for Wireless Network-on-Chip},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20
                  May 2007, New Orleans, Louisiana, {USA}},
  pages        = {1357--1360},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISCAS.2007.378479},
  doi          = {10.1109/ISCAS.2007.378479},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WangZ07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanonet/Zhao0W07,
  author       = {Dan Zhao and
                  Yi Wang and
                  Hongyi Wu},
  editor       = {Salvatore Coffa},
  title        = {Dual-channel binary-countdown medium access control in wireless network-on-chip},
  booktitle    = {2nd Internationa {ICST} Conference on Nano-Networks, Nano-Net 2007,
                  Catania, Italy, September 24-26, 2007},
  pages        = {11},
  publisher    = {{ICST/ACM}},
  year         = {2007},
  url          = {https://doi.org/10.4108/ICST.NANONET2007.2139},
  doi          = {10.4108/ICST.NANONET2007.2139},
  timestamp    = {Wed, 28 Feb 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanonet/Zhao0W07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/YuYZF07,
  author       = {Thomas Edison Yu and
                  Tomokazu Yoneda and
                  Danella Zhao and
                  Hideo Fujiwara},
  title        = {Using Domain Partitioning in Wrapper Design for {IP} Cores Under Power
                  Constraints},
  booktitle    = {25th {IEEE} {VLSI} Test Symposium {(VTS} 2007), 6-10 May 2007, Berkeley,
                  California, {USA}},
  pages        = {369--374},
  publisher    = {{IEEE} Computer Society},
  year         = {2007},
  url          = {https://doi.org/10.1109/VTS.2007.86},
  doi          = {10.1109/VTS.2007.86},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/YuYZF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhaoUM06,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya and
                  Martin Margala},
  title        = {Design of a wireless test control network with radio-on-chip technology
                  for nanometer system-on-a-chip},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {25},
  number       = {7},
  pages        = {1411--1418},
  year         = {2006},
  url          = {https://doi.org/10.1109/TCAD.2005.855919},
  doi          = {10.1109/TCAD.2005.855919},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhaoUM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ZhaoW06,
  author       = {Dan Zhao and
                  Yi Wang},
  title        = {{MTNET:} Design and Optimization of a Wireless {SOC} Test Framework},
  booktitle    = {2006 {IEEE} International {SOC} Conference, Austin, Texas, USA, September
                  24-27, 2006},
  pages        = {239--242},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/SOCC.2006.283889},
  doi          = {10.1109/SOCC.2006.283889},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ZhaoW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhaoU05,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya},
  title        = {Dynamically partitioned test scheduling with adaptive {TAM} configuration
                  for power-constrained SoC testing},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {24},
  number       = {6},
  pages        = {956--965},
  year         = {2005},
  url          = {https://doi.org/10.1109/TCAD.2005.847893},
  doi          = {10.1109/TCAD.2005.847893},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhaoU05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ets/ZhaoUM05,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya and
                  Martin Margala},
  title        = {A new SoC test architecture with RF/wireless connectivity},
  booktitle    = {10th European Test Symposium, {ETS} 2005, Tallinn, Estonia, May 22-25,
                  2005},
  pages        = {14--19},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ETS.2005.1},
  doi          = {10.1109/ETS.2005.1},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/ets/ZhaoUM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tim/ZhaoU04,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya},
  title        = {A generic resource distribution and test scheduling scheme for embedded
                  core-based SoCs},
  journal      = {{IEEE} Trans. Instrum. Meas.},
  volume       = {53},
  number       = {2},
  pages        = {318--329},
  year         = {2004},
  url          = {https://doi.org/10.1109/TIM.2003.822712},
  doi          = {10.1109/TIM.2003.822712},
  timestamp    = {Mon, 08 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tim/ZhaoU04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ZhaoUM03,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya and
                  Martin Margala},
  title        = {Control Constrained Resource Partitioning for Complex SoCs},
  booktitle    = {18th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2003), 3-5 November 2003, Boston, MA, USA,
                  Proceedings},
  pages        = {425--432},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/DFTVS.2003.1250140},
  doi          = {10.1109/DFTVS.2003.1250140},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ZhaoUM03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vts/ZhaoU03,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya},
  title        = {Power Constrained Test Scheduling with Dynamically Varied {TAM}},
  booktitle    = {21st {IEEE} {VLSI} Test Symposium {(VTS} 2003), 27 April - 1 May 2003,
                  Napa Valley, CA, {USA}},
  pages        = {273--278},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  url          = {https://doi.org/10.1109/VTEST.2003.1197663},
  doi          = {10.1109/VTEST.2003.1197663},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vts/ZhaoU03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dft/ZhaoU02,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya},
  title        = {Adaptive Test Scheduling in SoC's by Dynamic Partitioning},
  booktitle    = {17th {IEEE} International Symposium on Defect and Fault-Tolerance
                  in {VLSI} Systems {(DFT} 2002), 6-8 November 2002, Vancouver, BC,
                  Canada, Proceedings},
  pages        = {334--344},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1109/DFTVS.2002.1173530},
  doi          = {10.1109/DFTVS.2002.1173530},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dft/ZhaoU02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ZhaoUM02,
  author       = {Dan Zhao and
                  Shambhu J. Upadhyaya and
                  Martin Margala},
  editor       = {Kanad Ghose and
                  Patrick H. Madden and
                  Vivek De and
                  Peter M. Kogge},
  title        = {Minimizing concurrent test time in SoC's by balancing resource usage},
  booktitle    = {Proceedings of the 12th {ACM} Great Lakes Symposium on {VLSI} 2002,
                  New York, NY, USA, April 18-19, 2002},
  pages        = {77--82},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/505306.505323},
  doi          = {10.1145/505306.505323},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ZhaoUM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics