Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad2008.bht:"
@inproceedings{DBLP:conf/iccad/AgarwalCT08, author = {Amit Agarwal and Jason Cong and Brian Tagiku}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Fault tolerant placement and defect reconfiguration for nano-FPGAs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {714--721}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681655}, doi = {10.1109/ICCAD.2008.4681655}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AgarwalCT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AitkenBMR08, author = {Rob Aitken and Jerry Bautista and Wojciech Maly and Jan M. Rabaey}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {More Moore: foolish, feasible, or fundamentally different?}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {9}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681540}, doi = {10.1109/ICCAD.2008.4681540}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AitkenBMR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AllecHSDY08, author = {Nicholas Allec and Zyad Hassan and Li Shang and Robert P. Dick and Ronggui Yang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {ThermalScope: multi-scale thermal analysis for nanometer-scale integrated circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {603--610}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681639}, doi = {10.1109/ICCAD.2008.4681639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AllecHSDY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AmbrosePI08, author = {Jude Angelo Ambrose and Sri Parameswaran and Aleksandar Ignjatovic}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{MUTE-AES:} a multiprocessor architecture to prevent power analysis based side channel attack of the {AES} algorithm}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {678--684}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681650}, doi = {10.1109/ICCAD.2008.4681650}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AmbrosePI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BackesFR08, author = {John D. Backes and Brian Fett and Marc D. Riedel}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {The analysis of cyclic circuits with Boolean satisfiability}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681565}, doi = {10.1109/ICCAD.2008.4681565}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BackesFR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BaileySGVD08, author = {Dan Bailey and Eric Soenen and Puneet Gupta and Paul G. Villarrubia and Sang H. Dhong}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Challenges at 45nm and beyond}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {7}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681538}, doi = {10.1109/ICCAD.2008.4681538}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BaileySGVD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BanerjeeELO08, author = {Shayak Banerjee and Praveen Elakkumanan and Lars Liebmann and Michael Orshansky}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Electrically driven optical proximity correction based on linear programming}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {473--479}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681617}, doi = {10.1109/ICCAD.2008.4681617}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BanerjeeELO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhansaliSLR08, author = {Prateek Bhansali and Shweta Srivastava and Xiaolue Lai and Jaijeet S. Roychowdhury}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Comprehensive procedure for fast and accurate coupled oscillator network simulation}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {815--820}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681670}, doi = {10.1109/ICCAD.2008.4681670}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhansaliSLR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BildMCKDHSC08, author = {David R. Bild and Sanchit Misra and Thidapat Chantem and Prabhat Kumar and Robert P. Dick and Xiaobo Sharon Hu and Li Shang and Alok N. Choudhary}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Temperature-aware test scheduling for multiprocessor systems-on-chip}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {59--66}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681552}, doi = {10.1109/ICCAD.2008.4681552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BildMCKDHSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BlancK08, author = {Nicolas Blanc and Daniel Kroening}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Race analysis for SystemC using model checking}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {356--363}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681598}, doi = {10.1109/ICCAD.2008.4681598}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BlancK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BondD08, author = {Bradley N. Bond and Luca Daniel}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Guaranteed stable projection-based model reduction for indefinite and unstable linear systems}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {728--735}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681657}, doi = {10.1109/ICCAD.2008.4681657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BondD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BonnyH08, author = {Talal Bonny and J{\"{o}}rg Henkel}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{FBT:} filled buffer technique to reduce code size for {VLIW} processors}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {549--554}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681629}, doi = {10.1109/ICCAD.2008.4681629}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BonnyH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BrownellWB08, author = {Kevin Brownell and Gu{-}Yeon Wei and David M. Brooks}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Evaluation of voltage interpolation to address process variations}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {529--536}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681626}, doi = {10.1109/ICCAD.2008.4681626}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BrownellWB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BufistovJC08, author = {Dmitry Bufistov and Jorge J{\'{u}}lvez and Jordi Cortadella}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Performance optimization of elastic systems using buffer resizing and buffer insertion}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {442--448}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681613}, doi = {10.1109/ICCAD.2008.4681613}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BufistovJC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CabodiCM08, author = {Gianpiero Cabodi and Paolo Camurati and Marco Murciano}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Automated abstraction by incremental refinement in interpolant-based model checking}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {129--136}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681563}, doi = {10.1109/ICCAD.2008.4681563}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CabodiCM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChakrabortyB08, author = {Rajat Subhra Chakraborty and Swarup Bhunia}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Hardware protection and authentication through netlist level obfuscation}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {674--677}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681649}, doi = {10.1109/ICCAD.2008.4681649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChakrabortyB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangLW08, author = {Yen{-}Jung Chang and Yu{-}Ting Lee and Ting{-}Chi Wang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {NTHU-Route 2.0: a fast and stable global router}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {338--343}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681595}, doi = {10.1109/ICCAD.2008.4681595}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangWMC08, author = {Henry Chang and William Walker and John G. Maneatis and John F. Croix}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Mixed-signal simulation challenges and solutions}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {8}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681539}, doi = {10.1109/ICCAD.2008.4681539}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangWMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenCCC08, author = {Hsin{-}Chen Chen and Yi{-}Lin Chuang and Yao{-}Wen Chang and Yung{-}Chung Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Constraint graph-based macro placement for modern mixed-size circuit designs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {218--223}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681577}, doi = {10.1109/ICCAD.2008.4681577}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenCCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenKMLSA08, author = {Fred Chen and Hei Kam and Dejan Markovic and Tsu{-}Jae King Liu and Vladimir Stojanovic and Elad Alon}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Integrated circuit design with {NEM} relays}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {750--757}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681660}, doi = {10.1109/ICCAD.2008.4681660}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenKMLSA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenLH08, author = {Po{-}Yuan Chen and Che{-}Yu Liu and TingTing Hwang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Transition-aware decoupling-capacitor allocation in power noise reduction}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {426--429}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681610}, doi = {10.1109/ICCAD.2008.4681610}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Chklovskii08, author = {Dmitri "Mitya" Chklovskii}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {What can brain researchers learn from computer engineers and vice versa?}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {2}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681533}, doi = {10.1109/ICCAD.2008.4681533}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Chklovskii08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoBP08, author = {Minsik Cho and Yongchan Ban and David Z. Pan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Double patterning technology friendly detailed routing}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {506--511}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681622}, doi = {10.1109/ICCAD.2008.4681622}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoBP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoCKSD08, author = {Myong Hyon Cho and Chih{-}Chi Cheng and Michel A. Kinsy and G. Edward Suh and Srinivas Devadas}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Diastolic arrays: throughput-driven reconfigurable computing}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {457--464}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681615}, doi = {10.1109/ICCAD.2008.4681615}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoCKSD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoKPC08, author = {Youngjin Cho and Younghyun Kim and Sangyoung Park and Naehyuck Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {System-level power estimation using an on-chip bus performance monitoring unit}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681566}, doi = {10.1109/ICCAD.2008.4681566}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoKPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChopraZBS08, author = {Kaviraj Chopra and Cheng Zhuo and David T. Blaauw and Dennis Sylvester}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A statistical approach for full-chip gate-oxide reliability analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {698--705}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681653}, doi = {10.1109/ICCAD.2008.4681653}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChopraZBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ClineJSB08, author = {Brian Cline and Vivek Joshi and Dennis Sylvester and David T. Blaauw}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{STEEL:} a technique for stress-enhanced standard cell library design}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {691--697}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681652}, doi = {10.1109/ICCAD.2008.4681652}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ClineJSB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongGHKNR08, author = {Jason Cong and Karthik Gururaj and Guoling Han and Adam Kaplan and Mishali Naik and Glenn Reinman}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {MC-Sim: an efficient simulation tool for MPSoC designs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {364--371}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681599}, doi = {10.1109/ICCAD.2008.4681599}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongGHKNR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CoskunRG08, author = {Ayse Kivilcim Coskun and Tajana Simunic Rosing and Kenny C. Gross}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Proactive temperature balancing for low cost thermal management in MPSoCs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {250--257}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681582}, doi = {10.1109/ICCAD.2008.4681582}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CoskunRG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DadgourDB08, author = {Hamed F. Dadgour and Vivek De and Kaustav Banerjee}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Statistical modeling of metal-gate work-function variability in emerging device technologies and implications for circuit design}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {270--277}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681585}, doi = {10.1109/ICCAD.2008.4681585}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DadgourDB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DolecekQSC08, author = {Lara Dolecek and Masood Qazi and Devavrat Shah and Anantha P. Chandrakasan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Breaking the simulation barrier: {SRAM} evaluation through norm minimization}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {322--329}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681593}, doi = {10.1109/ICCAD.2008.4681593}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DolecekQSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DongLH08, author = {Wei Dong and Peng Li and Garng M. Huang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{SRAM} dynamic stability: theory, variability and analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {378--385}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681601}, doi = {10.1109/ICCAD.2008.4681601}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DongLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/El-MoselhyED08, author = {Tarek A. El{-}Moselhy and Ibrahim M. Elfadel and Luca Daniel}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A capacitance solver for incremental variation-aware extraction}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {662--669}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681647}, doi = {10.1109/ICCAD.2008.4681647}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/El-MoselhyED08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EnamiHS08, author = {Takashi Enami and Masanori Hashimoto and Takashi Sato}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Decoupling capacitance allocation for timing with statistical noise model and timing analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {420--425}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681609}, doi = {10.1109/ICCAD.2008.4681609}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/EnamiHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangC08, author = {Jia{-}Wei Fang and Yao{-}Wen Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Area-I/O flip-chip routing for chip-package co-design}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {518--522}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681624}, doi = {10.1109/ICCAD.2008.4681624}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangHC08, author = {Jia{-}Wei Fang and Kuan{-}Hsien Ho and Yao{-}Wen Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Routing for chip-package-board co-design considering differential pairs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {512--517}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681623}, doi = {10.1109/ICCAD.2008.4681623}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FaruqueEH08, author = {Mohammad Abdullah Al Faruque and Thomas Ebi and J{\"{o}}rg Henkel}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {ROAdNoC: runtime observability for an adaptive network on chip architecture}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {543--548}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681628}, doi = {10.1109/ICCAD.2008.4681628}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FaruqueEH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FengL08, author = {Zhuo Feng and Peng Li}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Multigrid on {GPU:} tackling power grid analysis on parallel {SIMT} platforms}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {647--654}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681645}, doi = {10.1109/ICCAD.2008.4681645}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FengL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FettR08, author = {Brian Fett and Marc D. Riedel}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Module locking in biochemical synthesis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {758--764}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681661}, doi = {10.1109/ICCAD.2008.4681661}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FettR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GaoMG08, author = {Peng Gao and Trent McConaghy and Georges G. E. Gielen}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Importance sampled circuit learning ensembles for robust analog {IC} design}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {396--399}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681604}, doi = {10.1109/ICCAD.2008.4681604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GaoMG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Gattiker08, author = {Anne Gattiker}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Using test data to improve {IC} quality and yield}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {771--777}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681663}, doi = {10.1109/ICCAD.2008.4681663}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Gattiker08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GhasemazarP08, author = {Mohammad Ghasemazar and Massoud Pedram}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Minimizing the energy cost of throughput in a linear pipeline by opportunistic time borrowing}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {155--160}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681567}, doi = {10.1109/ICCAD.2008.4681567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GhasemazarP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GillGS08, author = {Gennette Gill and Vishal Gupta and Montek Singh}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Performance estimation and slack matching for pipelined asynchronous architectures with choice}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {449--456}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681614}, doi = {10.1109/ICCAD.2008.4681614}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GillGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GouraryRUZMG08, author = {Mark M. Gourary and Sergey G. Rusakov and Sergey L. Ulyanov and Michael M. Zharov and Brian J. Mulvaney and Kiran K. Gullapalli}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Smoothed form of nonlinear phase macromodel for oscillators}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {807--814}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681669}, doi = {10.1109/ICCAD.2008.4681669}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GouraryRUZMG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuR08, author = {Chenjie Gu and Jaijeet S. Roychowdhury}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Model reduction via projection onto nonlinear manifolds, with applications to analog circuits and biochemical systems}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {85--92}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681556}, doi = {10.1109/ICCAD.2008.4681556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuoZB08, author = {Weiqing Guo and Yu Zhong and Tom Burd}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Context-sensitive static transistor-level {IR} analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {797--802}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681667}, doi = {10.1109/ICCAD.2008.4681667}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuoZB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeDBGC08, author = {Ou He and Sheqin Dong and Jinian Bian and Satoshi Goto and Chung{-}Kuan Cheng}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A novel fixed-outline floorplanner with zero deadspace for hierarchical design}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {16--23}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681546}, doi = {10.1109/ICCAD.2008.4681546}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HeDBGC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HeloueON08, author = {Khaled R. Heloue and Sari Onaissi and Farid N. Najm}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Efficient block-based parameterized timing analysis covering all potentially critical paths}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {173--180}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681570}, doi = {10.1109/ICCAD.2008.4681570}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HeloueON08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HsuCC08, author = {Chin{-}Hsiung Hsu and Huang{-}Yu Chen and Yao{-}Wen Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Multi-layer global routing considering via and wire capacities}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {350--355}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681597}, doi = {10.1109/ICCAD.2008.4681597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HsuCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuFHM08, author = {Yu Hu and Zhe Feng and Lei He and Rupak Majumdar}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Robust {FPGA} resynthesis based on fault-tolerant Boolean matching}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {706--713}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681654}, doi = {10.1109/ICCAD.2008.4681654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuFHM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuLA08, author = {Shiyan Hu and Zhuo Li and Charles J. Alpert}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A polynomial time approximation scheme for timing constrained minimum cost layer assignment}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {112--115}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681560}, doi = {10.1109/ICCAD.2008.4681560}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuLA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JaffariA08, author = {Javid Jaffari and Mohab Anis}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {On efficient Monte Carlo-based statistical static timing analysis of digital circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {196--203}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681574}, doi = {10.1109/ICCAD.2008.4681574}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JaffariA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JangDP08, author = {Wooyoung Jang and Duo Ding and David Z. Pan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A voltage-frequency island aware energy optimization framework for networks-on-chip}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {264--269}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681584}, doi = {10.1109/ICCAD.2008.4681584}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JangDP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JayaseelanM08, author = {Ramkumar Jayaseelan and Tulika Mitra}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Temperature aware task sequencing and voltage scaling}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {618--623}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681641}, doi = {10.1109/ICCAD.2008.4681641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JayaseelanM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Jepsen08, author = {Mary Lou Jepsen}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{CAD} for displays!}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681532}, doi = {10.1109/ICCAD.2008.4681532}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Jepsen08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngPXY08, author = {Andrew B. Kahng and Chul{-}Hong Park and Xu Xu and Hailong Yao}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Layout decomposition for double patterning lithography}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {465--472}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681616}, doi = {10.1109/ICCAD.2008.4681616}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KahngPXY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KamKCO08, author = {Timothy Kam and Michael Kishinevsky and Jordi Cortadella and Marc Galceran Oms}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Correct-by-construction microarchitectural pipelining}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {434--441}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681612}, doi = {10.1109/ICCAD.2008.4681612}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KamKCO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KarczmarekA08, author = {Michal Karczmarek and Arvind}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Synthesis from multi-cycle atomic actions as a solution to the timing closure problem}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {24--31}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681547}, doi = {10.1109/ICCAD.2008.4681547}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KarczmarekA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KashyapBKA08, author = {Chandramouli V. Kashyap and Pouria Bastani and Kip Killpack and Chirayu S. Amin}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Silicon feedback to improve frequency of high-performance microprocessors: an overview}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {778--782}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681664}, doi = {10.1109/ICCAD.2008.4681664}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KashyapBKA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KengMV08, author = {Brian Keng and Hratch Mangassarian and Andreas G. Veneris}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A succinct memory model for automated design debugging}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681564}, doi = {10.1109/ICCAD.2008.4681564}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KengMV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KhanK08, author = {Omer Khan and Sandip Kundu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A framework for predictive dynamic temperature management of microprocessor systems}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {258--263}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681583}, doi = {10.1109/ICCAD.2008.4681583}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KhanK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimLJ08, author = {Jaeha Kim and Brian S. Leibowitz and Metha Jeeradit}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Impulse sensitivity function analysis of periodic circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {386--391}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681602}, doi = {10.1109/ICCAD.2008.4681602}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimLJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KoushanfarBS08, author = {Farinaz Koushanfar and Petros Boufounos and Davood Shamsi}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Post-silicon timing characterization by compressed sensing}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {185--189}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681572}, doi = {10.1109/ICCAD.2008.4681572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KoushanfarBS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KuoWC08, author = {Yu{-}Min Kuo and Shih{-}Hung Weng and Shih{-}Chieh Chang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A novel sequential circuit optimization with clock gating logic}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {230--233}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681579}, doi = {10.1109/ICCAD.2008.4681579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KuoWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Lai08, author = {Xiaolue Lai}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Frequency-aware {PPV:} a robust phase macromodel for accurate oscillator noise analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {803--806}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681668}, doi = {10.1109/ICCAD.2008.4681668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Lai08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeJK08, author = {Yongho Lee and Deog{-}Kyoon Jeong and Taewhan Kim}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Simultaneous control of power/ground current, wakeup time and transistor overhead in power gated circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {169--172}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681569}, doi = {10.1109/ICCAD.2008.4681569}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeePS08, author = {Hyein Lee and Seungwhun Paik and Youngsoo Shin}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Pulse width allocation with clock skew scheduling for optimizing pulsed latch-based sequential circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {224--229}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681578}, doi = {10.1109/ICCAD.2008.4681578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeePS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiHYL08, author = {Yiming Li and Chih{-}Hong Hwang and Ta{-}Ching Yeh and Tien{-}Yeh Li}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Large-scale atomistic approach to random-dopant-induced characteristic variability in nanoscale {CMOS} digital and high-frequency integrated circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {278--285}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681586}, doi = {10.1109/ICCAD.2008.4681586}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiHYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiLZHLX08, author = {Jia Li and Xiao Liu and Yubin Zhang and Yu Hu and Xiaowei Li and Qiang Xu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {On capture power-aware test data compression for scan-based testing}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {67--72}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681553}, doi = {10.1109/ICCAD.2008.4681553}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LiLZHLX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiSL08, author = {Ruiming Li and An{-}Jui Shey and Michel Laudes}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Incorporating logic exclusivity {(LE)} constraints in noise analysis using gain guided backtracking method}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {783--789}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681665}, doi = {10.1109/ICCAD.2008.4681665}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiTPT08, author = {Duo Li and Sheldon X.{-}D. Tan and Eduardo H. Pacheco and Murli Tirumala}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Parameterized transient thermal behavioral modeling for chip multiprocessors}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {611--617}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681640}, doi = {10.1109/ICCAD.2008.4681640}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiTPT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiY08, author = {Liang Li and Evangeline F. Y. Young}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Obstacle-avoiding rectilinear Steiner tree construction}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {523--528}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681625}, doi = {10.1109/ICCAD.2008.4681625}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinJL08, author = {Hsuan{-}Po Lin and Jie{-}Hong Roland Jiang and Ruei{-}Rung Lee}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {To {SAT} or not to {SAT:} Ashenhurst decomposition in a large scale}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {32--37}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681548}, doi = {10.1109/ICCAD.2008.4681548}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinJL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuSH08, author = {Yifang Liu and Rupesh S. Shelar and Jiang Hu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Delay-optimal simultaneous technology mapping and placement with applications to timing optimization}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {101--106}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681558}, doi = {10.1109/ICCAD.2008.4681558}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LoiMLFB08, author = {Igor Loi and Subhasish Mitra and Thomas H. Lee and Shinobu Fujita and Luca Benini}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A low-overhead fault tolerance scheme for TSV-based 3D network on chip links}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {598--602}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681638}, doi = {10.1109/ICCAD.2008.4681638}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LoiMLFB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuoPLSAP08, author = {Tao Luo and David A. Papa and Zhuo Li and Chin Ngai Sze and Charles J. Alpert and David Z. Pan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Pyramids: an efficient computational geometry-based approach for timing-driven placement}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {204--211}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681575}, doi = {10.1109/ICCAD.2008.4681575}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuoPLSAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LvovF08, author = {Alexey Lvov and Ulrich Finkler}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Exact basic geometric operations on arbitrary angle polygons using only fixed size integer coordinates}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {494--498}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681620}, doi = {10.1109/ICCAD.2008.4681620}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LvovF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaY08, author = {Qiang Ma and Evangeline F. Y. Young}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Network flow-based power optimization under timing constraints in MSV-driven floorplanning}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681544}, doi = {10.1109/ICCAD.2008.4681544}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MaY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MajzoobiKP08, author = {Mehrdad Majzoobi and Farinaz Koushanfar and Miodrag Potkonjak}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Lightweight secure PUFs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {670--673}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681648}, doi = {10.1109/ICCAD.2008.4681648}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MajzoobiKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MangalagiriBKXN08, author = {Prasanth Mangalagiri and Sungmin Bae and Krishnan Ramakrishnan and Yuan Xie and Vijaykrishnan Narayanan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Thermal-aware reliability analysis for platform FPGAs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {722--727}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681656}, doi = {10.1109/ICCAD.2008.4681656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MangalagiriBKXN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/McConaghyPGS08, author = {Trent McConaghy and Pieter Palmers and Georges G. E. Gielen and Michiel Steyaert}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Automated extraction of expert knowledge in analog topology selection and sizing}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {392--395}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681603}, doi = {10.1109/ICCAD.2008.4681603}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/McConaghyPGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MishchenkoBC08, author = {Alan Mishchenko and Robert K. Brayton and Satrajit Chatterjee}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Boolean factoring and decomposition of logic networks}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {38--44}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681549}, doi = {10.1109/ICCAD.2008.4681549}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MishchenkoBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MishchenkoCBJ08, author = {Alan Mishchenko and Michael L. Case and Robert K. Brayton and Stephen Jang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Scalable and scalably-verifiable sequential synthesis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {234--241}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681580}, doi = {10.1109/ICCAD.2008.4681580}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MishchenkoCBJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Miskov-ZivanovWM08, author = {Natasa Miskov{-}Zivanov and Kai{-}Chiang Wu and Diana Marculescu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Process variability-aware transient fault modeling and analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {685--690}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681651}, doi = {10.1109/ICCAD.2008.4681651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Miskov-ZivanovWM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MitraITT08, author = {Subhasish Mitra and Ravishankar K. Iyer and Kishor S. Trivedi and James W. Tschanz}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Reliable system design: models, metrics and design techniques}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681534}, doi = {10.1109/ICCAD.2008.4681534}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MitraITT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MiyaseNIHAYFWK08, author = {Kohei Miyase and Kenji Noda and Hideaki Ito and Kazumi Hatayama and Takashi Aikyo and Yuta Yamato and Hiroshi Furukawa and Xiaoqing Wen and Seiji Kajihara}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Effective IR-drop reduction in at-speed scan testing using Distribution-Controlling X-Identification}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {52--58}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681551}, doi = {10.1109/ICCAD.2008.4681551}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MiyaseNIHAYFWK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MoB08, author = {Fan Mo and Robert K. Brayton}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Placement based multiplier rewiring for cell-based designs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {430--433}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681611}, doi = {10.1109/ICCAD.2008.4681611}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MoB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MogalB08, author = {Hushrav Mogal and Kia Bazargan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Thermal-aware floorplanning for task migration enabled active sub-threshold leakage reduction}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {302--305}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681590}, doi = {10.1109/ICCAD.2008.4681590}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MogalB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MohanramG08, author = {Kartik Mohanram and Jing Guo}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Graphene nanoribbon FETs: technology exploration and {CAD}}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {412--415}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681607}, doi = {10.1109/ICCAD.2008.4681607}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MohanramG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NaeemiM08, author = {Azad Naeemi and James D. Meindl}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Physical models for electron transport in graphene nanoribbons and their junctions}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {400--405}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681605}, doi = {10.1109/ICCAD.2008.4681605}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NaeemiM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NieuwoudtKM08, author = {Arthur Nieuwoudt and Jamil Kawa and Yehia Massoud}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Robust reconfigurable filter design using analytic variability quantification techniques}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {765--770}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681662}, doi = {10.1109/ICCAD.2008.4681662}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NieuwoudtKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PanRSH08, author = {David Z. Pan and Stephen Renwick and Vivek Singh and Judy Huckabay}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Nanolithography and {CAD} challenges for 32nm/22nm and beyond}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {6}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681537}, doi = {10.1109/ICCAD.2008.4681537}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PanRSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PaulMB08, author = {Somnath Paul and Saibal Mukhopadhyay and Swarup Bhunia}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Hybrid {CMOS-STTRAM} non-volatile {FPGA:} design challenges and optimization approaches}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {589--592}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681636}, doi = {10.1109/ICCAD.2008.4681636}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PaulMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PhillipsKW08, author = {Joel R. Phillips and Kurt Keutzer and Michael Wrinn}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Architecting parallel programs}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {4}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681535}, doi = {10.1109/ICCAD.2008.4681535}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PhillipsKW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RadWTP08, author = {Reza M. Rad and Xiaoxiao Wang and Mohammad Tehranipoor and Jim Plusquellic}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Power supply signal calibration techniques for improving detection resolution to hardware Trojans}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {632--639}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681643}, doi = {10.1109/ICCAD.2008.4681643}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RadWTP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaghebM08, author = {Tamer Ragheb and Yehia Massoud}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {On the modeling of resistance in graphene nanoribbon {(GNR)} for future interconnect applications}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {593--597}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681637}, doi = {10.1109/ICCAD.2008.4681637}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RaghebM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaoV08, author = {Ravishankar Rao and Sarma B. K. Vrudhula}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Efficient online computation of core speeds to maximize the throughput of thermally constrained multi-core processors}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {537--542}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681627}, doi = {10.1109/ICCAD.2008.4681627}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RaoV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RenD08, author = {Huan Ren and Shantanu Dutt}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Algorithms for simultaneous consideration of multiple physical synthesis transforms for timing closure}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {93--100}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681557}, doi = {10.1109/ICCAD.2008.4681557}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RenD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RyuK08, author = {Yesin Ryu and Taewhan Kim}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Clock buffer polarity assignment combined with clock tree generation for power/ground noise minimization}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {416--419}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681608}, doi = {10.1109/ICCAD.2008.4681608}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RyuK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Sasao08, author = {Tsutomu Sasao}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {On the numbers of variables to represent sparse logic functions}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {45--51}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681550}, doi = {10.1109/ICCAD.2008.4681550}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Sasao08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SeoMSB08, author = {Jae{-}sun Seo and Igor L. Markov and Dennis Sylvester and David T. Blaauw}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {On the decreasing significance of large standard cells in technology mapping}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {116--121}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681561}, doi = {10.1109/ICCAD.2008.4681561}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SeoMSB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SeshiaR08, author = {Sanjit A. Seshia and Alexander Rakhlin}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Game-theoretic timing analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {575--582}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681634}, doi = {10.1109/ICCAD.2008.4681634}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SeshiaR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShepardMK08, author = {Kenneth L. Shepard and Inanc Meric and Philip Kim}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Characterization and modeling of graphene field-effect devices}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {406--411}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681606}, doi = {10.1109/ICCAD.2008.4681606}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShepardMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShiYZCK08, author = {Rui Shi and Wenjian Yu and Yi Zhu and Chung{-}Kuan Cheng and Ernest S. Kuh}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Efficient and accurate eye diagram prediction for high speed signaling}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {655--661}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681646}, doi = {10.1109/ICCAD.2008.4681646}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShiYZCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SilpaPKPV08, author = {B. V. N. Silpa and Anjul Patney and Tushar Krishna and Preeti Ranjan Panda and G. S. Visweswaran}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Texture filter memory: a power-efficient and scalable texture memory architecture for mobile graphics processors}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {559--564}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681631}, doi = {10.1109/ICCAD.2008.4681631}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SilpaPKPV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinghalB08, author = {Love Singhal and Elaheh Bozorgzadeh}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Process variation aware system-level task allocation using stochastic ordering of delay distributions}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {570--574}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681633}, doi = {10.1109/ICCAD.2008.4681633}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinghalB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SingheeSR08, author = {Amith Singhee and Sonia Singhal and Rob A. Rutenbar}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Practical, fast Monte Carlo statistical static timing analysis: why and how}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {190--195}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681573}, doi = {10.1109/ICCAD.2008.4681573}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SingheeSR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinhaSARB08, author = {Debjit Sinha and Gregory Schaeffer and Soroush Abbaspour and Alex Rubin and Frank Borkam}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Constrained aggressor set selection for maximum coupling noise}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {790--796}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681666}, doi = {10.1109/ICCAD.2008.4681666}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinhaSARB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SredojevicS08, author = {Ranko Sredojevic and Vladimir Stojanovic}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Optimization-based framework for simultaneous circuit-and-system design-space exploration: a high-speed link example}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {314--321}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681592}, doi = {10.1109/ICCAD.2008.4681592}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SredojevicS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/StrasserEGSJ08, author = {Martin Strasser and Michael Eick and Helmut Gr{\"{a}}b and Ulf Schlichtmann and Frank M. Johannes}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Deterministic analog circuit placement using hierarchically bounded enumeration and enhanced shape functions}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {306--313}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681591}, doi = {10.1109/ICCAD.2008.4681591}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/StrasserEGSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TewKSG08, author = {Neal Tew and Priyank Kalla and Namrata Shekhar and Sivaram Gopalakrishnan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Verification of arithmetic datapaths using polynomial function models and congruence solving}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {122--128}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681562}, doi = {10.1109/ICCAD.2008.4681562}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TewKSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TodriMK08, author = {Aida Todri and Malgorzata Marek{-}Sadowska and Joseph N. Kozhaya}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Power supply noise aware workload assignment for multi-core systems}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {330--337}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681594}, doi = {10.1109/ICCAD.2008.4681594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TodriMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsaiYLN08, author = {Kuen{-}Yu Tsai and Meng{-}Fu You and Yi{-}Chang Lu and Philip C. W. Ng}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {286--291}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681587}, doi = {10.1109/ICCAD.2008.4681587}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TsaiYLN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsotaKB08, author = {Kalliopi Tsota and Cheng{-}Kok Koh and Venkataramanan Balakrishnan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Guiding global placement with wire density}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {212--217}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681576}, doi = {10.1109/ICCAD.2008.4681576}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TsotaKB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangGWLK08, author = {Chao Wang and Malay K. Ganai and Shuvendu K. Lahiri and Daniel Kroening}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Embedded software verification: challenges and solutions}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {5}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681536}, doi = {10.1109/ICCAD.2008.4681536}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangGWLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangM08, author = {Victoria Wang and Dejan Markovic}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Linear analysis of random process variability}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {292--296}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681588}, doi = {10.1109/ICCAD.2008.4681588}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangTD08, author = {Xiaoxiao Wang and Mohammad Tehranipoor and Ramyanshu Datta}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Path-RO: a novel on-chip critical path delay measurement under process variations}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {640--646}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681644}, doi = {10.1109/ICCAD.2008.4681644}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangTD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangZ08, author = {Jia Wang and Hai Zhou}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Linear constraint graph for floorplan optimization with soft blocks}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {9--15}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681545}, doi = {10.1109/ICCAD.2008.4681545}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuD08, author = {Tai{-}Hsuan Wu and Azadeh Davoodi}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {PaRS: fast and near-optimal grid-based cell sizing for library-based design}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {107--111}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681559}, doi = {10.1109/ICCAD.2008.4681559}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XieDZW08, author = {Lin Xie and Azadeh Davoodi and Jun Zhang and Tai{-}Hsuan Wu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Adjustment-based modeling for statistical static timing analysis with high dimension of variability}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {181--184}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681571}, doi = {10.1109/ICCAD.2008.4681571}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XieDZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XuCP08, author = {Tao Xu and Krishnendu Chakrabarty and Vamsee K. Pamula}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Design and optimization of a digital microfluidic biochip for protein crystallization}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {297--301}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681589}, doi = {10.1109/ICCAD.2008.4681589}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XuCP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XuJV08, author = {Hao Xu and Wen{-}Ben Jone and Ranga Vemuri}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Accurate energy breakeven time estimation for run-time power gating}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {161--168}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681568}, doi = {10.1109/ICCAD.2008.4681568}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XuJV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YanTCW08, author = {Boyuan Yan and Sheldon X.{-}D. Tan and Gengsheng Chen and Lifeng Wu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Modeling and simulation for on-chip power grid networks by locally dominant Krylov subspace method}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {744--749}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681659}, doi = {10.1109/ICCAD.2008.4681659}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YanTCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YanW08, author = {Tan Yan and Martin D. F. Wong}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {BSG-Route: a length-matching router for general topology}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {499--505}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681621}, doi = {10.1109/ICCAD.2008.4681621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YanW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangP08, author = {Jae{-}Seok Yang and David Z. Pan}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Overlay aware interconnect and timing variation modeling for double patterning technology}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {488--493}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681619}, doi = {10.1109/ICCAD.2008.4681619}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangZH08, author = {Fu{-}Ching Yang and Jing{-}Kun Zhong and Ing{-}Jer Huang}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Verifying external interrupts of embedded microprocessor in SoC with on-chip bus}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {372--377}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681600}, doi = {10.1109/ICCAD.2008.4681600}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangZH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YeDLN08, author = {Xiaoji Ye and Wei Dong and Peng Li and Sani R. Nassif}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{MAPS:} multi-algorithm parallel circuit simulation}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {73--78}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681554}, doi = {10.1109/ICCAD.2008.4681554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YeDLN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YeVZP08, author = {Zuochang Ye and Dmitry Vasilyev and Zhenhai Zhu and Joel R. Phillips}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Sparse implicit projection {(SIP)} for reduction of general many-terminal networks}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {736--743}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681658}, doi = {10.1109/ICCAD.2008.4681658}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YeVZP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YemlihaSKKI08, author = {Taylan Yemliha and Shekhar Srikantaiah and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y and Mary Jane Irwin}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Integrated code and data placement in two-dimensional mesh based chip multiprocessors}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {583--588}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681635}, doi = {10.1109/ICCAD.2008.4681635}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YemlihaSKKI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YemlihaSKO08, author = {Taylan Yemliha and Shekhar Srikantaiah and Mahmut T. Kandemir and Ozcan Ozturk}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {{SPM} management using Markov chain based data access prediction}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {565--569}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681632}, doi = {10.1109/ICCAD.2008.4681632}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YemlihaSKO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuL08, author = {Guo Yu and Peng Li}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Yield-aware hierarchical optimization of large analog integrated circuits}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {79--84}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681555}, doi = {10.1109/ICCAD.2008.4681555}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangC08, author = {Sushu Zhang and Karam S. Chatha}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {System-level thermal aware design of applications with uncertain execution time}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {242--249}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681581}, doi = {10.1109/ICCAD.2008.4681581}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangXC08, author = {Yanheng Zhang and Yue Xu and Chris Chu}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {FastRoute3.0: a fast and high quality global router based on virtual capacity}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {344--349}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681596}, doi = {10.1109/ICCAD.2008.4681596}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangXC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangXWYT08, author = {Jinyu Zhang and Wei Xiong and Yan Wang and Zhiping Yu and Min{-}Chun Tsai}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {480--487}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681618}, doi = {10.1109/ICCAD.2008.4681618}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangXWYT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuTBC08, author = {Yi Zhu and Michael B. Taylor and Scott B. Baden and Chung{-}Kuan Cheng}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Advancing supercomputer performance through interconnection topology synthesis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {555--558}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681630}, doi = {10.1109/ICCAD.2008.4681630}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhuTBC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZolotovXFV08, author = {Vladimir Zolotov and Jinjun Xiong and Hanif Fatemi and Chandu Visweswariah}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Statistical path selection for at-speed test}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {624--631}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681642}, doi = {10.1109/ICCAD.2008.4681642}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZolotovXFV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2008, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://ieeexplore.ieee.org/xpl/conhome/4670335/proceeding}, isbn = {978-1-4244-2820-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.