Search dblp for Publications

export results for "toc:db/conf/iccad/iccad2009.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccad/AgarwalAP09,
  author       = {Kanak Agarwal and
                  Dhruva Acharyya and
                  Jim Plusquellic},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Characterizing within-die variation from multiple supply port {IDDQ}
                  measurements},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {418--424},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687479},
  doi          = {10.1145/1687399.1687479},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AgarwalAP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlizadehF09,
  author       = {Bijan Alizadeh and
                  Masahiro Fujita},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Improved heuristics for finite word-length polynomial datapath optimization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {739--744},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687536},
  doi          = {10.1145/1687399.1687536},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AlizadehF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlkabaniK09,
  author       = {Yousra Alkabani and
                  Farinaz Koushanfar},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Consistency-based characterization for {IC} Trojan detection},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {123--127},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687426},
  doi          = {10.1145/1687399.1687426},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AlkabaniK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AlvesDBN09,
  author       = {Nuno Alves and
                  Jennifer Dworak and
                  R. Iris Bahar and
                  Kundan Nepal},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Compacting test vector sets via strategic use of implications},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {83--88},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687418},
  doi          = {10.1145/1687399.1687418},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AlvesDBN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AthanasopoulosBLI09,
  author       = {Panagiotis Athanasopoulos and
                  Philip Brisk and
                  Yusuf Leblebici and
                  Paolo Ienne},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Memory organization and data layout for instruction set extensions
                  with architecturally visible storage},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {689--696},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687527},
  doi          = {10.1145/1687399.1687527},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AthanasopoulosBLI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BansalSKMLASKCNHD09,
  author       = {Aditya Bansal and
                  Rama N. Singh and
                  Rouwaida Kanj and
                  Saibal Mukhopadhyay and
                  Jin{-}Fuw Lee and
                  Emrah Acar and
                  Amith Singhee and
                  Keunwoo Kim and
                  Ching{-}Te Chuang and
                  Sani R. Nassif and
                  Fook{-}Luen Heng and
                  Koushik K. Das},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Yield estimation of {SRAM} circuits using "Virtual {SRAM} Fab"},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {631--636},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687516},
  doi          = {10.1145/1687399.1687516},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/BansalSKMLASKCNHD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BruttomessoS09,
  author       = {Roberto Bruttomesso and
                  Natasha Sharygina},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A scalable decision procedure for fixed-width bit-vectors},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {13--20},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687403},
  doi          = {10.1145/1687399.1687403},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/BruttomessoS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CasanovaC09,
  author       = {Jonas Casanova and
                  Jordi Cortadella},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Multi-level clustering for clock skew optimization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {547--554},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687502},
  doi          = {10.1145/1687399.1687502},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CasanovaC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CastrillonZKVLA09,
  author       = {Jer{\'{o}}nimo Castrill{\'{o}}n and
                  Diandian Zhang and
                  Torsten Kempf and
                  Bart Vanthournout and
                  Rainer Leupers and
                  Gerd Ascheid},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Task management in MPSoCs: An {ASIP} approach},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {587--594},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687508},
  doi          = {10.1145/1687399.1687508},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CastrillonZKVLA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChakrabortyB09,
  author       = {Rajat Subhra Chakraborty and
                  Swarup Bhunia},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Security against hardware Trojan through a novel application of design
                  obfuscation},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {113--116},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687424},
  doi          = {10.1145/1687399.1687424},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChakrabortyB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChakrabortySJ09,
  author       = {Ritochit Chakraborty and
                  Arun V. Sathanur and
                  Vikram Jandhyala},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Active-passive co-synthesis of multi-GigaHertz radio frequency circuits
                  with broadband parametric macromodels of on-chip passives},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {759--766},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687540},
  doi          = {10.1145/1687399.1687540},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChakrabortySJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChanCR09,
  author       = {Tony F. Chan and
                  Jason Cong and
                  Eric Radke},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A rigorous framework for convergent net weighting schemes in timing-driven
                  placement},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {288--294},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687454},
  doi          = {10.1145/1687399.1687454},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChanCR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChangTM09,
  author       = {Fong{-}Yuan Chang and
                  Ren{-}Song Tsay and
                  Wai{-}Kei Mak},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {How to consider shorts and guarantee yield rate improvement for redundant
                  wire insertion},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {33--38},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687407},
  doi          = {10.1145/1687399.1687407},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChangTM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChatterjeeRYM09,
  author       = {Subho Chatterjee and
                  Mitchelle Rasquinha and
                  Sudhakar Yalamanchili and
                  Saibal Mukhopadhyay},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A methodology for robust, energy efficient design of Spin-Torque-Transfer
                  {RAM} arrays at scaled technologies},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {474--477},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687489},
  doi          = {10.1145/1687399.1687489},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChatterjeeRYM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenO09,
  author       = {Mingjing Chen and
                  Alex Orailoglu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Scan power reduction in linear test data compression scheme},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {78--82},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687417},
  doi          = {10.1145/1687399.1687417},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenW09,
  author       = {Yung{-}Chih Chen and
                  Chun{-}Yao Wang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Fast detection of node mergers using logic implications},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {785--788},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687545},
  doi          = {10.1145/1687399.1687545},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChienCLCLCTT09,
  author       = {Tzuo{-}Fan Chien and
                  Wen{-}Chi Chao and
                  James Chien{-}Mo Li and
                  Yao{-}Wen Chang and
                  Kuan{-}Yu Liao and
                  Ming{-}Tung Chang and
                  Min{-}Hsiu Tsai and
                  Chih{-}Mou Tseng},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{BIST} design optimization for large-scale embedded memory cores},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {197--200},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687435},
  doi          = {10.1145/1687399.1687435},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChienCLCLCTT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChongAPYHMW09,
  author       = {Soogine Chong and
                  Kerem Akarvardar and
                  Roozbeh Parsa and
                  Jun{-}Bo Yoon and
                  Roger T. Howe and
                  Subhasish Mitra and
                  H.{-}S. Philip Wong},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Nanoelectromechanical {(NEM)} relays integrated with {CMOS} {SRAM}
                  for improved stability and low leakage},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {478--484},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687490},
  doi          = {10.1145/1687399.1687490},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChongAPYHMW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChuangLC09,
  author       = {Yi{-}Lin Chuang and
                  Po{-}Wei Lee and
                  Yao{-}Wen Chang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Voltage-drop aware analytical placement by global power spreading
                  for mixed-size circuit designs},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {666--673},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687523},
  doi          = {10.1145/1687399.1687523},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChuangLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChungA09,
  author       = {Jaeyong Chung and
                  Jacob A. Abraham},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A hierarchy of subgraphs underlying a timing graph and its use in
                  capturing topological correlation in {SSTA}},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {321--327},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687461},
  doi          = {10.1145/1687399.1687461},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChungA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongJLZ09,
  author       = {Jason Cong and
                  Wei Jiang and
                  Bin Liu and
                  Yi Zou},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Automatic memory partitioning and scheduling for throughput and power
                  optimization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {697--704},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687528},
  doi          = {10.1145/1687399.1687528},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CongJLZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongLZ09,
  author       = {Jason Cong and
                  Bin Liu and
                  Zhiru Zhang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Scheduling with soft constraints},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {47--54},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687410},
  doi          = {10.1145/1687399.1687410},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CongLZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongZ09,
  author       = {Jason Cong and
                  Yi Zou},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Parallel multi-level analytical global placement on graphics processing
                  units},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {681--688},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687525},
  doi          = {10.1145/1687399.1687525},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CongZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CroixK09,
  author       = {John F. Croix and
                  Sunil P. Khatri},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Introduction to {GPU} programming for {EDA}},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {276--280},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687451},
  doi          = {10.1145/1687399.1687451},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CroixK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DaiLL09,
  author       = {Ke{-}Ren Dai and
                  Chien{-}Hung Lu and
                  Yih{-}Lang Li},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {GRPlacer: Improving routability and wire-length of global routing
                  with circuit replacement},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {351--356},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687466},
  doi          = {10.1145/1687399.1687466},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DaiLL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DengWM09,
  author       = {Yangdong Deng and
                  Bo D. Wang and
                  Shuai Mu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Taming irregular {EDA} applications on GPUs},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {539--546},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687501},
  doi          = {10.1145/1687399.1687501},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DengWM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DongJX09,
  author       = {Xiangyu Dong and
                  Norman P. Jouppi and
                  Yuan Xie},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {PCRAMsim: System-level performance, energy, and area modeling for
                  Phase-Change {RAM}},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {269--275},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687449},
  doi          = {10.1145/1687399.1687449},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DongJX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DongL09,
  author       = {Wei Dong and
                  Peng Li},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Final-value ODEs: Stable numerical integration and its application
                  to parallel circuit analysis},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {403--409},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687476},
  doi          = {10.1145/1687399.1687476},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DongL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/EbiFH09,
  author       = {Thomas Ebi and
                  Mohammad Abdullah Al Faruque and
                  J{\"{o}}rg Henkel},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{TAPE:} Thermal-aware agent-based power econom multi/many-core architectures},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {302--309},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687457},
  doi          = {10.1145/1687399.1687457},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/EbiFH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/El-MoselhyED09,
  author       = {Tarek A. El{-}Moselhy and
                  Ibrahim M. Elfadel and
                  Luca Daniel},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A hierarchical floating random walk algorithm for fabric-aware 3D
                  capacitance extraction},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {752--758},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687539},
  doi          = {10.1145/1687399.1687539},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/El-MoselhyED09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FengH09,
  author       = {Yujia Feng and
                  Shiyan Hu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {The epsilon-approximation to discrete {VT} assignment for leakage
                  power minimization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {281--287},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687453},
  doi          = {10.1145/1687399.1687453},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/FengH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FengHHM09,
  author       = {Zhe Feng and
                  Yu Hu and
                  Lei He and
                  Rupak Majumdar},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{IPR:} In-Place Reconfiguration for {FPGA} fault tolerance},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {105--108},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687422},
  doi          = {10.1145/1687399.1687422},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/FengHHM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GhaidaG09,
  author       = {Rani S. Ghaida and
                  Puneet Gupta},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A framework for early and systematic evaluation of design rules},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {615--622},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687513},
  doi          = {10.1145/1687399.1687513},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/GhaidaG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GongZTZ09,
  author       = {Min Gong and
                  Hai Zhou and
                  Jun Tao and
                  Xuan Zeng},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Binning optimization based on {SSTA} for transparently-latched circuits},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {328--335},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687462},
  doi          = {10.1145/1687399.1687462},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/GongZTZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Gu09,
  author       = {Chenjie Gu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{QLMOR:} {A} new projection-based approach for nonlinear model order
                  reduction},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {389--396},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687474},
  doi          = {10.1145/1687399.1687474},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Gu09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/GuptaJK09,
  author       = {Mohit Gupta and
                  Kwangok Jeong and
                  Andrew B. Kahng},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Timing yield-aware color reassignment and detailed placement perturbation
                  for double patterning lithography},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {607--614},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687512},
  doi          = {10.1145/1687399.1687512},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/GuptaJK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HanumaiahVC09,
  author       = {Vinay Hanumaiah and
                  Sarma B. K. Vrudhula and
                  Karam S. Chatha},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Maximizing performance of thermally constrained multi-core processors
                  by dynamic voltage and frequency control},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {310--313},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687458},
  doi          = {10.1145/1687399.1687458},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HanumaiahVC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HeloueKN09,
  author       = {Khaled R. Heloue and
                  Chandramouli V. Kashyap and
                  Farid N. Najm},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Quantifying robustness metrics in parameterized static timing analysis},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {209--216},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687438},
  doi          = {10.1145/1687399.1687438},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HeloueKN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HoHL09,
  author       = {Yenpo Ho and
                  Garng M. Huang and
                  Peng Li},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Nonvolatile memristor memory: Device characteristics and design implications},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {485--490},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687491},
  doi          = {10.1145/1687399.1687491},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HoHL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HsuCN09,
  author       = {Chin{-}Hsiung Hsu and
                  Yao{-}Wen Chang and
                  Sani R. Nassif},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Simultaneous layout migration and decomposition for double patterning
                  technology},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {595--600},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687510},
  doi          = {10.1145/1687399.1687510},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HsuCN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HsuHWH09,
  author       = {Chih{-}Jen Hsu and
                  Shao{-}Lun Huang and
                  Chi{-}An Wu and
                  Chung{-}Yang Huang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Interpolant generation without constructing resolution graph},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {9--12},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687402},
  doi          = {10.1145/1687399.1687402},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HsuHWH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuangLH09,
  author       = {Tsung{-}Wei Huang and
                  Chun{-}Hsien Lin and
                  Tsung{-}Yi Ho},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A contamination aware droplet routing algorithm for digital microfluidic
                  biochips},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {151--156},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/document/5361300/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HuangLH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JaffariA09,
  author       = {Javid Jaffari and
                  Mohab Anis},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Adaptive sampling for efficient failure probability analysis of {SRAM}
                  cells},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {623--630},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687515},
  doi          = {10.1145/1687399.1687515},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JaffariA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JayaseelanM09,
  author       = {Ramkumar Jayaseelan and
                  Tulika Mitra},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A hybrid local-global approach for multi-core thermal management},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {314--320},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687459},
  doi          = {10.1145/1687399.1687459},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JayaseelanM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JiangLH09,
  author       = {Jie{-}Hong Roland Jiang and
                  Hsuan{-}Po Lin and
                  Wei{-}Lun Hung},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Interpolating functions from large Boolean relations},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {779--784},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687544},
  doi          = {10.1145/1687399.1687544},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JiangLH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JiangXCM09,
  author       = {Li Jiang and
                  Qiang Xu and
                  Krishnendu Chakrabarty and
                  T. M. Mak},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Layout-driven test-architecture design and optimization for 3D SoCs
                  under pre-bond test-pin-count constraint},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {191--196},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687434},
  doi          = {10.1145/1687399.1687434},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JiangXCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KanjJAWN09,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Chad Adams and
                  James D. Warnock and
                  Sani R. Nassif},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An elegant hardware-corroborated statistical repair and test methodology
                  for conquering aging effects},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {497--504},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687494},
  doi          = {10.1145/1687399.1687494},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KanjJAWN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KimAL09,
  author       = {Dae Hyun Kim and
                  Krit Athikulwongse and
                  Sung Kyu Lim},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A study of Through-Silicon-Via impact on the 3D stacked {IC} layout},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {674--680},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687524},
  doi          = {10.1145/1687399.1687524},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KimAL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KrishnaswamyRMP09,
  author       = {Smita Krishnaswamy and
                  Haoxing Ren and
                  Nilesh Modi and
                  Ruchir Puri},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {DeltaSyn: An efficient logic difference optimizer for {ECO} synthesis},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {789--796},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687546},
  doi          = {10.1145/1687399.1687546},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KrishnaswamyRMP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LaiGXW09,
  author       = {Ming{-}che Lai and
                  Lei Gao and
                  Nong Xiao and
                  Zhiying Wang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An accurate and efficient performance analysis approach based on queuing
                  model for network on chip},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {563--570},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687505},
  doi          = {10.1145/1687399.1687505},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LaiGXW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeCCC09,
  author       = {Ming{-}Chao Lee and
                  Yu{-}Ting Chen and
                  Yo{-}Tzu Cheng and
                  Shih{-}Chieh Chang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An efficient wakeup scheduling considering resource constraint for
                  sensor-based power gating designs},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {457--460},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687485},
  doi          = {10.1145/1687399.1687485},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeCCC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeGL09,
  author       = {Young{-}Joon Lee and
                  Rohan Goel and
                  Sung Kyu Lim},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Multi-functional interconnect co-optimization for fast and reliable
                  3D stacked ICs},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {645--651},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687519},
  doi          = {10.1145/1687399.1687519},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeGL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeLCST09,
  author       = {Po{-}Wei Lee and
                  Chung{-}Wei Lin and
                  Yao{-}Wen Chang and
                  Chin{-}Fang Shen and
                  Wei{-}Chih Tseng},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An efficient pre-assignment routing algorithm for flip-chip designs},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {239--244},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687443},
  doi          = {10.1145/1687399.1687443},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeLCST09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeePS09,
  author       = {Seonggwan Lee and
                  Seungwhun Paik and
                  Youngsoo Shin},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Retiming and time borrowing: Optimizing high-performance pulsed-latch-based
                  circuits},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {375--380},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687471},
  doi          = {10.1145/1687399.1687471},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LeePS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiCS09,
  author       = {Bing Li and
                  Ning Chen and
                  Ulf Schlichtmann},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Timing model extraction for sequential circuits considering process
                  variations},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {333--343},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687463},
  doi          = {10.1145/1687399.1687463},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiCS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiMM09,
  author       = {Yanjing Li and
                  Onur Mutlu and
                  Subhasish Mitra},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Operating system scheduling for efficient online self-test in robust
                  systems},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {201--208},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687436},
  doi          = {10.1145/1687399.1687436},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiMM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiQY09,
  author       = {Liang Li and
                  Zaichen Qian and
                  Evangeline F. Y. Young},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Generation of optimal obstacle-avoiding rectilinear Steiner minimum
                  tree},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {21--25},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687405},
  doi          = {10.1145/1687399.1687405},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiQY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiRB09,
  author       = {Xin Li and
                  Rob A. Rutenbar and
                  R. D. (Shawn) Blanton},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Virtual probe: {A} statistically optimal framework for minimum-cost
                  silicon characterization of nanoscale integrated circuits},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {433--440},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687481},
  doi          = {10.1145/1687399.1687481},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiRB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinBP09,
  author       = {Lang Lin and
                  Wayne P. Burleson and
                  Christof Paar},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{MOLES:} Malicious off-chip leakage enabled by side-channels},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {117--122},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687425},
  doi          = {10.1145/1687399.1687425},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinBP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinMCW09,
  author       = {Yu{-}Chen Lin and
                  Wai{-}Kei Mak and
                  Chris Chu and
                  Ting{-}Chi Wang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Pad assignment for die-stacking System-in-Package design},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {249--255},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687445},
  doi          = {10.1145/1687399.1687445},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinMCW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuYKW09,
  author       = {Chih{-}Hung Liu and
                  Shih{-}Yi Yuan and
                  Sy{-}Yen Kuo and
                  Jung{-}Hung Weng},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Obstacle-avoiding rectilinear Steiner tree construction based on Steiner
                  point selection},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {26--32},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687406},
  doi          = {10.1145/1687399.1687406},
  timestamp    = {Tue, 14 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuYKW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LongLWDY09,
  author       = {Hengyu Long and
                  Yongpan Liu and
                  Yiqun Wang and
                  Robert P. Dick and
                  Huazhong Yang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Battery allocation for wireless sensor network lifetime maximization
                  under cost constraints},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {705--712},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687529},
  doi          = {10.1145/1687399.1687529},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LongLWDY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MiyaseYNIHAWK09,
  author       = {Kohei Miyase and
                  Yuta Yamato and
                  Kenji Noda and
                  Hideaki Ito and
                  Kazumi Hatayama and
                  Takashi Aikyo and
                  Xiaoqing Wen and
                  Seiji Kajihara},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A novel post-ATPG IR-drop reduction scheme for at-speed scan testing
                  in broadcast-scan-based test compression environment},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {97--104},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687420},
  doi          = {10.1145/1687399.1687420},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/MiyaseYNIHAWK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MizunumaYL09,
  author       = {Hitoshi Mizunuma and
                  Chia{-}Lin Yang and
                  Yi{-}Chang Lu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Thermal modeling for 3D-ICs with integrated microchannel cooling},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {256--263},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687447},
  doi          = {10.1145/1687399.1687447},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/MizunumaYL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Moffitt09,
  author       = {Michael D. Moffitt},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Global routing revisited},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {805--808},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687549},
  doi          = {10.1145/1687399.1687549},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Moffitt09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MyersBKJMN09,
  author       = {Chris J. Myers and
                  Nathan A. Barker and
                  Hiroyuki Kuwahara and
                  Kevin R. Jones and
                  Curtis Madsen and
                  Nam{-}Phuong D. Nguyen},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Genetic design automation},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {713--716},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687531},
  doi          = {10.1145/1687399.1687531},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/MyersBKJMN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NikitinC09,
  author       = {Nikita Nikitin and
                  Jordi Cortadella},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A performance analytical model for Network-on-Chip with constant service
                  time routers},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {571--578},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687506},
  doi          = {10.1145/1687399.1687506},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/NikitinC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OllikainenSCKK09,
  author       = {Noah Ollikainen and
                  Ellen Sentovich and
                  Carlos Coelho and
                  Andreas Kuehlmann and
                  Tanja Kortemme},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {SAT-based protein design},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {128--135},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/document/5361301/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/OllikainenSCKK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OnaissiHN09,
  author       = {Sari Onaissi and
                  Khaled R. Heloue and
                  Farid N. Najm},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {PSTA-based branch and bound approach to the silicon speedpath isolation
                  problem},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {217--224},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687439},
  doi          = {10.1145/1687399.1687439},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/OnaissiHN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OzdalH09,
  author       = {Muhammet Mustafa Ozdal and
                  Renato Fernandes Hentschke},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Exact route matching algorithms for analog and mixed signal integrated
                  circuits},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {231--238},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687442},
  doi          = {10.1145/1687399.1687442},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/OzdalH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PallaBEAD09,
  author       = {Murthy Palla and
                  Jens Bargfrede and
                  Stephan Eggersgl{\"{u}}{\ss} and
                  Walter Anheier and
                  Rolf Drechsler},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Timing Arc based logic analysis for false noise reduction},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {225--230},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687440},
  doi          = {10.1145/1687399.1687440},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PallaBEAD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PaulCMB09,
  author       = {Somnath Paul and
                  Subho Chatterjee and
                  Saibal Mukhopadhyay and
                  Swarup Bhunia},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A circuit-software co-design approach for improving {EDP} in reconfigurable
                  frameworks},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {109--112},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687423},
  doi          = {10.1145/1687399.1687423},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PaulCMB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PaulMB09,
  author       = {Somnath Paul and
                  Saibal Mukhopadhyay and
                  Swarup Bhunia},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A variation-aware preferential design approach for memory based reconfigurable
                  computing},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {180--183},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687431},
  doi          = {10.1145/1687399.1687431},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PaulMB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PengWB09,
  author       = {Huan{-}Kai Peng and
                  Charles H.{-}P. Wen and
                  Jayanta Bhadra},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {On soft error rate analysis of scaled {CMOS} designs - {A} statistical
                  perspective},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {157--163},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687428},
  doi          = {10.1145/1687399.1687428},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PengWB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/QianLD09,
  author       = {Yue Qian and
                  Zhonghai Lu and
                  Wenhua Dou},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {From 2D to 3D NoCs: {A} case study on worst-case communication performance},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {555--562},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687504},
  doi          = {10.1145/1687399.1687504},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/QianLD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/QianRBL09,
  author       = {Weikang Qian and
                  Marc D. Riedel and
                  Kia Bazargan and
                  David J. Lilja},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {The synthesis of combinational logic to generate probabilities},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {367--374},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687470},
  doi          = {10.1145/1687399.1687470},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/QianRBL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RahmatiMBAMS09,
  author       = {Dara Rahmati and
                  Srinivasan Murali and
                  Luca Benini and
                  Federico Angiolini and
                  Giovanni De Micheli and
                  Hamid Sarbazi{-}Azad},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A method for calculating hard QoS guarantees for Networks-on-Chip},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {579--586},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687507},
  doi          = {10.1145/1687399.1687507},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/RahmatiMBAMS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RasouliEB09,
  author       = {Seid Hadi Rasouli and
                  Kazuhiko Endo and
                  Kaustav Banerjee},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Variability analysis of FinFET-based devices and circuits considering
                  electrical confinement and width quantization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {505--512},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687495},
  doi          = {10.1145/1687399.1687495},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/RasouliEB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RoyVNAM09,
  author       = {Jarrod A. Roy and
                  Natarajan Viswanathan and
                  Gi{-}Joon Nam and
                  Charles J. Alpert and
                  Igor L. Markov},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{CRISP:} Congestion reduction by iterated spreading during placement},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {357--362},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687467},
  doi          = {10.1145/1687399.1687467},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/RoyVNAM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Schubert09,
  author       = {Klaus{-}Dieter Schubert},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{POWER7} - Verification challenge of a multi-core processor},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {809--812},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687551},
  doi          = {10.1145/1687399.1687551},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Schubert09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShafiqueBH09,
  author       = {Muhammad Shafique and
                  Lars Bauer and
                  J{\"{o}}rg Henkel},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {REMiS: Run-time energy minimization scheme in a reconfigurable processor
                  with dynamic power-gated instruction set},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {55--62},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687411},
  doi          = {10.1145/1687399.1687411},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShafiqueBH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SheaRFP09,
  author       = {Adam Shea and
                  Marc D. Riedel and
                  Brian Fett and
                  Keshab K. Parhi},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Synthesizing sequential register-based computation with biochemistry},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {136--143},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/document/5361302/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SheaRFP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShenZQL09,
  author       = {ShengYu Shen and
                  Jianmin Zhang and
                  Ying Qin and
                  Sikun Li},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Synthesizing complementary circuits automatically},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {381--388},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687472},
  doi          = {10.1145/1687399.1687472},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShenZQL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShinKCCCC09,
  author       = {Donghwa Shin and
                  Jihun Kim and
                  Naehyuck Chang and
                  Jinhang Choi and
                  Sung Woo Chung and
                  Eui{-}Young Chung},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Energy-optimal dynamic thermal management for green computing},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {652--657},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687520},
  doi          = {10.1145/1687399.1687520},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShinKCCCC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SinghHCO09,
  author       = {Ashish Kumar Singh and
                  Ku He and
                  Constantine Caramanis and
                  Michael Orshansky},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Mitigation of intra-array {SRAM} variability using adaptive voltage
                  architecture},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {637--644},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687517},
  doi          = {10.1145/1687399.1687517},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SinghHCO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SuHYCC09,
  author       = {Yu{-}Shih Su and
                  Wing{-}Kai Hon and
                  Cheng{-}Chih Yang and
                  Shih{-}Chieh Chang and
                  Yeong{-}Jar Chang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Value assignment of adjustable delay buffers for clock skew minimization
                  in multi-voltage mode designs},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {535--538},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687500},
  doi          = {10.1145/1687399.1687500},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SuHYCC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SuvakD09,
  author       = {Onder Suvak and
                  Alper Demir},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Computing quadratic approximations for the isochrons of oscillators:
                  {A} general theory and advanced numerical methods},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {397--402},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687475},
  doi          = {10.1145/1687399.1687475},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SuvakD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TanLQ09,
  author       = {Ying Tan and
                  Wei Liu and
                  Qinru Qiu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Adaptive power management using reinforcement learning},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {461--467},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687486},
  doi          = {10.1145/1687399.1687486},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TanLQ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ThornquistKHDB09,
  author       = {Heidi Thornquist and
                  Eric R. Keiter and
                  Robert J. Hoekstra and
                  David M. Day and
                  Erik G. Boman},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A parallel preconditioning strategy for efficient transistor-level
                  circuit simulation},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {410--417},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687477},
  doi          = {10.1145/1687399.1687477},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ThornquistKHDB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TiwaryGPPZ09,
  author       = {Saurabh K. Tiwary and
                  Anubhav Gupta and
                  Joel R. Phillips and
                  Claudio Pinello and
                  Radu Zlatanovici},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {First steps towards SAT-based formal analog verification},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {1--8},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687401},
  doi          = {10.1145/1687399.1687401},
  timestamp    = {Fri, 01 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/TiwaryGPPZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TrivediKG09,
  author       = {Kishor S. Trivedi and
                  Dong Seong Kim and
                  Rahul Ghosh},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Resilience in computer systems and networks},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {74--77},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687415},
  doi          = {10.1145/1687399.1687415},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TrivediKG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TschanzBWLK09,
  author       = {James W. Tschanz and
                  Keith A. Bowman and
                  Chris Wilkerson and
                  Shih{-}Lien Lu and
                  Tanay Karnik},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Resilient circuits - Enabling energy-efficient performance and reliability},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {71--73},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687414},
  doi          = {10.1145/1687399.1687414},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TschanzBWLK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TsengCLL09,
  author       = {Tsun{-}Ming Tseng and
                  Mango Chia{-}Tso Chao and
                  Chien Pang Lu and
                  Chen Hsing Lo},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Power-switch routing for coarse-grain {MTCMOS} technologies},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {39--46},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687408},
  doi          = {10.1145/1687399.1687408},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TsengCLL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TsotaKB09,
  author       = {Kalliopi Tsota and
                  Cheng{-}Kok Koh and
                  Venkataramanan Balakrishnan},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {A study of routability estimation and clustering in placement},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {363--366},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687468},
  doi          = {10.1145/1687399.1687468},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TsotaKB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TsukDOW09,
  author       = {Michael J. Tsuk and
                  Daniel Dvorscak and
                  Chin Siong Ong and
                  Jacob White},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An electrical-level superposed-edge approach to statistical serial
                  link simulation},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {717--724},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687533},
  doi          = {10.1145/1687399.1687533},
  timestamp    = {Sat, 29 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TsukDOW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/UllahLH09,
  author       = {Ehsan Ullah and
                  Kyongbum Lee and
                  Soha Hassoun},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An algorithm for identifying dominant-edge metabolic pathways},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {144--150},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/document/5361299/},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/UllahLH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/VaidyanathanOX09,
  author       = {Balaji Vaidyanathan and
                  Anthony S. Oates and
                  Yuan Xie},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Intrinsic NBTI-variability aware statistical pipeline performance
                  assessment and tuning},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {164--171},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687429},
  doi          = {10.1145/1687399.1687429},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/VaidyanathanOX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/VermaBI09,
  author       = {Ajay Kumar Verma and
                  Philip Brisk and
                  Paolo Ienne},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Iterative layering: Optimizing arithmetic circuits by structuring
                  the information flow},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {797--804},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687547},
  doi          = {10.1145/1687399.1687547},
  timestamp    = {Tue, 03 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/VermaBI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WanC09,
  author       = {Lu Wan and
                  Deming Chen},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {DynaTune: Circuit-level optimization for timing speculation considering
                  dynamic path behavior},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {172--179},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687430},
  doi          = {10.1145/1687399.1687430},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WanC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangCZTE09,
  author       = {Xiaoyi Wang and
                  Yici Cai and
                  Qiang Zhou and
                  Sheldon X.{-}D. Tan and
                  Thom Jefferson A. Eguia},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Decoupling capacitance efficient placement for reducing transient
                  power supply noise},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {745--751},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687538},
  doi          = {10.1145/1687399.1687538},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WangCZTE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangZLCC09,
  author       = {Chi{-}Chao Wang and
                  Wei Zhao and
                  Frank Liu and
                  Min Chen and
                  Yu Cao},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Modeling of layout-dependent stress effect in {CMOS} design},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {513--520},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687496},
  doi          = {10.1145/1687399.1687496},
  timestamp    = {Fri, 23 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WangZLCC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XionqSZV09,
  author       = {Jiniun Xionq and
                  Yiyu Shi and
                  Vladimir Zolotov and
                  Chandu Visweswariah},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Pre-ATPG path selection for near optimal post-ATPG process space coverage},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {89--96},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687419},
  doi          = {10.1145/1687399.1687419},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XionqSZV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XuC09,
  author       = {Yue Xu and
                  Chris Chu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{GREMA:} Graph reduction based efficient mask assignment for double
                  patterning technology},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {601--606},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687511},
  doi          = {10.1145/1687399.1687511},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/XuC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XuJKRDSB09,
  author       = {Chuan Xu and
                  Lijun Jiang and
                  Seshadri K. Kolluri and
                  Barry J. Rubin and
                  Alina Deutsch and
                  Howard H. Smith and
                  Kaustav Banerjee},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Fast 3-D thermal analysis of complex interconnect structures using
                  electrical modeling and simulation methodologies},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {658--665},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687521},
  doi          = {10.1145/1687399.1687521},
  timestamp    = {Fri, 07 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/XuJKRDSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XuVJ09,
  author       = {Hao Xu and
                  Ranga Vemuri and
                  Wen{-}Ben Jone},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Temporal and spatial idleness exploitation for optimal-grained leakage
                  control},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {468--473},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687487},
  doi          = {10.1145/1687399.1687487},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/XuVJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XueYDWYY09,
  author       = {Jiying Xue and
                  Zuochang Ye and
                  Yangdong Deng and
                  Hongrui Wang and
                  Liu Yang and
                  Zhiping Yu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Layout-dependent {STI} stress analysis and stress-aware RF/analog
                  circuit design optimization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {521--528},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687497},
  doi          = {10.1145/1687399.1687497},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/XueYDWYY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YanKW09,
  author       = {Tan Yan and
                  Hui Kong and
                  Martin D. F. Wong},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Optimal layer assignment for escape routing of buses},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {245--248},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687444},
  doi          = {10.1145/1687399.1687444},
  timestamp    = {Fri, 06 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YanKW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YaoSHP09,
  author       = {Wei Yao and
                  Yiyu Shi and
                  Lei He and
                  Sudhakar Pamarti},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Joint design-time and post-silicon optimization for digitally tuned
                  analog circuits},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {725--730},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687534},
  doi          = {10.1145/1687399.1687534},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YaoSHP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YeNL09,
  author       = {Xiaoji Ye and
                  Srinath Narasimhan and
                  Peng Li},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Leveraging efficient parallel pattern search for clock mesh optimization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {529--534},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687499},
  doi          = {10.1145/1687399.1687499},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YeNL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YeSP09,
  author       = {Zuochang Ye and
                  Lu{\'{\i}}s Miguel Silveira and
                  Joel R. Phillips},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Fast and reliable passivity assessment and enforcement with extended
                  Hamiltonian pencil},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {774--778},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687542},
  doi          = {10.1145/1687399.1687542},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YeSP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YeY09,
  author       = {Zuochang Ye and
                  Zhiping Yu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {An efficient algorithm for modeling spatially-correlated process variation
                  in statistical full-chip leakage analysis},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {295--301},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687455},
  doi          = {10.1145/1687399.1687455},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YeY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangC09,
  author       = {Yanheng Zhang and
                  Chris Chu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{CROP:} Fast and effective congestion refinement of placement},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {344--350},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687465},
  doi          = {10.1145/1687399.1687465},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangL09,
  author       = {Yong Zhang and
                  Peng Li},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Gene-regulatory memories: Electrical-equivalent modeling, simulation
                  and parameter identification},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {491--496},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687492},
  doi          = {10.1145/1687399.1687492},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangLW09,
  author       = {Zheng Zhang and
                  Chi{-}Un Lei and
                  Ngai Wong},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {{GHM:} {A} generalized Hamiltonian method for passivity test of impedance/admittance
                  descriptor systems},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {767--773},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687541},
  doi          = {10.1145/1687399.1687541},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangLW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangZZ09,
  author       = {Linsheng Zhang and
                  Yan Zhang and
                  Wenbiao Zhou},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Fast trade-off evaluation for digital signal processing systems during
                  wordlength optimization},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {731--738},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687535},
  doi          = {10.1145/1687399.1687535},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangZZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoA09,
  author       = {Baoxian Zhao and
                  Hakan Aydin},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Minimizing expected energy consumption through optimal integration
                  of {DVS} and {DPM}},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {449--456},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687484},
  doi          = {10.1145/1687399.1687484},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoAZ09,
  author       = {Baoxian Zhao and
                  Hakan Aydin and
                  Dakai Zhu},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Enhanced reliability-aware power management through shared recovery
                  technique},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {63--70},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687412},
  doi          = {10.1145/1687399.1687412},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoAZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoLLL09,
  author       = {Xin Zhao and
                  Dean L. Lewis and
                  Hsien{-}Hsin S. Lee and
                  Sung Kyu Lim},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Pre-bond testable low-power clock tree design for 3D stacked ICs},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {184--190},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687433},
  doi          = {10.1145/1687399.1687433},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoLLL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhouZYZ09,
  author       = {Ping Zhou and
                  Bo Zhao and
                  Jun Yang and
                  Youtao Zhang},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Energy reduction for {STT-RAM} using early write termination},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {264--268},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687448},
  doi          = {10.1145/1687399.1687448},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhouZYZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhuoBS09,
  author       = {Cheng Zhuo and
                  David T. Blaauw and
                  Dennis Sylvester},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Post-fabrication measurement-driven oxide breakdown reliability prediction
                  and management},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {441--448},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687482},
  doi          = {10.1145/1687399.1687482},
  timestamp    = {Mon, 09 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhuoBS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZolotovVX09,
  author       = {Vladimir Zolotov and
                  Chandu Visweswariah and
                  Jinjun Xiong},
  editor       = {Jaijeet S. Roychowdhury},
  title        = {Voltage binning under process variation},
  booktitle    = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  pages        = {425--432},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399.1687480},
  doi          = {10.1145/1687399.1687480},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZolotovVX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccad/2009,
  editor       = {Jaijeet S. Roychowdhury},
  title        = {2009 International Conference on Computer-Aided Design, {ICCAD} 2009,
                  San Jose, CA, USA, November 2-5, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1687399},
  doi          = {10.1145/1687399},
  isbn         = {978-1-60558-800-1},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics