


default search action
IEEE Journal of Solid-State Circuits, Volume 60
Volume 60, Number 1, January 2025
- Dennis Sylvester
:
New Associate Editor. 3 - Dennis Sylvester
:
New Associate Editor. 4 - Wei-Zen Chen
, Benton H. Calhoun, Chia-Hsiang Yang, Shreyas Sen, Jun Yang:
Guest Editorial Introduction to the Special Section on the 2024 IEEE International Solid-State Circuits Conference (ISSCC). 5-8 - Dirk Pfaff
, Muhammad Nummer, Noman Hai, Jingjing Xia
, Kai Ge Yang
, Mohammad-Mahdi Mohsenpour, Choon-Haw C. H. Leong, Marc-Andre LaCroix, Babak Zamanlooy, Tom Eeckelaert, Dmitry Petrov, Mostafa Haroun, Carson R. Dick, Alif Zaman, Haitao Mei, Tahseen Shakir, Carlos Carvalho, Howard Huang, Pratibha Kumari, Ralph Mason, Fahmida Brishty
, Ifrah Jaffri, David A. Yokoyama-Martin
:
A 224 Gb/s 3 pJ/bit 40 dB Insertion Loss Transceiver in 3-nm FinFET CMOS. 9-22 - Marco Cusmai
, Noam Familia, Elad Kuperberg
, Mohammad Nashash
, Dovid Gottesman, Zvi Marcus, Yeshayahu Horwitz, Sagi Zalcman, Jihwan Kim
, Sandipan Kundu
, Ilia Radashkevich, Yoav Segal, Dror Lazar, Udi Virobnik, Peng Li, Ariel Cohen:
A 0.92-pJ/b PAM-4 and 0.61-pJ/b PAM-6 224-Gb/s DAC-Based Transmitter in 3-nm FinFET. 23-34 - Samuel D. Spetalnick
, Ashwin Sanjay Lele
, Brian Crafton
, Muya Chang
, Sigang Ryu
, Jong-Hyeok Yoon
, Zhijian Hao
, Azadeh Ansari
, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang
, Arijit Raychowdhury
:
An Edge Accelerator With 5 MB of 0.256-pJ/bit Embedded RRAM and a Localization Solver for Bristle Robot Surveillance. 35-48 - Monodeep Kar
, Joel Silberman, Swagath Venkataramani
, Viji Srinivasan, Bruce M. Fleischer, Joshua Rubin, JohnDavid Lancaster, Sae Kyu Lee
, Matthew Cohen, Matthew M. Ziegler
, Nianzheng Cao
, Sandra Woodward, Ankur Agrawal
, Ching Zhou, Prasanth Chatarasi
, Thomas Gooding, Michael Guillorn, Bahman Hekmatshoartabari
, Philip Jacob
, Radhika Jain
, Shubham Jain
, Jinwook Jung
, Kyu-Hyoun Kim
, Siyu Koswatta
, Martin Lutz, Alberto Mannari, Abey Mathew
, Indira Nair, Ashish Ranjan, Zhibin Ren, Scot Rider, Thomas Röwer, David L. Satterfield
, Marcel Schaal, Sanchari Sen
, Gustavo Tellez, Hung Tran
, Wei Wang, Vidhi Zalani, Jintao Zhang
, Xin Zhang
, Vinay Shah, Robert M. Senger
, Arvind Kumar
, Pong-Fei Lu, Leland Chang:
Power-Limited Inference Performance Optimization Using a Software-Assisted Peak Current Regulation Scheme in a 5-nm AI SoC. 49-64 - Kaize Zhou
, Weiwei Shan
, Keran Li
, Zhuo Chen, Haitao Ge
, Jun Yang
:
A Proactive Droop Mitigation Technique Using Dual-Proportional-Derivative Controller Based on Current and Voltage Prediction. 65-74 - Suhwan Kim
, Harish K. Krishnamurthy
, Zakir K. Ahmed
, Nachiket V. Desai
, Sheldon Weng, Anne Augustine, Huong T. Do, Jingshu Yu
, Phong D. Bach, Xiaosen Liu
, Kaladhar Radhakrishnan
, Krishnan Ravichandran
, James W. Tschanz
, Vivek De
:
A Monolithic, 10.5 W/mm2, 600 MHz Top-Metal and C4 Planar Spiral Inductor-Based Integrated Buck Voltage Regulator on 16 nm Class. 75-84 - Liqun Feng
, Xuansheng Ji
, Longhao Kuang
, Qianxian Liao
, Su Han
, Jiahao Zhao
, Woogeun Rhee
, Zhihua Wang
:
An Ultra-Low-Voltage Bias-Current-Free Fractional-N Hybrid PLL With Voltage-Mode Phase Detection and Interpolation. 85-98 - Junha Ryu
, Hankyul Kwon
, Wonhoon Park
, Zhiyong Li
, Beomseok Kwon
, Donghyeon Han
, Dongseok Im
, Sangyeob Kim
, Hyungnam Joo
, Minsung Kim
, Hoi-Jun Yoo
:
NeuGPU: An Energy-Efficient Neural Graphics Processing Unit for Instant Modeling and Real-Time Rendering on Mobile Devices. 99-111 - Jueun Jung
, Seungbin Kim
, Bokyoung Seo
, Wuyoung Jang
, Sangho Lee
, Jeongmin Shin
, Donghyeon Han
, Kyuho Jason Lee
:
An Energy-Efficient Processor for Real-Time Semantic LiDAR SLAM in Mobile Robots. 112-124 - Shiyu Guo
, Yuhao Ju
, Xi Chen
, Sachin S. Sapatnekar
, Jie Gu
:
Mobile-PBR: A 28-nm Energy-Efficient Rendering Processor for Photorealistic Augmented Reality With Inverse Rendering and Background Clustering. 125-135 - Hyeokjun Kwon
, Hyunhoon Lee
, Gyuhyun Jung
, Youngjoo Lee
:
Energy-Efficient Flexible RNS-CKKS Processor for FHE-Based Privacy-Preserving Computing. 136-145 - Yan He, Yumin Su
, Kaiyuan Yang
:
Design-Agnostic Distributed Timing Fault Injection Monitor With End-to-End Design Automation. 146-157 - Ping-Chun Wu, Win-San Khwa, Jui-Jen Wu, Jian-Wei Su, Chuan-Jia Jhang
, Ho-Yu Chen, Zhao-En Ke, Ting-Chien Chiu, Jun-Ming Hsu, Chiao-Yen Cheng, Yu-Chen Chen, Chung-Chuan Lo, Ren-Shuo Liu
, Chih-Cheng Hsieh
, Kea-Tiong Tang
, Meng-Fan Chang
:
An Integer-Floating-Point Dual-Mode Gain-Cell Computing-in-Memory Macro for Advanced AI Edge Chips. 158-170 - Hung-Hsi Hsu
, Tai-Hao Wen, Win-San Khwa, Wei-Hsing Huang, Zhao-En Ke, Yu-Hsiang Chin, Hua-Jin Wen, Yu-Chen Chang, Wei-Ting Hsu, Ashwin Sanjay Lele, Bo Zhang, Ping-Sheng Wu
, Chung-Chuan Lo
, Ren-Shuo Liu
, Chih-Cheng Hsieh
, Kea-Tiong Tang
, Shih-Hsih Teng, Chung-Cheng Chou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Meng-Fan Chang
:
A 22 nm Floating-Point ReRAM Compute-in-Memory Macro Using Residue-Shared ADC for AI Edge Device. 171-183 - Sung-Yong Cho
, Moon-Chul Choi
, Jaehyeok Baek, Donggun An
, Sang-Hoon Kim, Daewoong Lee
, Seongyeal Yang, Se-Mi Kim, Gil-Young Kang, Juseop Park, Kyung-Ho Lee, Hwan-Chul Jung, Gun-hee Cho, Chan-Yong Lee, Hye-Ran Kim, Yong-Jae Shin, Hanna Park, Sang-Yong Lee, Jonghyuk Kim, Bokyeon Won, Jungil Mok, Kijin Kim, Unhak Lim, Hongjun Jin, YoungSeok Lee, Young-Tae Kim, Heonjoo Ha, Jinchan Ahn, Wonju Sung, Yoontaek Jang, Hoyoung Song, Hyodong Ban, TaeHoon Park, Changsik Yoo, Tae-Young Oh, SangJoon Hwang:
A 16-Gb 37-Gb/s GDDR7 DRAM With PAM3-Optimized TRX Equalization and ZQ Calibration. 184-196 - Masaru Haraguchi
, Yorinobu Fujino, Yoshisato Yokoyama, Ming-Hung Chang, Yu-Hao Hsu, Hong-Chen Cheng, Koji Nii
, Yih Wang, Tsung-Yung Jonathan Chang:
A 3 nm-FinFET 4.3 GHz 21.1 Mb/mm2 Double-Pumping 1-Read and 1-Write Psuedo-2-Port SRAM With a Folded Bitline Multi-Bank Architecture. 197-204 - Weitao Wu
, Hongzhi Wu
, Liping Zhong
, Xuxu Cheng
, Xiongshi Luo
, Dongfan Xu
, Catherine Wang, Zhenghao Li
, Quan Pan
:
A 64 Gb/s/pin Single-Ended PAM-4 Transmitter With a Merged Preemphasis Capacitive-Peaking Crosstalk Cancellation Scheme for Memory Interfaces in 28-nm CMOS. 205-216 - Dongwon Lee
, Kyung-Sik Choi, Yuqi Liu, Jeongsoo Park
, Laurenz Kulmer
, Tzu-Yuan Huang, Juerg Leuthold
, Hua Wang:
Ultrawideband Distributed Amplifier With Positive Feedback and Intrastack Coupling. 217-229 - Amirreza Alizadeh
, Utku Soylu
, Logan Whitaker
, Biljana Stamenic, Demis D. John, Munkyo Seo
, Ahmed S. H. Ahmed, Mark J. W. Rodwell:
Planar 200-GHz Transceiver Modules. 230-243 - Shengpu Niu
, Joris Lambrecht
, Cheng Wang
, Michiel Verplaetse
, Ye Gu
, Gertjan Coudyzer
, Xin Yin
:
A 200-256-GS/s Current-Mode 4-Way Interleaved Sampling Front-End With Over 67-GHz Bandwidth Using a Slew-Rate Insensitive Clocking Scheme. 244-259 - Yi Shen
, Shubin Liu
, Yue Cao
, Haolin Han
, Hongzhi Liang
, Zhicheng Dong
, Dengquan Li
, Ruixue Ding
, Zhangming Zhu
:
A 12-bit 1.5-GS/s Single-Channel Pipelined SAR ADC With a Pipelined Residue Amplification Stage. 260-271 - Jun-Hwan Jang
, Hui-Dong Gwon, Sungmin Yoo, Jun-Hyeok Yang, Byong-Deok Choi
:
A 0.5-1-V Time-Voltage Hybrid Domain Dual- Loop Analog LDO With Wide-Bandwidth High PSR in 28 nm. 272-285 - Aditi Chakraborty
, Ashis Maity:
A Fast SIMO Converter for Command-Directed IoT Nodes With State-Driven Priority Sequencing and Delay-Adjusted Fixed Window Hysteretic Control Using Constant Current-Peak Sequential DCM-CCM Operation. 286-297 - Junyi Qian
, Haitao Ge
, Yicheng Lu, Weiwei Shan
:
A 4.69-TOPS/W Training, 2.34-μJ/Image Inference On-Chip Training Accelerator With Inference-Compatible Backpropagation and Design Space Exploration in 28-nm CMOS. 298-307 - Zhiyu Chen
, Ziyuan Wen
, Weier Wan, Akhil Reddy Pakala
, Yiwei Zou
, Wei-Chen Wei, Zengyi Li, Yubei Chen, Kaiyuan Yang
:
PICO-RAM: A PVT-Insensitive Analog Compute-In-Memory SRAM Macro With In Situ Multi-Bit Charge Computing and 6T Thin-Cell-Compatible Layout. 308-320 - Haochen Zhang
, Wei-Han Yu
, Zhizhan Yang
, Ka-Fai Un
, Jun Yin
, Rui Paulo Martins
, Pui-In Mak
:
A 90.7-nW Vibration-Based Condition Monitoring Chip Featuring a Digital Compute-in-Memory- Based DNN Accelerator Using an Ultra-Low-Power 13T-SRAM Cell. 321-331 - Ruiqi Guo, Zhiheng Yue, Yang Wang, Hao Li, Te Hu, Yabing Wang, Hao Sun, Jeng-Long Hsu, Yaojun Zhang, Bonan Yan, Leibo Liu, Ru Huang, Shaojun Wei, Shouyi Yin:
A 28-nm 28.8-TOPS/W Attention-Based NN Processor With Correlative CIM Ring Architecture and Dataflow-Reshaped Digital-Assisted CIM Array. 332-346 - Hao Wu
, Yong Chen
, Yiyang Yuan
, Jinshan Yue
, Xinghua Wang
, Xiaoran Li
, Feng Zhang
:
A 28-nm 19.9-to-258.5-TOPS/W 8b Digital Computing-in-Memory Processor With Two-Cycle Macro Featuring Winograd-Domain Convolution and Macro-Level Parallel Dual-Side Sparsity. 347-361
Volume 60, Number 2, February 2025
- Emiel Zijlma
, Stef van Zanten
, Roel Plompen
, Eric A. M. Klumperink
, Ronan A. R. van der Zee
, Bram Nauta
:
Analysis and Design of a Low-Loss 1-10 GHz Capacitive Stacking N-Path Filter/Mixer. 367-381 - Yiming Yu, Runyu Liu, Yanpeng Wu, Mengqian Geng, Ruiqi Wang, Zengchuang Chen, Ruilin Liao, Xin Xie, Xiaobo Duan, Zhiyong Gui, Gang Liu, Chenxi Zhao
, Huihua Liu
, Yunqiu Wu
, Kai Kang
:
A 26/28/39-GHz Reconfigurable Phased-Array Receiver Front-End With Built-In Calibration Technique for 5G New Radio. 382-393 - Soumya Krishnapuram Sireesh
, Niels Christoffers, Sanaz Hadipour Abkenar, Christoph Wagner, Andreas Stelzer
:
A 4-bit RFDAC-Based FMCW Modulator for Automotive Radar. 394-409 - Xin An
, Helmuth Morath
, Florian Protze
, Jens Wagner
, Frank Ellinger
:
A V-Band 2-Gb/s 6.5-dBm Low-Power Transmitter in CMOS With On-Chip Antenna and Consumption Adaptivity Down to 700 nW. 410-420 - Mingyang Gu
, Yunsong Tao
, Xiyu He
, Yi Zhong, Lu Jie, Nan Sun:
A 1-GS/s 11-b Time-Interleaved SAR ADC With Robust, Fast, and Accurate Autocorrelation-Based Background Timing-Skew Calibration. 421-431 - Junghyun Yoon
, Moon Hyung Jang
, Changuk Lee
, Yong Lim
, Youngcheol Chae
:
An Intrinsically Linear Multi-Rate Continuous-Time Zoom ADC Achieving 97.4-dB DR and 105.7-dB SFDR in 50-kHz Signal Bandwidth. 432-442 - Yuefeng Cao
, Minglei Zhang
, Yan Zhu
, Rui Paulo Martins
, Chi-Hang Chan
:
A Single-Channel 12-b 2-GS/s PVT-Robust Pipelined ADC With Sturdy Ring Amplifier and Time-Domain Quantizer. 443-455 - Luca Ricci
, Gabriele Bè
, Michele Rocco
, Lorenzo Scaletti
, Gabriele Zanoletti
, Luca Bertulessi
, Andrea L. Lacaita
, Salvatore Levantino
, Carlo Samori
, Andrea Bonfanti
:
A 2-GS/s Time-Interleaved ADC With Embedded Background Calibrations and a Novel Reference Buffer for Reduced Inter-Channel Crosstalk. 456-468 - Jihang Gao
, Siyuan Ye
, Jie Li, Xinhang Xu, Zhuoyi Chen, Jiajia Cui, Yaohui Luan, Ru Huang, Le Ye
, Linxiao Shen
:
An Energy-Efficient, High-Resolution kT/C-Noise- Canceled Pipelined-SAR Capacitance-to-Digital Converter With Incomplete-Settling-Based Correlated Level Shifting in 22-nm CMOS. 469-482 - Xiaodong Xu
, Beomsoo Park
, Marino De Jesus Guzman, Yingjie Chen
, Changsok Han, Nima Maghari
:
Mixed-Order Correlated Dual-Loop Sturdy MASH CT-ΔΣ Modulator With Distributed Signal Feed-In and VCO Quantizer. 483-496 - Yoonseo Cho
, Jeonghyun Lee, Suneui Park
, Seyeon Yoo
, Jaehyouk Choi
:
A 12.24-GHz MDLL With a 102-Multiplication Factor Using a Power-Gating-Based Ring Oscillator. 497-508 - Yuhwan Shin
, Yongwoo Jo
, Juyeop Kim
, Junseok Lee
, Jongwha Kim
, Jaehyouk Choi
:
A Digital-PLL-Based Quadrature Clock Generator for a Low-Power and Jitter-Filtering-Capable Clock Distribution Scheme in High-Speed DRAM Interfaces. 509-518 - Jae-Koo Park
, Dae-Won Rho
, Seung-Jae Yang
, Woo-Young Choi
:
An 80-Gb/s/pin Single-Ended Voltage-Mode PAM-4 Transmitter With a Pulsewidth Pre-Emphasis and a 4-Tap FFE in 28-nm CMOS. 519-528 - Shiwei Zhang
, Wei Deng
, Haikun Jia
, Hongzhuo Liu
, Shiyan Sun, Pingda Guan
, Zhihua Wang
, Baoyong Chi
:
A Transformer-Based Series-Resonance CMOS VCO. 529-542 - Eunji Song, Jeonghyu Yang, Youngmin Oh, Seungwook Hong, Dongjun Lee
, Sangwan Lee
, Hyunwoo Im, Taeho Shin
, Jaeduk Han
:
100-112-Gb/s 1.6-Vppd PAM-8 Transmitters With High-Swing 3 + 1 Hybrid FFE Taps in 40-nm Technology. 543-554 - Zhiguo Tong
, Junwei Huang
, Xiangyu Mao, Rui Paulo Martins
, Yan Lu
:
A Double Pulse Overlapping Laser Diode Driver With Minimum 100-ps Pulse for LiDAR System. 555-567 - Yi Luo
, Shahriar Mirabbasi
:
A 60-Frames/s CMOS Image Sensor With Pixelwise Conversion Gain Modulation and Self-Triggered ADCs for Per-Frame Adaptive DCG-HDR Imaging. 568-578 - Martin Lefebvre
, David Bol
:
A nA-Range Area-Efficient Sub-100-ppm/°C Peaking Current Reference Using Forward Body Biasing in 0.11- μ m Bulk and 22-nm FD-SOI. 579-592 - Nandor G. Toth
, Zhong Tang
, Teruki Someya, Sining Pan
, Kofi A. A. Makinwa
:
A PNP-Based Temperature Sensor With Continuous-Time Readout and ±0.1 ∘C (3σ) Inaccuracy From -55 ∘C to 125 ∘C. 593-602 - Jiayang Li
, Dai Jiang
, Yu Wu
, Jiaxing Zhang
, Nima Seifnaraghi
, Richard H. Bayford
, Andreas Demosthenous
:
A 1.76 mW, 355-fps, Electrical Impedance Tomography System With a Simple Time-to-Digital Impedance Readout for Fast Neonatal Lung Imaging. 603-614 - Wen-Chieh Chen
, Shih-Hung Chen
, Man-Ching Huang
, Shu-Wei Chang
, Geert Hellings
, Guido Groeseneken
:
A 1.8-V GPIO With Design-Technology-Reliability Co-Optimization in Sub-3-nm GAA-NS Technology. 615-625 - Yuanfei Wang
, Mo Huang
, Qiujin Chen
, Rui Paulo Martins
, Yan Lu
:
A VCF-Step-Reconfigurable Continuously Scalable-Conversion-Ratio Switched- Capacitor Converter. 626-637 - Yanjin Lyu
, Yuanqi Hu
:
A 2.30 NEF Split-Steering Amplifier for Switched-Capacitor Circuits With -14.2-dB CM-CM Gain and 100-V/μs Slew Rate. 638-650 - Yi Zhong
, Yisong Kuang
, Kefei Liu
, Zilin Wang
, Shuo Feng
, Guang Chen
, Youming Yang
, Xiuping Cui, Qiankun Wang, Jian Cao, Song Jia, Yun Liang
, Guangyu Sun, Xiaoxin Cui
, Ru Huang, Yuan Wang
:
PAICORE: A 1.9-Million-Neuron 5.181-TSOPS/W Digital Neuromorphic Processor With Unified SNN-ANN and On-Chip Learning Paradigm. 651-671 - Kuan-Yu Chen
, Chi-Sheng Yang
, Yu-Hsiu Sun
, Chien-Wei Tseng
, Morteza Fayazi
, Xin He, Siying Feng, Yufan Yue
, Trevor N. Mudge, Ronald G. Dreslinski
, Hun-Seok Kim
, David T. Blaauw
:
DAP: A 507-GMACs/J 256-Core Domain Adaptive Processor for Wireless Communication and Linear Algebra Kernels in 12-nm FINFET. 672-684 - Dewei Wang
, Sung Justin Kim
, Minhao Yang
, Aurel A. Lazar
, Mingoo Seok
:
Background Noise and Process-Variation-Tolerant Sub-Microwatt Keyword Spotting Hardware Featuring Spike-Domain Division-Based Energy Normalization. 685-694 - Haikang Diao
, Yifan He, Xuan Li, Chen Tang
, Wenbin Jia, Jinshan Yue
, Haoyang Luo
, Jiahao Song
, Xueqing Li
, Huazhong Yang
, Hongyang Jia
, Yongpan Liu
, Yuan Wang
, Xiyuan Tang
:
A Multiply-Less Approximate SRAM Compute-In-Memory Macro for Neural-Network Inference. 695-706 - Hao Zhang
, Weifeng He
, Wim Dehaene
:
A 12-nm High-Density Energy-Efficient 1-Mb 2R2W Scratchpad With Local Blocks for Neural Network Applications. 707-718 - Zhiheng Yue
, Yang Wang
, Huizheng Wang, Ruiqi Guo
, Fengbin Tu
, Jianxun Yang
, Shaojun Wei
, Yang Hu
, Shouyi Yin
:
CV-CIM: A Hybrid Domain Xor-Derived Similarity-Aware Computation-in-Memory Supporting Cost-Volume Construction. 719-733 - Yiqi Wang
, Zihan Wu
, Weiwei Wu
, Leibo Liu
, Yang Hu
, Shaojun Wei
, Fengbin Tu
, Shouyi Yin
:
TensorCIM: Digital Computing-in-Memory Tensor Processor With Multichip-Module-Based Architecture for Beyond-NN Acceleration. 734-747
Volume 60, Number 3, March 2025
- Dennis Sylvester
:
New Associate Editor. 751 - Taiyun Chi, Yoonmyung Lee
, Shaolan Li:
Guest Editorial 2024 Custom Integrated Circuits Conference. 752-754 - Heyu Ren
, Liangjian Lyu
, Binbin Chen
, Wenjun Gong
, Xing Wu
, Chuanjin Richard Shi
:
A 225-μW Interference-Tolerant Receiver With Shared Wireless LO and Envelope-Tracking Mixer Achieving -104-dBm Sensitivity. 755-767 - Li Wang, Zilu Liu
, Ruitao Ma
, C. Patrick Yue
:
A Compact 20-24-GHz Sub-Sampling PLL With Charge-Domain Bandwidth Control Scheme. 768-784 - Hongzhuo Liu
, Wei Deng
, Haikun Jia
, Zhihua Wang
, Baoyong Chi
:
An Ultra-Low-Jitter Fast-Hopping Fractional-N PLL With LC DTC and Hybrid-Proportional Paths. 785-798 - Zedong Wang
, Xuqiang Zheng
, Yu He
, Hua Xu
, Sai Li
, Zunsong Yang
, Fangxu Lv
, Mingche Lai
, Xinyu Liu
:
A Low-Jitter and Low-Reference-Spur Ring-VCO-Based Injection-Locked Clock Multiplier Utilizing a Complementary-Injection Scheme and an Adaptive Pulsewidth Adjustment. 799-812 - Qifeng Huang
, Siji Huang
, Yanhang Chen
, Yifei Fan
, Qiwei Zhao
, Jie Yuan
:
A 5-MS/s 16-bit Low-Noise and Low-Power Split Sampling SAR ADC With Eased Driving Burden. 813-825 - Chang Yao
, Zhen Lu, Liheng Liu
, Yaohua Pan
, Wenhui Qin, Shaoyu Ma, Yun Sheng, Zhiliang Hong
, Jiawei Xu
:
A 140 dB-DR Light-to-Digital Converter Using Current-Domain Hybrid Zoom for Baseline Cancellation and Interference Compensation. 826-837 - Ke Li
, Haoyu Gong
, Xianyu Congzhou
, Zhensheng Li
, Liang Qi
, Mingqiang Guo
, Rui Paulo Martins
, Sai-Weng Sin
:
A 160-MHz BW 68-dB SNDR 36.2 mW Continuous-Time Pipelined ΔΣ ADC With DAC Image Prefiltering. 838-849 - Xinling Yue
, Sijun Du
:
A Single-Stage Bias-Flip Regulating Rectifier With Fully Digital Duty-Cycle-Based MPPT for Piezoelectric Energy Harvesting. 850-860 - Yang Liu
, Yuan Yao
, Lin Cheng
, Wing-Hung Ki
:
Pseudo Hysteretic Controlled Gap Time Modulated Isolated DC-DC Converter With Common-Mode Transient Immunity. 861-870 - Guangshu Zhao, Chao Xie, Chenxi Wang, Milin Zhang
, Man-Kay Law
:
High-Efficiency Ultrasound Energy Harvesting Interface With Auto-Calibrated Timing Control From -25 °C to 85 °C. 871-882 - Yingping Chen
, Qing Yuan
, Ming Liu:
A Closed-Loop EMI Regulated GaN Power Converter With In Situ EMI Sensing and Global Excess-Spectrum Modulation. 883-893 - Aditi Jain
, Eric Fogleman
, Paul Botros, Ritwik Vatsyayan
, Asish Koruprolu
, Corentin Pochet, Andrew M. Bourhis, Zhaoyi Liu
, Suhas Chethan, Hanh-Phuc Le
, Ian Galton
, Shadi A. Dayeh
, Drew A. Hall
:
A 2.5-20 kS/s In-Pixel Direct Digitization ECoG Front End With Submillisecond Stimulation Artifact Recovery. 894-907 - Haoming Xin
, Meiyi Zhou
, Roland Van Wegberg, Peter Vis
, Konstantinos Petkos
, Shrishail Patki, Nicolò Rossetti, Mark Fichman, Vojkan Mihajlovic, Carolina Mora Lopez
, Geert Langereis
, Mario Konijnenburg
, Nick Van Helleputte
:
A 16-Output 10-V Compliant Stimulator ASIC With Sub-10-nA Mismatch and Simultaneous ETI Sensing for Selective Neural Stimulation. 908-920 - Alireza Dabbaghian
, Hossein Kassiri
:
Modular DR- and CMR-Boosted Artifact-Resilient EEG Headset With Distributed Pulse-Based Feature Extraction and Neuro-Inspired Boosted-SVM Classifier. 921-933 - Martin Lefebvre
, David Bol
:
MANTIS: A Mixed-Signal Near-Sensor Convolutional Imager SoC Using Charge-Domain 4b-Weighted 5-to-84-TOPS/W MAC Operations for Feature Extraction and Region-of-Interest Detection. 934-948 - Zhaoyang Zhang, Yanqi Zhang
, Feiran Liu, Zhichao Liu
, Yinhai Gao, Yuchen Ma, Yutong Zhang, An Guo, Tianzhu Xiong
, Jinwu Chen, Xi Chen, Bo Wang
, Yuchen Tang, Jun Yang
, Xin Si
:
A 28-nm 16-kb Aggregation and Combination Computing-in-Memory Macro With Dual-Level Sparsity Modulation and Sparse-Tracking ADCs for GCNs. 949-962 - Jaehyun Lee
, Dong-gu Choi, Gain Kim
, Minyoung Song
, Jong-Hyeok Yoon
:
BEE-SLAM: A 65-nm 17.96-TOPS/W Location-Sharing-Based Multi-Agent Neuromorphic SLAM Accelerator for Swarm Robotics. 963-976 - Chaoming Fang
, Ziyang Shen
, Zongsheng Wang
, Chuanqing Wang
, Shiqi Zhao, Fengshi Tian
, Jie Yang
, Mohamad Sawan
:
An Energy-Efficient Unstructured Sparsity-Aware Deep SNN Accelerator With 3-D Computation Array. 977-989 - Sukbin Lim
, Jaehoon Heo
, Jinho Yang
, Joo-Young Kim
:
Hawkeye: A Point Cloud Neural Network Processor With Virtual Pillar and Quadtree-Based Workload Management for Real-Time Outdoor BEV Detection. 990-1001 - Xiaoyu Feng
, Xinyuan Lin
, Huazhong Yang
, Yongpan Liu
, Wenyu Sun
:
A Scalable BEV Perception Processor for Image/Point Cloud Fusion Applications Using CAM-Based Universal Mapping Unit. 1002-1013 - Bufan Zhu
, Wei Deng
, Ziying Huang
, Haikun Jia
, Haiyang Jia, Angxiao Yan
, Yumeng Yang
, Junfeng Liu, Yu Fu, Shiyan Sun, Chao Tang, Lixue Kuang, Lilan Yu, Yue Liu, Xin Liang, Zhihua Wang
, Baoyong Chi
:
A Digital-Intensive 1TX/2RX IEEE 802.15.4/4z-Compliant Joint-Radar-Communication-Location Transceiver SoC. 1014-1029 - Changxuan Han
, Jie Zhou
, Xun Luo
:
A 70-86-GHz Deep-Noise-Canceling LNA With Dual-Stage Noise Cancellation Using Asymmetric Compensation Transformer and 4-to-1 Hybrid-Phase Combiner. 1030-1042 - Dingxin Xu
, Yuncheng Zhang
, Hongye Huang
, Zheng Sun
, Bangan Liu
, Ashbir Aviat Fadila
, Junjun Qiu
, Zezheng Liu
, Wenqian Wang, Yuang Xiong
, Waleed Madany
, Atsushi Shirane, Kenichi Okada
:
A 6.5-to-8-GHz Cascaded Dual-Fractional-N Digital PLL Achieving -52.79-dBc Fractional Spur With 50-MHz Reference. 1043-1055 - Haoyu Zhuang
, Yirui Cao
, Linzhi Tao
, Qiang Li
:
A 0.69-Noise-Efficiency-Factor 55× -Preamp-Gain Dynamic Comparator With a Stacking FIA. 1056-1069 - Tingxu Hu
, Yan Lu
, Rui Paulo Martins
, Mo Huang
:
An Isolated DC-DC Converter With Full-Duplex Communication Using a Single Pair of Transformers. 1070-1081 - Jeongwon Choe
, Youngjoo Lee
:
Area-Efficient Non-Binary LDPC Decoder With Column-Wise Trellis Min-Max Algorithm. 1082-1091 - Saion K. Roy
, Han-Mo Ou, Mostafa Gamal Ahmed
, Peter Deaville
, Bonan Zhang
, Naveen Verma
, Pavan Kumar Hanumolu
, Naresh R. Shanbhag
:
Compute SNDR-Boosted 22-nm MRAM-Based In-Memory Computing Macro Using Statistical Error Compensation. 1092-1102 - Fei Tan
, Wei-Han Yu
, Jinhai Lin
, Ka-Fai Un
, Rui Paulo Martins
, Pui-In Mak
:
A 1.8% FAR, 2 ms Decision Latency, 1.73 nJ/Decision Keywords-Spotting (KWS) Chip Incorporating Transfer-Computing Speaker Verification, Hybrid-IF-Domain Computing and Scalable 5T-SRAM. 1103-1112 - Yoshiaki Osada
, Takaaki Nakazato, Yumito Aoyagi
, Koji Nii
, Jhon-Jhy Liaw, Shien-Yang Wu, Quincy Li, Hidehiro Fujiwara, Hung-Jen Liao, Tsung-Yung Jonathan Chang:
3.7-GHz Multi-Bank High-Current Single-Port Cache SRAM With Leakage Saving Circuits in 3-nm FinFET for HPC Applications. 1113-1121
Volume 60, Number 4, April 2025
- Dennis Sylvester
:
New Associate Editor. 1127 - Dennis Sylvester
:
New Associate Editor. 1128 - Ron Kapusta
, Sugako Otani
:
Guest Editorial Introduction to the Special Issue on the 2024 Symposium on VLSI Circuits. 1129-1131 - Chenxin Liu
, Zheng Li
, Yudai Yamazaki
, Hans Herdian
, Chun Wang
, Anyi Tian
, Jun Sakamaki, Han Nie
, Xi Fu
, Sena Kato
, Wenqian Wang, Hongye Huang
, Minzhe Tang, Dingxin Xu
, Shinsuke Hara
, Akifumi Kasamatsu
, Takashi Tomura, Hiroyuki Sakai
, Kazuaki Kunihiro
, Atsushi Shirane, Kenichi Okada
:
A 640-Gb/s 4 × 4-MIMO D-Band CMOS Transceiver Chipset. 1132-1149 - Hyun-Gi Seok
, Wan Kim
, Sinyoung Kim, Jae-Keun Lee, Geunhaeng Lee
, Chanho Kim, Wonkang Kim, Jongpil Cho, Seungyong Bae, Youngsea Cho, Wonjun Jung, Junhyeong Kim, Sumin Kang, Hyeokju Na, Byoungjoong Kang, Honggul Han, Hoon Kang, Chiyoung Ahn, Sukjin Jung, Hyukjun Sung, Seunghyun Oh, Jongwoo Lee
, Joonsuk Kim
:
High-Sensitivity, Low-Power IR-UWB Radar Transceiver With Self-Interference Resistance for Child Presence Detection and Precision Positioning. 1150-1161 - Houk Lee
, Jinpyo Han, Junhee Cho, Heesung Lee
, Jung-Hoon Chun
, Seong-Jin Kim
, Jaehyuk Choi
:
All-Digital Event-Based Vision Sensor With Multi-Event Generation for Motion/Vibration-Adaptive Detection. 1162-1173 - Gyu-Wan Lim
, Dong-Kyu Kim
, Gyeong-Gu Kang, Seunghwa Shin
, Kihyun Kim
, Yousung Park
, Won Kim, Young-Bok Kim, Hyun-Kyu Jeon, Hyun-Sik Kim
:
A Column-Parallel On-Programming Pixel-Current Readout Embedded in an OLED Display Driver IC. 1174-1189 - Zhong Tang
, Xiao-Peng Yu
, Kofi A. A. Makinwa
, Nianxiong Nick Tan:
A 0.8-V BJT-Based Temperature Sensor With an Inaccuracy of ±0.4 °C (3σ) From -40 °C to 125 °C in 22-nm CMOS. 1190-1198 - Jiaqi Dong
, Qi Zhang
, Xinwen Zhang
, Yekan Chen
, Yili Shen
, Bo Zhao
, Yuxuan Luo
:
A 430- μ A 68.2-dB-SNR 133-dBSPL-AOP CMOS-MEMS Digital Microphone Based on Electrostatic Force Feedback Control. 1199-1209 - Claudio Nani
, Enrico Monaco, Nicola Ghittori, Alessandro Bosi
, Domenico Albano, Claudio Asero, Nicola Codega
, Alessio Di Pasquo
, Ivan Fabiano, Marco Garampazzi, Fabio Giunco
, Leonardo Daniel Herbas Burgos, Gabriele Minoia, Paolo Rossi, Marco Sosio
, Leonardo Vignoli, Enrico Temporiti
, Shawn Scouten
, Stephen Jantzi:
A 5-nm 60-GS/s 7b 64-Way Time Interleaved Partial Loop Unrolled SAR ADC Achieving 35.2dB SNDR up to 32 GHz. 1210-1222 - Shiyu Su
, Qiaochu Zhang
, Baishakhi Rani Biswas
, Sandeep K. Gupta
, Mike Shuo-Wei Chen
:
Stochastic TDC Using Common-Mode Time Dithering and Passive Approximate Adders. 1223-1235 - Kent Edrian Lozada
, Ye-Dam Kim
, Ho-Jin Kim
, Youngjae Cho
, Michael Choi, Seung-Tak Ryu
:
A 0.38-mW 200-kHz-BW Digital-Intensive Single-Opamp Fourth-Order Continuous-Time Delta-Sigma Modulator With Third-Order Digital Noise Coupling in 28-nm CMOS. 1236-1247 - Jae-Hyun Kim
, Yousung Park
, Doyoung Kwon, Dae-Hyeon Kim, Dong-Kyu Kim, Sung-Chun Park, Yongjae Lee
, Jung-Bong Lee, Hyun-Sik Kim
:
A Single-Duty-Cycled Buck-Boost Converter Achieving Low Output Ripple and Seamless Mode Transition. 1248-1264 - Xiaosen Liu
, Jingshu Yu
, Minxiang Gong
, Nicolas Butzen
, Sheldon Weng, Harish K. Krishnamurthy
, Krishnan Ravichandran
, Ramez Hosseinian Ahangharnejhad, James Waldemer, Christopher Pelto, James W. Tschanz
, Vivek De
:
A Monolithic 5.7 A/mm2 91% Peak Efficiency Scalable Multistage Modular Switched Capacitor Voltage Regulator for Base Die Vertical Power Delivery in 3D-ICs. 1265-1276 - Fabio Giunco
, Marco Sosio
, Claudio Nani
, Ivan Fabiano, Travis Lovitt
, Victor Karam, Domenico Albano, Claudio Asero, Nicola Codega
, Marco Garampazzi, Nicola Ghittori, Leonardo Daniel Herbas Burgos, Stanley S. K. Ho, Enrico Monaco, Benjamín T. Reyes, Paolo Rossi, Enrico Temporiti
, Paolo Pascale, Fernando De Bernardinis, Shawn Scouten
, Stephen Jantzi:
An Eight-Lane 800-Gb/s Transceiver for PAM-4 Optical Direct-Detection Applications in 5-nm FinFET Process. 1277-1288 - Junhui Gu
, John Ma
, Azhar Ahmed Chowdhury
, Jianmin Guo
, Xin Zhang, Jackson Ding
, Hui Wang, Ken Chang:
A 32 Gb/s 0.36 pJ/bit 3 nm Chiplet IO Using 2.5-D CoWoS Package With Real-Time and Per-Lane CDR and Bathtub Monitoring. 1289-1298 - Seongyon Hong
, Wooyoung Jo
, Sangjin Kim
, Sangyeob Kim
, Soyeon Um
, Kyomin Sohn
, Hoi-Jun Yoo
:
Dyamond: Compact and Efficient 1T1C DRAM IMC Accelerator With Bit Column Addition for Memory-Intensive AI. 1299-1310 - Kartik Prabhu
, Robert M. Radway
, Jeffrey Yu
, Kai Bartolone, Massimo Giordano
, Fabian Peddinghaus, Yonatan Urman
, Win-San Khwa
, Yu-Der Chih, Meng-Fan Chang, Subhasish Mitra
, Priyanka Raina
:
MINOTAUR: A Posit-Based 0.42-0.50-TOPS/W Edge Transformer Inference and Training Accelerator. 1311-1323 - Paul Scheffler, Thomas Benz, Viviane Potocnik, Tim Fischer, Luca Colagrande, Nils Wistoff, Yichao Zhang, Luca Bertaccini, Gianmarco Ottavi, Manuel Eggimann, Matheus A. Cavalcante, Gianna Paulin, Frank K. Gürkaynak, Davide Rossi, Luca Benini:
Occamy: A 432-Core Dual-Chiplet Dual-HBM2E 768-DP-GFLOP/s RISC-V System for 8-to-64-bit Dense and Sparse Computing in 12-nm FinFET. 1324-1338 - Qiankai Cao
, Juin Chuen Oh, Jie Gu
:
A 65-nm Humanoid Robot System-on-Chip Using Time-Domain 3-D Footstep Planning and Mixed-Signal ZMP Gait Scheduler With Inverse Kinematics. 1339-1348 - Raghavan Kumar
, Sachin Taneja
, Vivek De
, Sanu K. Mathew
:
A 4.7-to-5.3-Gb/s Fault-Injection and Side-Channel Attack-Resistant AES-256 Engine Using Masked Isomorphic Composite Fields in Intel 4 CMOS. 1349-1358 - Kyeongtae Nam
, Dongil Lee, Kyuchang Kang, Sangyun Kim, Changyoung Lee, Hyunchul Yoon, Donggeon Kim, Bokyeon Won, Jaejoon Song, Jaehyuk Kim, Incheol Nam, Young-Hun Seo, Jeong-Don Ihm, Changsik Yoo, Sangjoon Hwang:
An Offset Compensated Charge Transfer Pre-Sensing Bitline Sense Amplifier. 1359-1367 - Sayan Kumar
, Teerachot Siriburanon
, Sumit Dash, Patchara Sawakewang, Shuja Andrabi, Jon Strange, Khurram Muhammad, Chih-Ming Hung
, Robert Bogdan Staszewski
:
A Ping-Pong Charge-Sharing Locking PLL With Implicit Reference Doubling and Simultaneous Frequency/Duty-Cycle Calibrations. 1368-1383 - Xiangjian Kong
, Kai Xu
, Huanlin Xie
, Mingchao Jian
, Hao Lian, Robert Bogdan Staszewski
, Chunbing Guo
:
A 9-GHz Low-In-Band Noise Sub-Sampling-Chopper PLL With Charge-Share Canceling Technique. 1384-1396 - Nuriel N. M. Rozsa
, Zhao Chen, Taehoon Kim
, Peng Guo
, Yannick M. Hopf
, Jason D. Voorneveld
, Djalma Simões dos Santos
, Emile Noothout, Zu-Yao Chang, Chao Chen, Vincent A. Henneken, Nico de Jong, Hendrik J. Vos, Johan G. Bosch
, Martin D. Verweij
, Michiel A. P. Pertijs
:
A 2000-volumes/s 3-D Ultrasound Probe With Monolithically-Integrated 23 × 23-mm² 4096 -Element CMUT Array. 1397-1410 - Qinjing Pan
, Qi Luo, Tianxiang Qu
, Liheng Liu
, Xiao Li, Min Chen, Zhiliang Hong
, Jiawei Xu
:
A 97.3-dB SNR Bioimpedance AFE With -84-dB THD Segmented- ΔΣ M Sinusoidal Current Generator and Passing-Through Instrumentation Amplifier. 1411-1422 - Rahul Lall
, Kyoungtae Lee
, Adam Cunha, Rebecca Abergel
, Youngho Seo
, Ali M. Niknejad
, Mekhail Anwar
:
Single-X-Ray Sensitive Energy-Binning Dosimeter for Closed-Loop Cancer External-Beam Radiotherapy. 1423-1436 - Rui Luo
, Ka-Meng Lei
, Rui Paulo Martins
, Pui-In Mak
:
A 0.5-V 6.14- μW Trimming-Free Single-XO Dual-Output Frequency Reference With [5.1-nJ, 120- μs] XO Start-Up and [8.1-nJ, 200- μs] Successive-Approximation-Based RTC Calibration. 1437-1448 - Bumjun Kim, Seonghyeok Park, Su-Hyun Han
, Jung-Hoon Chun
, Jaehyuk Choi
, Seong-Jin Kim
:
A CMOS Flash LiDAR Sensor With In-Pixel Zoom Histogramming Time-to-Digital Converters. 1449-1460 - Loai G. Salem
:
A Recursive N-Path Switched-Capacitor Rectifier for Piezoelectric Energy Harvesting. 1461-1473 - Jing Wang
, Yi Yang, Zhen Li
, Sijun Du
, Xinling Yue
, Xun Liu
, Jun Han
, Xiaoyang Zeng
, Zhiyuan Chen
:
Enhancing Efficiency in Piezoelectric Energy Harvesting: Collaborative-Flip Synchronized Switch Harvesting on Capacitors Rectifier and Multioutput DC-DC Converters Utilizing Shared Capacitors. 1474-1485 - Chakravarti Bheemisetti
, Karunanidhan Pandey, Idan Lotan
, Gadi Ori, Ahmad Khairi
, Yoel Krupnik
, Udi Virobnik, Subrahmanyam Boyapati
, Ariel Cohen, Nagendra Krishnapura
:
A 7-bit 1.75-GS/s 6.9-fJ/conv.-step FoMw Loop-Unrolled Fully Asynchronous SAR ADC in 3-nm CMOS for a 224-Gb/s SerDes Receiver. 1486-1499 - Yuhao Ju
, Yijie Wei
, Jie Gu
:
A 65 nm General-Purpose Compute-in-Memory Processor Supporting Both General Programming and Deep Learning Tasks. 1500-1511
Volume 60, Number 5, May 2025
- Wanghua Wu:
Guest Editorial: Introduction to the Special Section on the 2024 RFIC Symposium. 1515 - Heng Huang
, Xiliang Liu, Zijian Tang
, Wei Song, Yuan Ma
, Yuwei Zhang, Xiaoyan Ma
, Milin Zhang
, Jintao Wang
, Kai Lu, Zhihua Wang
, Guolin Li:
Design of a Compact Low-Power Sub-2.4-GHz Transceiver for Medical Band Applications. 1516-1528 - Xuansheng Ji
, Jiahao Zhao
, Woogeun Rhee
, Zhihua Wang
:
A Polar Phase-Tracking Receiver With Two-Point Injection Technique. 1529-1540 - Jamie C. Ye
, Alain H. Antón
, Sanaz Sadeghi
, Russ H. Huang
, Alyosha C. Molnar:
A Simultaneous Dual-Carrier Transformer-Coupled Passive Mixer-First Receiver Front-End Supporting Blocker Suppression. 1541-1553 - Rundi Wu, Yetong Wang
, Ran Hong, Zongle Ma, Kenan Xie, Fanyi Meng
, Kaixue Ma
, Keping Wang
:
A Low-Power Blocker-Tolerant Wideband Receiver With Bias-Tunable Mixer and Effective Switch Resistance Compensation. 1554-1569 - Haoqi Qin
, Junjie Gu, Hao Xu
, Zhiwei Xu
, Pengcheng Jia, Na Yan
:
A 25-31-GHz Compact True Power Detector With >33-dB Dynamic Range and Intrinsic Phase Offset Compensation in 40-nm Bulk CMOS. 1570-1583 - Edward Liu
, Han Zhou
, Christian Fager
, Hua Wang
:
A Ka-Band Doherty-Like Non-Load Modulated Power Amplifier. 1584-1593 - Hanjung Lee
, Insu Han
, Inchan Ju
:
Design of a Compact, Highly Efficient, and High-Power Q-/V-Band SiGe HBT Cascode Power Amplifier With a Four-Way Wilkinson Power Combiner Balun. 1594-1606 - Omar Hassan
, Amr Ahmed, Gabriel M. Rebeiz:
A 7-20 GHz Ultra-High-Linearity Passive Mixer in 45 nm CMOS SOI. 1607-1618 - Bolin Chen
, Zhirui Zong
:
An E-Band FMCW Radar Receiver With Arbitrary-Path Spillover Cancellation. 1619-1631 - Seohee Jung, Jaeho Kim
, Jooeun Bang
, Sarang Lee
, Heein Yoon
, Jaehyouk Choi
:
A Low-Jitter and Wide-Frequency-Range D-Band Frequency Synthesizer With a Subsampling PLL and a Harmonic-Boosting Frequency Multiplier. 1632-1643 - Shiwei Zhang
, Wei Deng
, Haikun Jia
, Zhihua Wang
, Baoyong Chi
:
A Multi-Core Series-Resonance CMOS Oscillator. 1644-1655 - Hyunjoon Kim
, Sangmin Kim
, Sanggeun Jeon
:
An Octave Tuning-Range Frequency Generator Integrating a Quad-Core VCO Using Quad-Mode Coupled Dual-Path Inductor With a Wideband ILFD. 1656-1668 - Lorenzo Piotto
, Guglielmo De Filippi
, Andrea Bilato
, Andrea Mazzanti
:
AM-AM and AM-PM Distortion in D-Band BiCMOS Vector-Interpolation Phase Shifters. 1669-1680 - Geon-Ho Park
, Chul Soon Park
, Tae Hwan Jang
:
Dual Polarization Dynamic Alignment of Integrated Phased Arrays. 1681-1693 - Nimit Jain
, Eric A. M. Klumperink
, Harm van Rumpt, Bram Nauta
:
A Dual-Alternating-Slope Digital-to-Time Converter Leveraging Mismatch to Improve Delay Step Size. 1694-1707 - Tianxiang Qu
, Tian Dong
, Wenhui Qin, Yaohua Pan
, Yun Sheng, Zhiliang Hong
, Xiaoyang Zeng
, Jiawei Xu
:
A 2 MHz Bandwidth TMR-Based Contactless Current Sensor With Ping-Pong Auto-Zeroing and SAR-Assisted Offset Calibration. 1708-1718 - Shuangxing Zhao
, Chenchang Zhan
, Zhaobo Zhang
, Xianglong Bai
, Chenyu Huang
, Yan Lu
:
A Three-Fine-Level Buck-Boost Hybrid Converter Achieving Half-VIN-Stress on All Switches and Fast Transient Response. 1719-1730 - Xu Yang
, Linhu Zhao, Zhichao Tan
, Menglian Zhao
, Yong Ding
, Wuhua Li
, Wanyuan Qu
:
A High-Efficiency 12/1-V Dual-Path Series-Capacitor Converter With Low V⋅A Metric and Full Duty Range. 1731-1742 - Baochuang Wang, Yiling Xie
, Lin Cheng
, Jianping Guo
:
A Single Li-Ion Battery Powered Buck Converter With >90% Efficiency Over 10-μA to 500-mA Loading Range by Utilizing Compensator-Based Built-In Mode Tracking Technology. 1743-1755 - Seung-Beom Ku
, Jinhyoung Kim
, Kwonhong Lee
, Han-Sol Lee
, Kyeongho Eom
, Minju Park
, Cheolung Cha
, Hyung-Min Lee
:
An RF MEMS Sensor Driver/Readout SoC With Resonant Frequency Shift and Closed-Loop Envelope Regulation for Portable Microplastic Detection. 1756-1770 - Ken Miyauchi
, Masayuki Uno, Toshiyuki Isozaki, Hideyuki Fukuhara, Kazuya Mori
, Hirofumi Abe, Masato Nagamatsu, Rimon Ikeno
, Isao Takayanagi
, Hsin-Li Chen, Chih-Hao Lin, Wen-Chien Fu, Shou-Gwo Wuu, Song Chen, Lyle Bainbridge, Qing Chao, Ramakrishna Chilukuri, Wei Gao, Andrew P. Hammond, Tsung-Hsun Tsai, Chiao Liu:
A 3.96-μm, 124-dB Dynamic-Range, Digital-Pixel Sensor With Triple- and Single-Quantization Operations for Monochrome and Near-Infrared Dual-Channel Global Shutter Operation. 1771-1781 - Chanheum Han
, Ki-Soo Lee
, Joo-Hyung Chae
:
25.2-Gb/s/pin NRZ/PAM-3 Dual-Mode Transmitter With Embedded Partial DBI: Improving I/O Bandwidth/pin and DBI Efficiencies. 1782-1792 - Zihan Wu
, Jiahao Song
, Xiyuan Tang
, Bocheng Xu
, Haoyang Luo
, Youming Yang
, Runsheng Wang
, Xiaochen Bo, Yuan Wang
:
A Variation-Tolerant Continuous-Time Ising Machine With eDRAM-Based Spin Interaction and Leaked Negative Feedback Annealing. 1793-1804 - Jieyu Li
, Weifeng He
, Bo Zhang
, Chuxiong Lin
, Liang Qi
, Dingxuan Liu, Mingoo Seok
:
A 394-TOPS/W Matched Filter With Charge-Domain Computing for GPS Signal Acquisition. 1805-1817 - Yu-Chen Lo
, Yi-Chung Wu
, Chia-Hsiang Yang
:
A 44.3-mW 62.4-fps Hyperspectral Image Processor for Spectral Unmixing in MAV Remote Sensing. 1818-1829 - Sungjin Park
, Sunwoo Lee
, Jeongwoo Park, Hyeong-Seok Choi, Kyogu Lee
, Dongsuk Jeon
:
A Real-Time Speech Enhancement Processor for Hearing Aids in 28-nm CMOS. 1830-1843 - Kentaro Yoshioka
:
A 818-4094 TOPS/W Capacitor-Reconfigured Analog CIM for Unified Acceleration of CNNs and Transformers. 1844-1855 - Peter Deaville
, Bonan Zhang
, Naveen Verma
:
A Fully Row/Column-Parallel MRAM in-Memory Computing Macro With Memory-Resistance Boosting and Weighted Multi-Column ADC Readout. 1856-1866 - Yuncheng Lu
, Xin Zhang
, Bo Wang
, Tony Tae-Hyoung Kim
:
SESOMP: A Scalable and Energy-Efficient Self-Organizing Map Processor for IoT Devices. 1867-1881 - Mohammadreza Zeinali
, Sudhakar Pamarti
:
Corrections to "Design and Analysis of a Fractional Frequency Synthesizer With <90-fs Jitter and <-103-dBc Spurious Tones Using Digital Spur Cancellation". 1882
Volume 60, Number 6, June 2025
- Dennis Sylvester
:
New Invited Paper Series. 1887 - Marian Verhelst
, Luca Benini
, Naveen Verma
:
How to Keep Pushing ML Accelerator Performance? Know Your Rooflines! 1888-1905 - Kyung-Sik Choi
, Basem Abdelaziz Abdelmagid
, Yuqi Liu
, Hua Wang:
A D-Band Concurrent 20-Beam MIMO Transmitter Array With a Four-Element Joint Static/Dynamic Beam-Multiplication Beamformer. 1906-1920 - Hamidreza Aghasi
, Xuyang Liu, Morteza Tavakolli Taba
, Amirata Tabatabavakili
, Ehsan Afshari
:
Broadband Harmonic-Assisted Power and Efficiency Enhancement in a 174-232-GHz SiGe Voltage-Controlled Oscillator. 1921-1931 - Alex Ayling
, Ali Hajimiri
:
Flip-Chip Aperture Coupled D-Band Active Radiator Tiles in 22-nm CMOS FDSOI. 1932-1946 - Marco Privitera
, Andrea Ballo
, Karim Ali
, Alfio Dario Grasso
, Massimo Alioto
:
Sub- μ W Battery-Less and Oscillator-Less Wi-Fi Backscattering Transmitter Reusing RF Signal for Harvesting, Communications, and Motion Detection. 1947-1958 - Yang Gao
, Khoi T. Phan
, Chun Loi Wong
, Chi-Yuk Chiu
, Howard C. Luong
:
A 48-56 GHz >1 dBm-HB1dB Sub-Sampling Eight-Path-Filter Receiver With Fully-Integrated LO Generation and On-Chip Antenna. 1959-1972 - Hokeun Lee
, Hyo-Ryeong Jeon
, Sang-Gug Lee
, Kyung-Sik Choi
:
A 150-GHz Single-to-Differential LNA Adopting Wideband Gmax-Cores Based on Single-Ended Compact Lumped L-C-L and Differential Coupled-Line Embedding Networks. 1973-1984 - Qiuyu Peng
, Haikun Jia
, Ran Fang, Pingda Guan
, Mingxing Deng, Jiamin Xue, Wei Deng
, Xin Liang, Baoyong Chi
:
A 26-Gb/s 140-GHz OOK CMOS Transmitter and Receiver Chipset for High-Speed Wireless and Dielectric Waveguide Communication. 1985-1996 - Viswesh Kendae Ramkumar
, Maikel Huiskamp
, Harijot Singh Bindra
, Eric A. M. Klumperink
, Bram Nauta
:
A Programmable Filtering and Frequency Translation by Aliasing IF Receiver With Alias and Harmonic Rejection. 1997-2012 - Shuhao Fan
, Qi Zhou
, Ka-Meng Lei
, Rui Paulo Martins
, Pui-In Mak
:
A Miniature Multinuclei NMR/MRI Platform With a High-Voltage SOI ASIC. 2013-2024 - Quanmin Chen
, Kaiming Nie
, Jing Gao
, Xiaoyu Zhang
, Jiangtao Xu
:
A 1920 × 1080 Array 2-D/3-D Image Sensor With 3-μ s Row-Time Single-Slope ADC and 100-MHz Demodulated PPD Locked-In Pixel. 2025-2036 - Yajun Lin
, Hing Tai Chen
, Xun Liu
, Ka Nang Leung
:
A High-Current-Efficiency Digital-Assisted Analog LDO With Dual-Biasing Mode for Near-Threshold Regulation. 2037-2047 - Imad Bellouki
, Nuriel N. M. Rozsa
, Zu-Yao Chang, Zhao Chen, Mingliang Tan
, Michiel A. P. Pertijs
:
An Amplitude-Programmable Energy-Recycling High-Voltage Resonant Pulser for Battery- Powered Ultrasound Devices. 2048-2059 - Soufiane Mourrane
, Benoit Larras
, Sylvain Clerc
, Andreia Cathelin
, Antoine Frappé
:
A Sub-400-nW Real-Time Event-Driven Spectrogram Extraction Unit in 28-nm FD-SOI CMOS for Keyword Spotting Application. 2060-2071 - Saransh Sharma
, Hayward Melton, Liliana Edmonds, Olivia Addington, Mikhail G. Shapiro
, Azita Emami
:
A 14.8-μW Power and μ Trms Noise 3-D AC Magnetic Sensor in CMOS for Biomedical Applications. 2072-2083 - Lingxin Meng
, Shuang Song
, Menglian Zhao
, Zhichao Tan
:
A 93.6-dB SNDR Fully Dynamic CT-DT Noise-Shaping SAR ADC With Closed-Loop Capacitively Coupled Two-Stage FIA. 2084-2095 - Sehee Lim
, In Jun Jung
, Gi Seok Kim
, Dong Han Ko
, Sumin Lee
, Seong-Ook Jung
:
Dual-Input Stacked Inverter-Based Single-Ended DRAM Sense Amplifier Using BL Switches for Low-Power High-Speed Sensing. 2096-2105 - Dingxin Xu
, Zezheng Liu
, Yifeng Kuai, Hongye Huang
, Yuncheng Zhang
, Zheng Sun
, Bangan Liu
, Wenqian Wang, Yuang Xiong
, Junjun Qiu
, Waleed Madany
, Yi Zhang
, Ashbir Aviat Fadila
, Atsushi Shirane, Kenichi Okada
:
A DPD/Dither-Free DPLL Based on a Cascaded Fractional Divider and Pseudo-Differential DTCs Achieving a - 62.1-dBc Fractional Spur. 2106-2121 - Michele Rossoni
, Simone Mattia Dartizio
, Francesco Tesolin
, Giacomo Castoro
, Riccardo Dell'Orto
, Andrea L. Lacaita
, Salvatore Levantino
:
A Low-Jitter Fractional- N Digital PLL Adopting a Reverse-Concavity Variable-Slope DTC. 2122-2133 - Su-Hyun Han
, Seonghyeok Park, Jung-Hoon Chun
, Jaehyuk Choi
, Seong-Jin Kim
:
A 3.1-μW Analog-Assisted Zoom Histogramming TDC in 35-μm Pixel Pitch for Flash LiDAR Sensor. 2134-2145 - Su-Hyun Han
, Seonghyeok Park, Bumjun Kim, Jung-Hoon Chun
, Jaehyuk Choi
, Seong-Jin Kim
:
A 100 × 80 Flash LiDAR Sensor With In-Pixel Zoom-Histogramming TDC and Self-Referenced Single-Slope ADC Based on Analog Counters. 2146-2157 - Wenyu Peng
, Xinling Yue
, Willem D. van Driel
, Guoqi Zhang
, Sijun Du
:
A Fully Integrated Electrostatic Charge Boosting Rectifier for Triboelectric Energy Harvesting. 2158-2169 - Xi Chen
, Jiaxiang Feng
, Aly Shoukry, Xin Zhang
, Raveesh Magod
, Nachiket V. Desai
, Jie Gu
:
A 65-nm Proactive Power Management Technique With Real-Time Machine Learning Engine for Droop Prediction and Mitigation on Microprocessors. 2170-2181 - Junwei Huang
, Zhiguo Tong
, Chi-Seng Lam
, Xiangyu Mao
, Rui Paulo Martins
, Yan Lu
:
A Multi-Path Inductor-First Inductor-on-Ground Switched-Capacitor Hybrid DC-DC Converter. 2182-2193 - Ji Jin
, Yufa Zhou, Changjin Chen
, Xu Han
, Weiwei Xu
, Lin Cheng
:
A Battery-to-3.4 V Hybrid Buck-Boost Converter With Always Reduced Conduction Loss. 2194-2205 - Mao-Ling Chiu
, I-Fang Lo, Tsung-Hsien Lin
:
A Time-Domain Current-Mode Buck Converter With a PI Compensator Incorporating an Infinite Phase Shift Delay Line. 2206-2214 - Taeryeong Kim, Ji-Young Kim
, Jeonghyeok You, Hohyun Chae, Byoung-Mo Moon, Kyomin Sohn
, Seong-Ook Jung
:
A Low-Voltage Area-Efficient TSV I/O With QEC Realizing Data Rate up to 15 Gb/s for TSV Interface. 2215-2225 - Wenao Xie
, Haoyang Sang
, Beomseok Kwon
, Dongseok Im
, Sangjin Kim
, Sangyeob Kim
, Kangho Lee, Hoi-Jun Yoo
:
ED-MPIM: An Energy-Efficient Event-Driven Smart Vision SoC With High-Linearity and Reconfigurable MRAM PIM. 2226-2238 - Odem Harel
, Halil Andaç Yigit
, Eliana Feifel, Robert Giterman, Andreas Burg
, Adam Teman
:
A 16-kB 65-nm GC-eDRAM Macro With Internal Bias Voltage Generation Providing Over 100-μs Retention Time. 2239-2248 - Bomin Joo, Minkyu Ko
, Geonhwi Lee
, Bai-Sun Kong
:
A Single-Phase Contention- and Redundant Transition-Free Flip-Flop With Improved DQ Latency. 2249-2260 - Yihong Zhu, Wenping Zhu, Yi Ouyang, Junwen Sun, Qi Zhao, Min Zhu, Jinjiang Yang, Chen Chen, Qichao Tao, Hanning Wang, Guang Yang, Shaojun Wei, Aoyang Zhang, Leibo Liu:
PQPU: A 4.4-μJ/Op 69.4-kOPS Agile Post-Quantum Crypto-Processor Across Multiple Mathematical Problems. 2261-2275

manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.