Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Arvind
@article{DBLP:journals/qip/SinghDA23, author = {Gayatri Singh and Kavita Dorai and Arvind}, title = {Experimental quantum state transfer of an arbitrary single-qubit state on a cycle with four vertices using a coined quantum random walk}, journal = {Quantum Inf. Process.}, volume = {22}, number = {11}, pages = {394}, year = {2023}, url = {https://doi.org/10.1007/s11128-023-04150-7}, doi = {10.1007/S11128-023-04150-7}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/SinghDA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-04069, author = {Jorawar Singh and Kishor Bharti and Arvind}, title = {Inferring physical laws by artificial intelligence based causal models}, journal = {CoRR}, volume = {abs/2309.04069}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.04069}, doi = {10.48550/ARXIV.2309.04069}, eprinttype = {arXiv}, eprint = {2309.04069}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-04069.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/GautamDA22, author = {Akanksha Gautam and Kavita Dorai and Arvind}, title = {Experimental demonstration of the dynamics of quantum coherence evolving under a PT-symmetric Hamiltonian on an {NMR} quantum processor}, journal = {Quantum Inf. Process.}, volume = {21}, number = {9}, pages = {329}, year = {2022}, url = {https://doi.org/10.1007/s11128-022-03669-5}, doi = {10.1007/S11128-022-03669-5}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/GautamDA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/GaikwadAD22, author = {Akshay Gaikwad and Arvind and Kavita Dorai}, title = {Efficient experimental characterization of quantum processes via compressed sensing on an {NMR} quantum processor}, journal = {Quantum Inf. Process.}, volume = {21}, number = {12}, pages = {388}, year = {2022}, url = {https://doi.org/10.1007/s11128-022-03695-3}, doi = {10.1007/S11128-022-03695-3}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/GaikwadAD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/ChoiCA22, author = {Joonwon Choi and Adam Chlipala and Arvind}, editor = {Sharon Shoham and Yakir Vizel}, title = {Hemiola: {A} {DSL} and Verification Tools to Guide Design and Proof of Hierarchical Cache-Coherence Protocols}, booktitle = {Computer Aided Verification - 34th International Conference, {CAV} 2022, Haifa, Israel, August 7-10, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13372}, pages = {317--339}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-13188-2\_16}, doi = {10.1007/978-3-031-13188-2\_16}, timestamp = {Tue, 09 Aug 2022 17:05:51 +0200}, biburl = {https://dblp.org/rec/conf/cav/ChoiCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/osdi/0001A22, author = {Xuhao Chen and Arvind}, editor = {Marcos K. Aguilera and Hakim Weatherspoon}, title = {Efficient and Scalable Graph Pattern Mining on GPUs}, booktitle = {16th {USENIX} Symposium on Operating Systems Design and Implementation, {OSDI} 2022, Carlsbad, CA, USA, July 11-13, 2022}, pages = {857--877}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/osdi22/presentation/chen}, timestamp = {Tue, 11 Oct 2022 16:51:12 +0200}, biburl = {https://dblp.org/rec/conf/osdi/0001A22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/GaikwadAD21, author = {Akshay Gaikwad and Arvind and Kavita Dorai}, title = {True experimental reconstruction of quantum states and processes via convex optimization}, journal = {Quantum Inf. Process.}, volume = {20}, number = {1}, pages = {19}, year = {2021}, url = {https://doi.org/10.1007/s11128-020-02930-z}, doi = {10.1007/S11128-020-02930-Z}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/GaikwadAD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KooCAL21, author = {Jinhyung Koo and Chanwoo Chung and Arvind and Sungjin Lee}, title = {A Case for Application-Managed Flash}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {2}, pages = {240--254}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.2987569}, doi = {10.1109/TC.2020.2987569}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/KooCAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/ImBCAL21, author = {Junsu Im and Jinwook Bae and Chanwoo Chung and Arvind and Sungjin Lee}, title = {Design of LSM-tree-based Key-value SSDs with Bounded Tails}, journal = {{ACM} Trans. Storage}, volume = {17}, number = {2}, pages = {10:1--10:27}, year = {2021}, url = {https://doi.org/10.1145/3452846}, doi = {10.1145/3452846}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/ImBCAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/Pit-ClaudelBLAC21, author = {Cl{\'{e}}ment Pit{-}Claudel and Thomas Bourgeat and Stella Lau and Arvind and Adam Chlipala}, editor = {Tim Sherwood and Emery D. Berger and Christos Kozyrakis}, title = {Effective simulation and debugging for a high-level hardware language using software compilers}, booktitle = {{ASPLOS} '21: 26th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Virtual Event, USA, April 19-23, 2021}, pages = {789--803}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3445814.3446720}, doi = {10.1145/3445814.3446720}, timestamp = {Sat, 30 Sep 2023 09:34:47 +0200}, biburl = {https://dblp.org/rec/conf/asplos/Pit-ClaudelBLAC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ChenHXBCA21, author = {Xuhao Chen and Tianhao Huang and Shuotao Xu and Thomas Bourgeat and Chanwoo Chung and Arvind}, title = {FlexMiner: {A} Pattern-Aware Accelerator for Graph Pattern Mining}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {581--594}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00052}, doi = {10.1109/ISCA52012.2021.00052}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/ChenHXBCA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-09761, author = {Xuhao Chen and Arvind}, title = {Efficient and Scalable Graph Pattern Mining on GPUs}, journal = {CoRR}, volume = {abs/2112.09761}, year = {2021}, url = {https://arxiv.org/abs/2112.09761}, eprinttype = {arXiv}, eprint = {2112.09761}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-09761.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/NarangDA20, author = {Geetu Narang and Shruti Dogra and Arvind}, title = {A comparative study of system size dependence of the effect of non-unitary channels on different classes of quantum states}, journal = {Quantum Inf. Process.}, volume = {19}, number = {10}, pages = {397}, year = {2020}, url = {https://doi.org/10.1007/s11128-020-02904-1}, doi = {10.1007/S11128-020-02904-1}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/NarangDA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/saem/ArvindR20, author = {Arvind and Ram Ratan}, title = {Identifying traffic of same keys in cryptographic communications using fuzzy decision criteria and bit-plane measures}, journal = {Int. J. Syst. Assur. Eng. Manag.}, volume = {11}, number = {2}, pages = {466--480}, year = {2020}, url = {https://doi.org/10.1007/s13198-019-00878-7}, doi = {10.1007/S13198-019-00878-7}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/saem/ArvindR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/XuBHKLA20, author = {Shuotao Xu and Thomas Bourgeat and Tianhao Huang and Hojun Kim and Sungjin Lee and Arvind}, title = {{AQUOMAN:} An Analytic-Query Offloading Machine}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {386--399}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00041}, doi = {10.1109/MICRO50266.2020.00041}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/XuBHKLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/BourgeatPCA20, author = {Thomas Bourgeat and Cl{\'{e}}ment Pit{-}Claudel and Adam Chlipala and Arvind}, editor = {Alastair F. Donaldson and Emina Torlak}, title = {The essence of Bluespec: a core language for rule-based hardware design}, booktitle = {Proceedings of the 41st {ACM} {SIGPLAN} International Conference on Programming Language Design and Implementation, {PLDI} 2020, London, UK, June 15-20, 2020}, pages = {243--257}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3385412.3385965}, doi = {10.1145/3385412.3385965}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/BourgeatPCA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ImBCAL20, author = {Junsu Im and Jinwook Bae and Chanwoo Chung and Arvind and Sungjin Lee}, editor = {Ada Gavrilovska and Erez Zadok}, title = {PinK: High-speed In-storage Key-value Store with Bounded Tails}, booktitle = {2020 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2020, July 15-17, 2020}, pages = {173--187}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/atc20/presentation/im}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/usenix/ImBCAL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BanerjeeWJWAC19, author = {Utsav Banerjee and Andrew Wright and Chiraag Juvekar and Madeleine Waller and Arvind and Anantha P. Chandrakasan}, title = {An Energy-Efficient Reconfigurable {DTLS} Cryptographic Engine for Securing Internet-of-Things Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {8}, pages = {2339--2352}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2915203}, doi = {10.1109/JSSC.2019.2915203}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BanerjeeWJWAC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/software/BaratiBBCDFHIMM19, author = {Saeid Barati and Ferenc A. Bartha and Swarnendu Biswas and Robert Cartwright and Adam Duracz and Donald S. Fussell and Henry Hoffmann and Connor Imes and Jason E. Miller and Nikita Mishra and Arvind and Dung Nguyen and Krishna V. Palem and Yan Pei and Keshav Pingali and Ryuichi Sai and Andrew Wright and Yao{-}Hsiang Yang and Sizhuo Zhang}, title = {Proteus: Language and Runtime Support for Self-Adaptive Software Development}, journal = {{IEEE} Softw.}, volume = {36}, number = {2}, pages = {73--82}, year = {2019}, url = {https://doi.org/10.1109/MS.2018.2884864}, doi = {10.1109/MS.2018.2884864}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/software/BaratiBBCDFHIMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/ChungKIAL19, author = {Chanwoo Chung and Jinhyung Koo and Junsu Im and Arvind and Sungjin Lee}, editor = {Iris Bahar and Maurice Herlihy and Emmett Witchel and Alvin R. Lebeck}, title = {LightStore: Software-defined Network-attached Key-value Drives}, booktitle = {Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2019, Providence, RI, USA, April 13-17, 2019}, pages = {939--953}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297858.3304022}, doi = {10.1145/3297858.3304022}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asplos/ChungKIAL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/BourgeatLWZAD19, author = {Thomas Bourgeat and Ilia A. Lebedev and Andrew Wright and Sizhuo Zhang and Arvind and Srinivas Devadas}, title = {{MI6:} Secure Enclaves in a Speculative Out-of-Order Processor}, booktitle = {Proceedings of the 52nd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2019, Columbus, OH, USA, October 12-16, 2019}, pages = {42--56}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3352460.3358310}, doi = {10.1145/3352460.3358310}, timestamp = {Wed, 16 Oct 2019 09:55:30 +0200}, biburl = {https://dblp.org/rec/conf/micro/BourgeatLWZAD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/RatanJA19, author = {Ram Ratan and Bharat Lal Jangid and Arvind}, editor = {Atulya K. Nagar and Kusum Deep and Jagdish Chand Bansal and Kedar Nath Das}, title = {Bit-Plane Specific Randomness Testing for Statistical Analysis of Ciphers}, booktitle = {Soft Computing for Problem Solving 2019 - Proceedings of SocProS 2019, Volume 1, Liverpool Hope University, UK, 2-4 September 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {1138}, pages = {199--213}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-3290-0\_16}, doi = {10.1007/978-981-15-3290-0\_16}, timestamp = {Fri, 11 Dec 2020 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/socpros/RatanJA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-04387, author = {Utsav Banerjee and Chiraag Juvekar and Andrew Wright and Arvind and Anantha P. Chandrakasan}, title = {An Energy-Efficient Reconfigurable {DTLS} Cryptographic Engine for End-to-End Security in IoT Applications}, journal = {CoRR}, volume = {abs/1903.04387}, year = {2019}, url = {http://arxiv.org/abs/1903.04387}, eprinttype = {arXiv}, eprint = {1903.04387}, timestamp = {Sun, 31 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-04387.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-04455, author = {Utsav Banerjee and Andrew Wright and Chiraag Juvekar and Madeleine Waller and Arvind and Anantha P. Chandrakasan}, title = {An Energy-Efficient Reconfigurable {DTLS} Cryptographic Engine for Securing Internet-of-Things Applications}, journal = {CoRR}, volume = {abs/1907.04455}, year = {2019}, url = {http://arxiv.org/abs/1907.04455}, eprinttype = {arXiv}, eprint = {1907.04455}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-04455.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/DevraPSAD18, author = {Amit Devra and Prithviraj Prabhu and Harpreet Singh and Arvind and Kavita Dorai}, title = {Efficient experimental design of high-fidelity three-qubit quantum gates via genetic programming}, journal = {Quantum Inf. Process.}, volume = {17}, number = {3}, pages = {67}, year = {2018}, url = {https://doi.org/10.1007/s11128-018-1835-8}, doi = {10.1007/S11128-018-1835-8}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/DevraPSAD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/SinghDA18, author = {Amandeep Singh and Kavita Dorai and Arvind}, title = {Experimentally identifying the entanglement class of pure tripartite states}, journal = {Quantum Inf. Process.}, volume = {17}, number = {12}, pages = {334}, year = {2018}, url = {https://doi.org/10.1007/s11128-018-2105-5}, doi = {10.1007/S11128-018-2105-5}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/SinghDA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/Arvind18, author = {Arvind}, editor = {David R. Kaeli and Miquel Peric{\`{a}}s}, title = {Low-power appliances for big-data analytics using flash storage and hardware accelerators}, booktitle = {Proceedings of the 15th {ACM} International Conference on Computing Frontiers, {CF} 2018, Ischia, Italy, May 08-10, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3203217.3205336}, doi = {10.1145/3203217.3205336}, timestamp = {Wed, 21 Nov 2018 12:44:06 +0100}, biburl = {https://dblp.org/rec/conf/cf/Arvind18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ZhangVWAA18, author = {Sizhuo Zhang and Muralidaran Vijayaraghavan and Andrew Wright and Mehdi Alipour and Arvind}, editor = {Murali Annavaram and Timothy Mark Pinkston and Babak Falsafi}, title = {Constructing a Weak Memory Model}, booktitle = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018}, pages = {124--137}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISCA.2018.00021}, doi = {10.1109/ISCA.2018.00021}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ZhangVWAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JunWZXA18, author = {Sang Woo Jun and Andy Wright and Sizhuo Zhang and Shuotao Xu and Arvind}, editor = {Murali Annavaram and Timothy Mark Pinkston and Babak Falsafi}, title = {GraFBoost: Using Accelerated Flash Storage for External Graph Analytics}, booktitle = {45th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2018, Los Angeles, CA, USA, June 1-6, 2018}, pages = {411--424}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISCA.2018.00042}, doi = {10.1109/ISCA.2018.00042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/JunWZXA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BanerjeeJWAC18, author = {Utsav Banerjee and Chiraag Juvekar and Andrew Wright and Arvind and Anantha P. Chandrakasan}, title = {An energy-efficient reconfigurable {DTLS} cryptographic engine for End-to-End security in iot applications}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {42--44}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310174}, doi = {10.1109/ISSCC.2018.8310174}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BanerjeeJWAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ZhangWBA18, author = {Sizhuo Zhang and Andrew Wright and Thomas Bourgeat and Arvind}, title = {Composable Building Blocks to Open up Processor Design}, booktitle = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018}, pages = {68--81}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MICRO.2018.00015}, doi = {10.1109/MICRO.2018.00015}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/ZhangWBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtip2r/ArvindR18, author = {Arvind and Ram Ratan}, editor = {KC Santosh and Ravindra S. Hegadi}, title = {Bit-Plane Specific Selective Histogram Equalization for Image Enhancement and Representation}, booktitle = {Recent Trends in Image Processing and Pattern Recognition - Second International Conference, {RTIP2R} 2018, Solapur, India, December 21-22, 2018, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1035}, pages = {678--687}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-9181-1\_58}, doi = {10.1007/978-981-13-9181-1\_58}, timestamp = {Mon, 16 Jan 2023 08:52:16 +0100}, biburl = {https://dblp.org/rec/conf/rtip2r/ArvindR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-07886, author = {Sizhuo Zhang and Muralidaran Vijayaraghavan and Andrew Wright and Mehdi Alipour and Arvind}, title = {Constructing a Weak Memory Model}, journal = {CoRR}, volume = {abs/1805.07886}, year = {2018}, url = {http://arxiv.org/abs/1805.07886}, eprinttype = {arXiv}, eprint = {1805.07886}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-07886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-09822, author = {Thomas Bourgeat and Ilia A. Lebedev and Andrew Wright and Sizhuo Zhang and Arvind and Srinivas Devadas}, title = {{MI6:} Secure Enclaves in a Speculative Out-of-Order Processor}, journal = {CoRR}, volume = {abs/1812.09822}, year = {2018}, url = {http://arxiv.org/abs/1812.09822}, eprinttype = {arXiv}, eprint = {1812.09822}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-09822.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/ChoiVSCA17, author = {Joonwon Choi and Muralidaran Vijayaraghavan and Benjamin Sherman and Adam Chlipala and Arvind}, title = {Kami: a platform for high-level parametric hardware specification and its modular verification}, journal = {Proc. {ACM} Program. Lang.}, volume = {1}, number = {{ICFP}}, pages = {24:1--24:30}, year = {2017}, url = {https://doi.org/10.1145/3110268}, doi = {10.1145/3110268}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmpl/ChoiVSCA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ZhangVA17, author = {Sizhuo Zhang and Muralidaran Vijayaraghavan and Arvind}, title = {Weak Memory Models: Balancing Definitional Simplicity and Implementation Flexibility}, booktitle = {26th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2017, Portland, OR, USA, September 9-13, 2017}, pages = {288--302}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PACT.2017.29}, doi = {10.1109/PACT.2017.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/ZhangVA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/JunXA17, author = {Sang Woo Jun and Shuotao Xu and Arvind}, title = {Terabyte Sort on FPGA-Accelerated Flash Storage}, booktitle = {25th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2017, Napa, CA, USA, April 30 - May 2, 2017}, pages = {17--24}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FCCM.2017.53}, doi = {10.1109/FCCM.2017.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/JunXA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/ChungKAL17, author = {Chanwoo Chung and Jinhyung Koo and Arvind and Sungjin Lee}, title = {Lightweight KV-based Distributed Store for Datacenters}, booktitle = {9th {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2017, Santa Clara, CA, USA, July 10-11, 2017}, publisher = {{USENIX} Association}, year = {2017}, url = {https://www.usenix.org/conference/hotstorage17/program/presentation/chung}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotstorage/ChungKAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangVA17, author = {Sizhuo Zhang and Muralidaran Vijayaraghavan and Arvind}, title = {An Operational Framework for Specifying Memory Models using Instantaneous Instruction Execution}, journal = {CoRR}, volume = {abs/1705.06158}, year = {2017}, url = {http://arxiv.org/abs/1705.06158}, eprinttype = {arXiv}, eprint = {1705.06158}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangVA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangVA17aa, author = {Sizhuo Zhang and Muralidaran Vijayaraghavan and Arvind}, title = {Weak Memory Models: Balancing Definitional Simplicity and Implementation Flexibility}, journal = {CoRR}, volume = {abs/1707.05923}, year = {2017}, url = {http://arxiv.org/abs/1707.05923}, eprinttype = {arXiv}, eprint = {1707.05923}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangVA17aa.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-04259, author = {Sizhuo Zhang and Muralidaran Vijayaraghavan and Dan Lustig and Arvind}, title = {Weak Memory Models with Matching Axiomatic and Operational Definitions}, journal = {CoRR}, volume = {abs/1710.04259}, year = {2017}, url = {http://arxiv.org/abs/1710.04259}, eprinttype = {arXiv}, eprint = {1710.04259}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-04259.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-07736, author = {Sang Woo Jun and Andy Wright and Sizhuo Zhang and Shuotao Xu and Arvind}, title = {BigSparse: High-performance external graph analytics}, journal = {CoRR}, volume = {abs/1710.07736}, year = {2017}, url = {http://arxiv.org/abs/1710.07736}, eprinttype = {arXiv}, eprint = {1710.07736}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-07736.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/XuLJLHA16, author = {Shuotao Xu and Sungjin Lee and Sang Woo Jun and Ming Liu and Jamey Hicks and Arvind}, title = {BlueCache: {A} Scalable Distributed Flash-based Key-value Store}, journal = {Proc. {VLDB} Endow.}, volume = {10}, number = {4}, pages = {301--312}, year = {2016}, url = {http://www.vldb.org/pvldb/vol10/p301-xu.pdf}, doi = {10.14778/3025111.3025113}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/XuLJLHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/JunLLHAKXA16, author = {Sang Woo Jun and Ming Liu and Sungjin Lee and Jamey Hicks and John Ankcorn and Myron King and Shuotao Xu and Arvind}, title = {BlueDBM: Distributed Flash Storage for Big Data Analytics}, journal = {{ACM} Trans. Comput. Syst.}, volume = {34}, number = {3}, pages = {7:1--7:31}, year = {2016}, url = {https://doi.org/10.1145/2898996}, doi = {10.1145/2898996}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/JunLLHAKXA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/Arvind16, author = {Arvind}, editor = {Ayal Zaks and Bilha Mendelson and Lawrence Rauchwerger and Wen{-}mei W. Hwu}, title = {Big Data Analytics on Flash Storage with Accelerators}, booktitle = {Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, {PACT} 2016, Haifa, Israel, September 11-15, 2016}, pages = {1}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2967938.2970374}, doi = {10.1145/2967938.2970374}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/Arvind16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuJLHA16, author = {Ming Liu and Sang Woo Jun and Sungjin Lee and Jamey Hicks and Arvind}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {minFlash: {A} minimalistic clustered flash array}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1255--1260}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459503/}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuJLHA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/LeeLJXKA16, author = {Sungjin Lee and Ming Liu and Sang Woo Jun and Shuotao Xu and Jihong Kim and Arvind}, editor = {Angela Demke Brown and Florentina I. Popovici}, title = {Application-Managed Flash}, booktitle = {14th {USENIX} Conference on File and Storage Technologies, {FAST} 2016, Santa Clara, CA, USA, February 22-25, 2016}, pages = {339--353}, publisher = {{USENIX} Association}, year = {2016}, url = {https://www.usenix.org/conference/fast16/technical-sessions/presentation/lee}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fast/LeeLJXKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/JunNGA16, author = {Sang Woo Jun and Huy T. Nguyen and Vijay Gadepally and Arvind}, title = {In-storage embedded accelerator for sparse pattern processing}, booktitle = {2016 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2016, Waltham, MA, USA, September 13-15, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HPEC.2016.7761588}, doi = {10.1109/HPEC.2016.7761588}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpec/JunNGA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangAV16, author = {Sizhuo Zhang and Arvind and Muralidaran Vijayaraghavan}, title = {Taming Weak Memory Models}, journal = {CoRR}, volume = {abs/1606.05416}, year = {2016}, url = {http://arxiv.org/abs/1606.05416}, eprinttype = {arXiv}, eprint = {1606.05416}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangAV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangWSA16, author = {Sizhuo Zhang and Andrew Wright and Daniel S{\'{a}}nchez and Arvind}, title = {Validating Simplified Processor Models in Architectural Studies}, journal = {CoRR}, volume = {abs/1610.02094}, year = {2016}, url = {http://arxiv.org/abs/1610.02094}, eprinttype = {arXiv}, eprint = {1610.02094}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangWSA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JunNGA16, author = {Sang Woo Jun and Huy T. Nguyen and Vijay Gadepally and Arvind}, title = {In-Storage Embedded Accelerator for Sparse Pattern Processing}, journal = {CoRR}, volume = {abs/1611.03380}, year = {2016}, url = {http://arxiv.org/abs/1611.03380}, eprinttype = {arXiv}, eprint = {1611.03380}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JunNGA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/SiddhuA15, author = {Vikesh Siddhu and Arvind}, title = {Quantum private comparison over noisy channels}, journal = {Quantum Inf. Process.}, volume = {14}, number = {8}, pages = {3005--3017}, year = {2015}, url = {https://doi.org/10.1007/s11128-015-1032-y}, doi = {10.1007/S11128-015-1032-Y}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/SiddhuA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/Arvind15, author = {Arvind}, title = {BlueDBM: {A} multi-access, distributed flash store for Big Data analytics}, booktitle = {26th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2015, Toronto, ON, Canada, July 27-29, 2015}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ASAP.2015.7245693}, doi = {10.1109/ASAP.2015.7245693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/Arvind15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/VijayaraghavanC15, author = {Muralidaran Vijayaraghavan and Adam Chlipala and Arvind and Nirav Dave}, editor = {Daniel Kroening and Corina S. Pasareanu}, title = {Modular Deductive Verification of Multiprocessor Hardware Designs}, booktitle = {Computer Aided Verification - 27th International Conference, {CAV} 2015, San Francisco, CA, USA, July 18-24, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9207}, pages = {109--127}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21668-3\_7}, doi = {10.1007/978-3-319-21668-3\_7}, timestamp = {Fri, 27 Mar 2020 08:45:57 +0100}, biburl = {https://dblp.org/rec/conf/cav/VijayaraghavanC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/JunLXA15, author = {Sang Woo Jun and Ming Liu and Shuotao Xu and Arvind}, title = {A transport-layer network for distributed {FPGA} platforms}, booktitle = {25th International Conference on Field Programmable Logic and Applications, {FPL} 2015, London, United Kingdom, September 2-4, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPL.2015.7293976}, doi = {10.1109/FPL.2015.7293976}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/JunLXA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JunLLHAKXA15, author = {Sang Woo Jun and Ming Liu and Sungjin Lee and Jamey Hicks and John Ankcorn and Myron King and Shuotao Xu and Arvind}, editor = {Deborah T. Marr and David H. Albonesi}, title = {BlueDBM: an appliance for big data analytics}, booktitle = {Proceedings of the 42nd Annual International Symposium on Computer Architecture, Portland, OR, USA, June 13-17, 2015}, pages = {1--13}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2749469.2750412}, doi = {10.1145/2749469.2750412}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/JunLLHAKXA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/JunCA15, author = {Sang Woo Jun and Chanwoo Chung and Arvind}, editor = {Michael H{\"{u}}bner and Maya B. Gokhale and Ren{\'{e}} Cumplido}, title = {Large-scale high-dimensional nearest neighbor search using flash memory with in-store processing}, booktitle = {International Conference on ReConFigurable Computing and FPGAs, ReConFig 2015, Riviera Maya, Mexico, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ReConFig.2015.7393324}, doi = {10.1109/RECONFIG.2015.7393324}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/JunCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcae/Arvind15, author = {Arvind}, title = {Constructive computer architecture}, booktitle = {Proceedings of the Workshop on Computer Architecture Education, WCAE@ISCA 2015, Portland, Oregon, USA, June 13, 2015}, pages = {10:10}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2795122.2795132}, doi = {10.1145/2795122.2795132}, timestamp = {Tue, 06 Nov 2018 16:57:55 +0100}, biburl = {https://dblp.org/rec/conf/wcae/Arvind15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/JunLFA14, author = {Sang Woo Jun and Ming Liu and Kermin Elliott Fleming and Arvind}, editor = {Vaughn Betz and George A. Constantinides}, title = {Scalable multi-access flash store for big data analytics}, booktitle = {The 2014 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} '14, Monterey, CA, {USA} - February 26 - 28, 2014}, pages = {55--64}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2554688.2554789}, doi = {10.1145/2554688.2554789}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/JunLFA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/AgarwalHAHKA14, author = {Abhinav Agarwal and Haitham Hassanieh and Omid Abari and Ezzeldin Hamed and Dina Katabi and Arvind}, title = {High-throughput implementation of a million-point sparse Fourier Transform}, booktitle = {24th International Conference on Field Programmable Logic and Applications, {FPL} 2014, Munich, Germany, 2-4 September, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPL.2014.6927450}, doi = {10.1109/FPL.2014.6927450}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/AgarwalHAHKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/KarczmarekAV14, author = {Michal Karczmarek and Arvind and Muralidaran Vijayaraghavan}, title = {A new synthesis procedure for atomic rules containing multi-cycle function blocks}, booktitle = {Twelfth {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2014, Lausanne, Switzerland, October 19-21, 2014}, pages = {22--31}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MEMCOD.2014.6961840}, doi = {10.1109/MEMCOD.2014.6961840}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/KarczmarekAV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/KingKAAA13, author = {Myron King and Asif Khan and Abhinav Agarwal and Oriol Arcas and Arvind}, title = {Generating infrastructure for FPGA-accelerated applications}, booktitle = {23rd International Conference on Field programmable Logic and Applications, {FPL} 2013, Porto, Portugal, September 2-4, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPL.2013.6645495}, doi = {10.1109/FPL.2013.6645495}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/KingKAAA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgarwalA13, author = {Abhinav Agarwal and Arvind}, editor = {J{\"{o}}rg Henkel}, title = {Leveraging rule-based designs for automatic power domain partitioning}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {326--333}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691139}, doi = {10.1109/ICCAD.2013.6691139}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AgarwalA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfp/Arvind13, author = {Arvind}, editor = {Richard Lazarus and Assaf J. Kfoury and Jacob Beal}, title = {Bluespec and Haskell}, booktitle = {Proceedings of the 1st annual workshop on Functional programming concepts in domain-specific languages, FPCDSL@ICFP 2013, Boston, Massachusetts, USA, September 22, 2013}, pages = {1--2}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2505351.2508149}, doi = {10.1145/2505351.2508149}, timestamp = {Tue, 06 Nov 2018 16:59:25 +0100}, biburl = {https://dblp.org/rec/conf/icfp/Arvind13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/VijayaraghavanDA13, author = {Muralidaran Vijayaraghavan and Nirav Dave and Arvind}, title = {Modular compilation of guarded atomic actions}, booktitle = {11th {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMCODE} 2013, Portland, OR, USA, October 18-20, 2013}, pages = {177--188}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6670957/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memocode/VijayaraghavanDA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/KingDA12, author = {Myron King and Nirav Dave and Arvind}, editor = {Tim Harris and Michael L. Scott}, title = {Automatic generation of hardware/software interfaces}, booktitle = {Proceedings of the 17th International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2012, London, UK, March 3-7, 2012}, pages = {325--336}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2150976.2151011}, doi = {10.1145/2150976.2151011}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/KingDA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/FlemingAPPME12, author = {Kermin Elliott Fleming and Michael Adler and Michael Pellauer and Angshuman Parashar and Arvind and Joel S. Emer}, editor = {Katherine Compton and Brad L. Hutchings}, title = {Leveraging latency-insensitivity to ease multiple {FPGA} design}, booktitle = {Proceedings of the {ACM/SIGDA} 20th International Symposium on Field Programmable Gate Arrays, {FPGA} 2012, Monterey, California, USA, February 22-24, 2012}, pages = {175--184}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2145694.2145725}, doi = {10.1145/2145694.2145725}, timestamp = {Tue, 06 Nov 2018 16:58:22 +0100}, biburl = {https://dblp.org/rec/conf/fpga/FlemingAPPME12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KhanVBA12, author = {Asif Khan and Muralidaran Vijayaraghavan and Silas Boyd{-}Wickizer and Arvind}, editor = {Rajeev Balasubramonian and Vijayalakshmi Srinivasan}, title = {Fast and cycle-accurate modeling of a multicore processor}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, New Brunswick, NJ, USA, April 1-3, 2012}, pages = {178--187}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPASS.2012.6189224}, doi = {10.1109/ISPASS.2012.6189224}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KhanVBA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/KhanVA12, author = {Asif Khan and Muralidaran Vijayaraghavan and Arvind}, title = {A general technique for deterministic model-cycle-level debugging}, booktitle = {Tenth {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMCODE} 2012, Arlington, VA, USA, July 16-17, 2012}, pages = {109--118}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/MEMCOD.2012.6292307}, doi = {10.1109/MEMCOD.2012.6292307}, timestamp = {Fri, 17 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memocode/KhanVA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LeePFAK11, author = {Sungjin Lee and Jihoon Park and Kermin Fleming and Arvind and Jihong Kim}, title = {Improving performance and lifetime of solid-state drives using hardware-accelerated compression}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {4}, pages = {1732--1739}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.6131148}, doi = {10.1109/TCE.2011.6131148}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/LeePFAK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/Arvind11, author = {Arvind}, editor = {Rajesh K. Gupta and Vincent John Mooney}, title = {Automatic generation of hardware/software interfaces}, booktitle = {Proceedings of the 14th International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2011, part of the Seventh Embedded Systems Week, ESWeek 2011, Taipei, Taiwan, October 9-14, 2011}, pages = {1--2}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2038698.2038700}, doi = {10.1145/2038698.2038700}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/Arvind11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/FlemingNGA11, author = {Kermin Elliott Fleming and Man Cheuk Ng and Samuel Gross and Arvind}, title = {WiLIS: Architectural modeling of wireless systems}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2011, 10-12 April, 2011, Austin, TX, {USA}}, pages = {197--206}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ISPASS.2011.5762736}, doi = {10.1109/ISPASS.2011.5762736}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/FlemingNGA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/DaveKKAM11, author = {Nirav Dave and Michael Katelman and Myron King and Arvind and Jos{\'{e}} Meseguer}, editor = {Satnam Singh and Barbara Jobstmann and Michael Kishinevsky and Jens Brandt}, title = {Verification of microarchitectural refinements in rule-based systems}, booktitle = {9th {IEEE/ACM} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2011, Cambridge, UK, 11-13 July, 2011}, pages = {61--71}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/MEMCOD.2011.5970511}, doi = {10.1109/MEMCOD.2011.5970511}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memocode/DaveKKAM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/AgarwalNA10, author = {Abhinav Agarwal and Man Cheuk Ng and Arvind}, title = {A Comparative Evaluation of High-Level Hardware Synthesis Using Reed-Solomon Decoder}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {2}, number = {3}, pages = {72--76}, year = {2010}, url = {https://doi.org/10.1109/LES.2010.2055231}, doi = {10.1109/LES.2010.2055231}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/AgarwalNA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ArvindAPCSY10, author = {Arvind and David I. August and Keshav Pingali and Derek Chiou and Resit Sendag and Joshua J. Yi}, title = {Programming Multicores: Do Applications Programmers Need to Write Explicitly Parallel Programs?}, journal = {{IEEE} Micro}, volume = {30}, number = {3}, pages = {19--33}, year = {2010}, url = {https://doi.org/10.1109/MM.2010.54}, doi = {10.1109/MM.2010.54}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ArvindAPCSY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/NgFVGAB10, author = {Man Cheuk Ng and Kermin Elliott Fleming and Mythili Vutukuru and Samuel Gross and Arvind and Hari Balakrishnan}, editor = {Bill Lin and Jeffrey C. Mogul and Ravishankar R. Iyer}, title = {Airblue: a system for cross-layer wireless protocol development}, booktitle = {Proceedings of the 2010 {ACM/IEEE} Symposium on Architecture for Networking and Communications Systems, {ANCS} 2010, San Diego, California, USA, October 25-26, 2010}, pages = {4}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1872007.1872013}, doi = {10.1145/1872007.1872013}, timestamp = {Mon, 15 May 2023 22:11:15 +0200}, biburl = {https://dblp.org/rec/conf/ancs/NgFVGAB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/Arvind10, author = {Arvind}, editor = {Matthew T. Jacob and Chita R. Das and Pradip Bose}, title = {Is hardware innovation over?}, booktitle = {16th International Conference on High-Performance Computer Architecture {(HPCA-16} 2010), 9-14 January 2010, Bangalore, India}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/HPCA.2010.5416648}, doi = {10.1109/HPCA.2010.5416648}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/Arvind10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/DaveNPA10, author = {Nirav Dave and Man Cheuk Ng and Michael Pellauer and Arvind}, title = {A design flow based on modular refinement}, booktitle = {8th {ACM/IEEE} International Conference on Formal Methods and Models for Codesign {(MEMOCODE} 2010), Grenoble, France, 26-28 July 2010}, pages = {11--20}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/MEMCOD.2010.5558626}, doi = {10.1109/MEMCOD.2010.5558626}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/DaveNPA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/Arvind10, author = {Arvind}, editor = {R. Govindarajan and David A. Padua and Mary W. Hall}, title = {Is hardware innovation over?}, booktitle = {Proceedings of the 15th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, {PPOPP} 2010, Bangalore, India, January 9-14, 2010}, pages = {103--104}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1693453.1693455}, doi = {10.1145/1693453.1693455}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/Arvind10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/PellauerVAAE09, author = {Michael Pellauer and Muralidaran Vijayaraghavan and Michael Adler and Arvind and Joel S. Emer}, title = {A-Port Networks: Preserving the Timed Behavior of Synchronous Systems for Modeling on FPGAs}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {2}, number = {3}, pages = {16:1--16:26}, year = {2009}, url = {https://doi.org/10.1145/1575774.1575775}, doi = {10.1145/1575774.1575775}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/PellauerVAAE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/VijayaraghavanA09, author = {Muralidaran Vijayaraghavan and Arvind}, title = {Bounded Dataflow Networks and Latency-Insensitive circuits}, booktitle = {7th {ACM/IEEE} International Conference on Formal Methods and Models for Codesign {(MEMOCODE} 2009), July 13-15, 2009, Cambridge, Massachusetts, {USA}}, pages = {171--180}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/MEMCOD.2009.5185393}, doi = {10.1109/MEMCOD.2009.5185393}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/VijayaraghavanA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/GuptaABB08, author = {Rajesh Gupta and Arvind and G{\'{e}}rard Berry and Forrest Brewer}, title = {Advances in {ESL} Design}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {6}, pages = {520--526}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.153}, doi = {10.1109/MDT.2008.153}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/GuptaABB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fm/ArvindDK08, author = {Arvind and Nirav Dave and Michael Katelman}, editor = {Jorge Cu{\'{e}}llar and T. S. E. Maibaum and Kaisa Sere}, title = {Getting Formal Verification into Design Flow}, booktitle = {{FM} 2008: Formal Methods, 15th International Symposium on Formal Methods, Turku, Finland, May 26-30, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5014}, pages = {12--32}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-68237-0\_2}, doi = {10.1007/978-3-540-68237-0\_2}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/fm/ArvindDK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/PellauerVAAE08, author = {Michael Pellauer and Muralidaran Vijayaraghavan and Michael Adler and Arvind and Joel S. Emer}, editor = {Mike Hutton and Paul Chow}, title = {A-Ports: an efficient abstraction for cycle-accurate performance models on FPGAs}, booktitle = {Proceedings of the {ACM/SIGDA} 16th International Symposium on Field Programmable Gate Arrays, {FPGA} 2008, Monterey, California, USA, February 24-26, 2008}, pages = {87--96}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1344671.1344685}, doi = {10.1145/1344671.1344685}, timestamp = {Tue, 06 Nov 2018 16:58:23 +0100}, biburl = {https://dblp.org/rec/conf/fpga/PellauerVAAE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KarczmarekA08, author = {Michal Karczmarek and Arvind}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Synthesis from multi-cycle atomic actions as a solution to the timing closure problem}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {24--31}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681547}, doi = {10.1109/ICCAD.2008.4681547}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KarczmarekA08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/PellauerVAAE08, author = {Michael Pellauer and Muralidaran Vijayaraghavan and Michael Adler and Arvind and Joel S. Emer}, title = {Quick Performance Models Quickly: Closely-Coupled Partitioned Simulation on FPGAs}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2008, April 20-22, 2008, Austin, Texas, USA, Proceedings}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPASS.2008.4510733}, doi = {10.1109/ISPASS.2008.4510733}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/PellauerVAAE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/FlemingLDARH08, author = {Kermin Fleming and Chun{-}Chieh Lin and Nirav Dave and Arvind and Gopal Raghavan and Jamey Hicks}, title = {{H.264} Decoder: {A} Case Study in Multiple Design Points}, booktitle = {6th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2008), June 5-7, 2008, Anaheim, CA, {USA}}, pages = {165--174}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MEMCOD.2008.4547707}, doi = {10.1109/MEMCOD.2008.4547707}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/FlemingLDARH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/ArvindN08, author = {Arvind and Rishiyur S. Nikhil}, title = {Hands-on Introduction to Bluespec System Verilog {(BSV)} (Abstract)}, booktitle = {6th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2008), June 5-7, 2008, Anaheim, CA, {USA}}, pages = {205--206}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/MEMCOD.2008.4547713}, doi = {10.1109/MEMCOD.2008.4547713}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/ArvindN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/DaveAP07, author = {Nirav Dave and Arvind and Michael Pellauer}, title = {Scheduling as Rule Composition}, booktitle = {5th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2007), May 30 - June 1st, Nice, France}, pages = {51--60}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MEMCOD.2007.371249}, doi = {10.1109/MEMCOD.2007.371249}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/DaveAP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/NgVDARH07, author = {Man Cheuk Ng and Muralidaran Vijayaraghavan and Nirav Dave and Arvind and Gopal Raghavan and Jamey Hicks}, title = {From WiFi to WiMAX: Techniques for High-Level {IP} Reuse across Different {OFDM} Protocols}, booktitle = {5th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2007), May 30 - June 1st, Nice, France}, pages = {71--80}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MEMCOD.2007.371247}, doi = {10.1109/MEMCOD.2007.371247}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/NgVDARH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/ArvindH06, author = {Arvind and Jamey Hicks}, title = {A Mobile Phone Ecosystem: {MIT} and Nokia's Joint Research Venture}, journal = {{IEEE} Intell. Syst.}, volume = {21}, number = {5}, pages = {78--79}, year = {2006}, url = {https://doi.org/10.1109/MIS.2006.84}, doi = {10.1109/MIS.2006.84}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/expert/ArvindH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PattersonAACHKL06, author = {David A. Patterson and Arvind and Krste Asanovic and Derek Chiou and James C. Hoe and Christos Kozyrakis and Shih{-}Lien Lu and Mark Oskin and Jan M. Rabaey and John Wawrzynek}, title = {Research accelerator for multiple processors}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--42}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477751}, doi = {10.1109/HOTCHIPS.2006.7477751}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/PattersonAACHKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ArvindM06, author = {Arvind and Jan{-}Willem Maessen}, title = {Memory Model = Instruction Reordering + Store Atomicity}, booktitle = {33rd International Symposium on Computer Architecture {(ISCA} 2006), June 17-21, 2006, Boston, MA, {USA}}, pages = {29--40}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISCA.2006.26}, doi = {10.1109/ISCA.2006.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ArvindM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/DavePGA06, author = {Nirav Dave and Michael Pellauer and S. Gerding and Arvind}, title = {802.11a transmitter: a case study in microarchitectural exploration}, booktitle = {4th {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2006), 27-29 July 2006, Embassy Suites, Napa, California, {USA}}, pages = {59--68}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MEMCOD.2006.1695901}, doi = {10.1109/MEMCOD.2006.1695901}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/DavePGA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/Arvind06, author = {Arvind}, title = {{UNUM:} {A} Tinker-Toy Approach to Building Multicore PowerPC Microarchitectures}, booktitle = {19th International Conference on {VLSI} Design {(VLSI} Design 2006), 3-7 January 2006, Hyderabad, India}, pages = {39}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/VLSID.2006.164}, doi = {10.1109/VLSID.2006.164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/Arvind06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/MaessenA07, author = {Jan{-}Willem Maessen and Arvind}, editor = {Ganesh Gopalakrishnan and John O'Leary}, title = {Store Atomicity for Transactional Memory}, booktitle = {Proceedings of the Thread Verification Workshop, TV@FLoC 2006, Seattle, WA, USA, August 21-22, 2006}, series = {Electronic Notes in Theoretical Computer Science}, volume = {174}, number = {9}, pages = {117--137}, publisher = {Elsevier}, year = {2006}, url = {https://doi.org/10.1016/j.entcs.2007.04.009}, doi = {10.1016/J.ENTCS.2007.04.009}, timestamp = {Fri, 27 Jan 2023 14:19:41 +0100}, biburl = {https://dblp.org/rec/journals/entcs/MaessenA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/NewtonAW05, author = {Ryan Newton and Arvind and Matt Welsh}, title = {Building up to macroprogramming: an intermediate language for sensor networks}, booktitle = {Proceedings of the Fourth International Symposium on Information Processing in Sensor Networks, {IPSN} 2005, April 25-27, 2005, UCLA, Los Angeles, California, {USA}}, pages = {37--44}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IPSN.2005.1440891}, doi = {10.1109/IPSN.2005.1440891}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/NewtonAW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/DaveNA05, author = {Nirav Dave and Man Cheuk Ng and Arvind}, title = {Automatic synthesis of cache-coherence protocol processors using Bluespec}, booktitle = {3rd {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2005), 11-14 July 2005, Verona, Italy, Proceedings}, pages = {25--34}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MEMCOD.2005.1487887}, doi = {10.1109/MEMCOD.2005.1487887}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/DaveNA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ics/2005, editor = {Arvind and Larry Rudolph}, title = {Proceedings of the 19th Annual International Conference on Supercomputing, {ICS} 2005, Cambridge, Massachusetts, USA, June 20-22, 2005}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1088149}, doi = {10.1145/1088149}, isbn = {1-59593-167-8}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HoeA04, author = {James C. Hoe and Arvind}, title = {Operation-centric hardware description and synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {9}, pages = {1277--1288}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.833614}, doi = {10.1109/TCAD.2004.833614}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HoeA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RosenbandA04, author = {Daniel L. Rosenband and Arvind}, editor = {Sharad Malik and Limor Fix and Andrew B. Kahng}, title = {Modular scheduling of guarded atomic actions}, booktitle = {Proceedings of the 41th Design Automation Conference, {DAC} 2004, San Diego, CA, USA, June 7-11, 2004}, pages = {55--60}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/996566.996583}, doi = {10.1145/996566.996583}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RosenbandA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/Arvind04, author = {Arvind}, editor = {Luc Boug{\'{e}} and Viktor K. Prasanna}, title = {Rethinking Computer Architecture Research}, booktitle = {High Performance Computing - HiPC 2004, 11th International Conference, Bangalore, India, December 19-22, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3296}, pages = {1--2}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30474-6\_1}, doi = {10.1007/978-3-540-30474-6\_1}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/hipc/Arvind04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ArvindNRD04, author = {Arvind and Rishiyur S. Nikhil and Daniel L. Rosenband and Nirav Dave}, title = {High-level synthesis: an essential ingredient for designing complex ASICs}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {775--782}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382681}, doi = {10.1109/ICCAD.2004.1382681}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ArvindNRD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/BalakrishnanDEA04, author = {Hari Balakrishnan and Srinivas Devadas and Douglas Ehlert and Arvind}, title = {Rate Guarantees and Overload Protection in Input-Queued Switches}, booktitle = {Proceedings {IEEE} {INFOCOM} 2004, The 23rd Annual Joint Conference of the {IEEE} Computer and Communications Societies, Hong Kong, China, March 7-11, 2004}, pages = {2185--2195}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/INFCOM.2004.1354642}, doi = {10.1109/INFCOM.2004.1354642}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/BalakrishnanDEA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/Arvind03, author = {Arvind}, title = {Bluespec: {A} language for hardware design, simulation, synthesis and verification Invited Talk}, booktitle = {1st {ACM} {\&} {IEEE} International Conference on Formal Methods and Models for Co-Design {(MEMOCODE} 2003), 24-26 June 2003, Mont Saint-Michel, France, Proceedings}, pages = {249}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/MEMOCODE.2003.10000}, doi = {10.1109/MEMOCODE.2003.10000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memocode/Arvind03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/daglib/0009651, author = {Rishiyur S. Nikhil and Arvind}, title = {Implicit parallel programming in pH}, publisher = {Morgan Kaufmann}, year = {2001}, isbn = {978-1-55860-644-9}, timestamp = {Fri, 15 Apr 2011 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/0009651.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fm/StoySA01, author = {Joseph E. Stoy and Xiaowei Shen and Arvind}, editor = {Jos{\'{e}} Nuno Oliveira and Pamela Zave}, title = {Proofs of Correctness of Cache-Coherence Protocols}, booktitle = {{FME} 2001: Formal Methods for Increasing Software Productivity, International Symposium of Formal Methods Europe, Berlin, Germany, March 12-16, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2021}, pages = {43--71}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45251-6\_4}, doi = {10.1007/3-540-45251-6\_4}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/fm/StoySA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ArvindDC00, author = {Arvind and Anton T. Dahbura and Alejandro Caro}, title = {From Monsoon to StarT-Voyager: University-Industry Collaboration}, journal = {{IEEE} Micro}, volume = {20}, number = {3}, pages = {75--84}, year = {2000}, url = {https://doi.org/10.1109/40.846312}, doi = {10.1109/40.846312}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ArvindDC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HoeA00, author = {James C. Hoe and Arvind}, editor = {Ellen Sentovich}, title = {Synthesis of Operation-Centric Hardware Descriptions}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {511--518}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896524}, doi = {10.1109/ICCAD.2000.896524}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HoeA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AngCRA00, author = {Boon Seong Ang and Derek Chiou and Larry Rudolph and Arvind}, title = {Micro-Architectures of High Performance, Multi-User System Area Network Interface Cards}, booktitle = {Proceedings of the 14th International Parallel {\&} Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000}, pages = {13--20}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/IPDPS.2000.845959}, doi = {10.1109/IPDPS.2000.845959}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AngCRA00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/oopsla/MaessenAS00, author = {Jan{-}Willem Maessen and Arvind and Xiaowei Shen}, editor = {Mary Beth Rosson and Doug Lea}, title = {Improving the Java memory model using {CRF}}, booktitle = {Proceedings of the 2000 {ACM} {SIGPLAN} Conference on Object-Oriented Programming Systems, Languages {\&} Applications, {OOPSLA} 2000, Minneapolis, Minnesota, USA, October 15-19, 2000}, pages = {1--12}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/353171.353172}, doi = {10.1145/353171.353172}, timestamp = {Wed, 30 Mar 2022 13:58:54 +0200}, biburl = {https://dblp.org/rec/conf/oopsla/MaessenAS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/ArvindS99, author = {Arvind and Xiaowei Shen}, title = {Using term rewriting systems to design and verify processors}, journal = {{IEEE} Micro}, volume = {19}, number = {3}, pages = {36--46}, year = {1999}, url = {https://doi.org/10.1109/40.768501}, doi = {10.1109/40.768501}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/ArvindS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ShenAR99, author = {Xiaowei Shen and Arvind and Larry Rudolph}, editor = {Theodore S. Papatheodorou and Mateo Valero and Constantine D. Polychronopoulos and Yoichi Muraoka and Jes{\'{u}}s Labarta}, title = {{CACHET:} an adaptive cache coherence protocol for distributed shared-memory systems}, booktitle = {Proceedings of the 13th international conference on Supercomputing, {ICS} 1999, Rhodes, Greece, June 20-25, 1999}, pages = {135--144}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/305138.305187}, doi = {10.1145/305138.305187}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/ShenAR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-5/HoeA99, author = {James C. Hoe and Arvind}, editor = {L. Miguel Silveira and Srinivas Devadas and Ricardo Augusto da Luz Reis}, title = {Hardware Synthesis from Term Rewriting Systems}, booktitle = {{VLSI:} Systems on a Chip, {IFIP} {TC10/WG10.5} Tenth International Conference on Very Large Scale Integration {(VLSI} '99), December 1-4, 1999, Lisbon, Portugal}, series = {{IFIP} Conference Proceedings}, volume = {162}, pages = {595--619}, publisher = {Kluwer}, year = {1999}, timestamp = {Mon, 14 Oct 2002 13:30:59 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-5/HoeA99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ShenAR99, author = {Xiaowei Shen and Arvind and Larry Rudolph}, editor = {Allan Gottlieb and William J. Dally}, title = {Commit-Reconcile {\&} Fences {(CRF):} {A} New Memory Model for Architects and Compiler Writers}, booktitle = {Proceedings of the 26th Annual International Symposium on Computer Architecture, {ISCA} 1999, Atlanta, Georgia, USA, May 2-4, 1999}, pages = {150--161}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISCA.1999.765947}, doi = {10.1109/ISCA.1999.765947}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ShenAR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ShawACHJM98, author = {Andrew Shaw and Arvind and Kyoo{-}Chan Cho and Christopher Hill and R. Paul Johnson and John Marshall}, title = {A Comparison of Implicitly Parallel Multithreaded and Data-Parallel Implementations of an Ocean Model}, journal = {J. Parallel Distributed Comput.}, volume = {48}, number = {1}, pages = {1--51}, year = {1998}, url = {https://doi.org/10.1006/jpdc.1997.1390}, doi = {10.1006/JPDC.1997.1390}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ShawACHJM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/AngCRA98, author = {Boon Seong Ang and Derek Chiou and Larry Rudolph and Arvind}, title = {The StarT-Voyager Parallel System}, booktitle = {Proceedings of the 1998 International Conference on Parallel Architectures and Compilation Techniques, Paris, France, October 12-18, 1998}, pages = {185}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/PACT.1998.727191}, doi = {10.1109/PACT.1998.727191}, timestamp = {Mon, 30 May 2022 14:39:06 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/AngCRA98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/AngCRA98, author = {Boon Seong Ang and Derek Chiou and Larry Rudolph and Arvind}, title = {Message passing support on StarT-Voyager}, booktitle = {5th International Conference On High Performance Computing, HiPC 1998, Madras, India, 20-20 December, 1998}, pages = {228--237}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/HIPC.1998.737993}, doi = {10.1109/HIPC.1998.737993}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/AngCRA98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/AngCRERA98, author = {Boon Seong Ang and Derek Chiou and Daniel L. Rosenband and Mike Ehrlich and Larry Rudolph and Arvind}, title = {StarT-Voyager: {A} Flexible Platform for Exploring Scalable {SMP} Issues}, booktitle = {Proceedings of the {ACM/IEEE} Conference on Supercomputing, {SC} 1998, November 7-13, 1998, Orlando, FL, {USA}}, pages = {26}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/SC.1998.10042}, doi = {10.1109/SC.1998.10042}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/AngCRERA98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/ArvindMNS98, author = {Arvind and Jan{-}Willem Maessen and Rishiyur S. Nikhil and Joseph E. Stoy}, editor = {Uwe Nestmann and Benjamin C. Pierce}, title = {LambdaS: an implicitly parallel lambda-calculus with letrec, synchronization and side-effects}, booktitle = {3rd International Workshop on High-Level Concurrent Languages, {HLCL} 1998, Satellite Workshop of {CONCUR} 1998, Nice, France, September 12, 1998}, series = {Electronic Notes in Theoretical Computer Science}, volume = {16}, number = {3}, pages = {265--290}, publisher = {Elsevier}, year = {1998}, url = {https://doi.org/10.1016/S1571-0661(04)00146-X}, doi = {10.1016/S1571-0661(04)00146-X}, timestamp = {Fri, 11 Nov 2022 14:28:39 +0100}, biburl = {https://dblp.org/rec/journals/entcs/ArvindMNS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/ShawAJ96, author = {Andrew Shaw and Arvind and R. Paul Johnson}, title = {Performance tuning scientific codes for dataflow execution}, booktitle = {Proceedings of the Fifth International Conference on Parallel Architectures and Compilation Techniques, PACT'96, Boston, MA, USA, October 20-23, 1996}, pages = {198--207}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/PACT.1996.552668}, doi = {10.1109/PACT.1996.552668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/ShawAJ96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsttcs/ArvindMNS96, author = {Arvind and Jan{-}Willem Maessen and Rishiyur S. Nikhil and Joseph E. Stoy}, editor = {Vijay Chandru and V. Vinay}, title = {A Lambda Calculus with Letrecs and Barriers}, booktitle = {Foundations of Software Technology and Theoretical Computer Science, 16th Conference, Hyderabad, India, December 18-20, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1180}, pages = {19--36}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-62034-6\_34}, doi = {10.1007/3-540-62034-6\_34}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/fsttcs/ArvindMNS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/ArvindCMA96, author = {Arvind and Alejandro Caro and Jan{-}Willem Maessen and Shail Aditya}, editor = {David C. Sehr and Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {A Multithreaded Substrate and Compilation Model for the Implicity Parallel Language pH}, booktitle = {Languages and Compilers for Parallel Computing, 9th International Workshop, LCPC'96, San Jose, California, USA, August 8-10, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1239}, pages = {519--533}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0017273}, doi = {10.1007/BFB0017273}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/ArvindCMA96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/AriolaA95, author = {Zena M. Ariola and Arvind}, title = {Properties of a First-Order Functional Language with Sharing}, journal = {Theor. Comput. Sci.}, volume = {146}, number = {1{\&}2}, pages = {69--108}, year = {1995}, url = {https://doi.org/10.1016/0304-3975(94)00185-L}, doi = {10.1016/0304-3975(94)00185-L}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/AriolaA95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/ChiouAGAHBHB95, author = {Derek Chiou and Boon Seong Ang and Robert Greiner and Arvind and James C. Hoe and Michael J. Beckerle and James E. Hicks and G. Andrew Boughton}, editor = {Seif Haridi and Khayri A. M. Ali and Peter Magnusson}, title = {{START-NG:} Delivering Seamless Parallel Computing}, booktitle = {Euro-Par '95 Parallel Processing, First International Euro-Par Conference, Stockholm, Sweden, August 29-31, 1995, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {966}, pages = {101--116}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/BFb0020458}, doi = {10.1007/BFB0020458}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/ChiouAGAHBHB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpca/AdityaAS95, author = {Shail Aditya and Arvind and Joseph E. Stoy}, editor = {John Williams}, title = {Semantics of Barriers in a Non-Strict, Implicitly-Parallel Language}, booktitle = {Proceedings of the seventh international conference on Functional programming languages and computer architecture, {FPCA} 1995, La Jolla, California, USA, June 25-28, 1995}, pages = {204--215}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224164.224206}, doi = {10.1145/224164.224206}, timestamp = {Tue, 06 Nov 2018 11:07:48 +0100}, biburl = {https://dblp.org/rec/conf/fpca/AdityaAS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/Arvind94, author = {Arvind}, editor = {Howard Jay Siegel}, title = {Prospects of ubiquitous parallel computing}, booktitle = {Proceedings of the 8th International Symposium on Parallel Processing, Canc{\'{u}}n, Mexico, April 1994}, pages = {2--3}, publisher = {{IEEE} Computer Society}, year = {1994}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ipps/Arvind94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhsc/ArvindB93, author = {Arvind and Stephen Brobst}, title = {The Evolution of Dataflow Architectures: from Static Dataflow to {P-RISC}}, journal = {Int. J. High Speed Comput.}, volume = {5}, number = {2}, pages = {125--153}, year = {1993}, url = {https://doi.org/10.1142/S0129053393000074}, doi = {10.1142/S0129053393000074}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhsc/ArvindB93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/HicksCAA93, author = {James E. Hicks and Derek Chiou and Boon Seong Ang and Arvind}, title = {Performance Studies of Id on the Monsoon Dataflow System}, journal = {J. Parallel Distributed Comput.}, volume = {18}, number = {3}, pages = {273--300}, year = {1993}, url = {https://doi.org/10.1006/jpdc.1993.1065}, doi = {10.1006/JPDC.1993.1065}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/HicksCAA93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/NikhilPA92, author = {Rishiyur S. Nikhil and Gregory M. Papadopoulos and Arvind}, editor = {Allan Gottlieb}, title = {*T: {A} Multithreaded Massively Parallel Architecture}, booktitle = {Proceedings of the 19th Annual International Symposium on Computer Architecture. Gold Coast, Australia, May 1992}, pages = {156--167}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/139669.139715}, doi = {10.1145/139669.139715}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/NikhilPA92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpca/BarthNA91, author = {Paul S. Barth and Rishiyur S. Nikhil and Arvind}, editor = {John Hughes}, title = {M-Structures: Extending a Parallel, Non-strict, Functional Language with State}, booktitle = {Functional Programming Languages and Computer Architecture, 5th {ACM} Conference, Cambridge, MA, USA, August 26-30, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {523}, pages = {538--568}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/3540543961\_26}, doi = {10.1007/3540543961\_26}, timestamp = {Tue, 08 Mar 2022 10:14:58 +0100}, biburl = {https://dblp.org/rec/conf/fpca/BarthNA91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/AriolaA91, author = {Zena M. Ariola and Arvind}, editor = {Utpal Banerjee and David Gelernter and Alexandru Nicolau and David A. Padua}, title = {Compilation of Id}, booktitle = {Languages and Compilers for Parallel Computing, Fourth International Workshop, Santa Clara, California, USA, August 7-9, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {589}, pages = {99--121}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0038660}, doi = {10.1007/BFB0038660}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/AriolaA91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pepm/AriolaA91, author = {Zena M. Ariola and Arvind}, editor = {Charles Consel and Olivier Danvy}, title = {A Syntactic Approach to Program Transformations}, booktitle = {Proceedings of the Symposium on Partial Evaluation and Semantics-Based Program Manipulation, PEPM'91, Yale University, New Haven, Connecticut, USA, June 17-19, 1991}, pages = {116--129}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/115865.115878}, doi = {10.1145/115865.115878}, timestamp = {Fri, 09 Jul 2021 14:03:46 +0200}, biburl = {https://dblp.org/rec/conf/pepm/AriolaA91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ArvindN90, author = {Arvind and Rishiyur S. Nikhil}, title = {Executing a Program on the {MIT} Tagged-Token Dataflow Architecture}, journal = {{IEEE} Trans. Computers}, volume = {39}, number = {3}, pages = {300--318}, year = {1990}, url = {https://doi.org/10.1109/12.48862}, doi = {10.1109/12.48862}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ArvindN90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/ArvindNP89, author = {Arvind and Rishiyur S. Nikhil and Keshav Pingali}, title = {I-Structures: Data Structures for Parallel Computing}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {11}, number = {4}, pages = {598--632}, year = {1989}, url = {https://doi.org/10.1145/69558.69562}, doi = {10.1145/69558.69562}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/ArvindNP89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpca/AriolaA89, author = {Zena M. Ariola and Arvind}, editor = {Joseph E. Stoy}, title = {{P-TAC:} {A} Parallel Intermediate Language}, booktitle = {Proceedings of the fourth international conference on Functional programming languages and computer architecture, {FPCA} 1989, London, UK, September 11-13, 1989}, pages = {230--242}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/99370.99388}, doi = {10.1145/99370.99388}, timestamp = {Tue, 06 Nov 2018 11:07:48 +0100}, biburl = {https://dblp.org/rec/conf/fpca/AriolaA89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ArvindE88, author = {Arvind and Kattamuri Ekanadham}, title = {Future Scientific Programming on Parallel Machines}, journal = {J. Parallel Distributed Comput.}, volume = {5}, number = {5}, pages = {460--493}, year = {1988}, url = {https://doi.org/10.1016/0743-7315(88)90009-3}, doi = {10.1016/0743-7315(88)90009-3}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ArvindE88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CullerA88, author = {David E. Culler and Arvind}, editor = {Howard Jay Siegel}, title = {Resource Requirements of Dataflow Programs}, booktitle = {Proceedings of the 15th Annual International Symposium on Computer Architecture, Honolulu, Hawaii, USA, May-June 1988}, pages = {141--150}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/ISCA.1988.5223}, doi = {10.1109/ISCA.1988.5223}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/conf/isca/CullerA88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dfvlr/ArvindI87, author = {Arvind and Robert A. Iannucci}, editor = {R{\"{u}}diger Dierstein and Dieter M{\"{u}}ller{-}Wichards and Hans{-}Martin Wacker}, title = {Two Fundamental Issues in Multiprocessing}, booktitle = {Parallel Computing in Science and Engineering, 4th International {DFVLR} Seminar on Foundations of Engineering Sciences, Bonn, Federal Republic of Germany, June 25/26 1987, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {295}, pages = {61--88}, publisher = {Springer}, year = {1987}, url = {https://doi.org/10.1007/3-540-18923-8\_15}, doi = {10.1007/3-540-18923-8\_15}, timestamp = {Tue, 14 May 2019 10:00:55 +0200}, biburl = {https://dblp.org/rec/conf/dfvlr/ArvindI87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ArvindE87, author = {Arvind and Kattamuri Ekanadham}, editor = {Elias N. Houstis and Theodore S. Papatheodorou and Constantine D. Polychronopoulos}, title = {Future Scientific Programming on Parallel Machines}, booktitle = {Supercomputing, 1st International Conference, Athens, Greece, June 8-12, 1987, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {297}, pages = {639--686}, publisher = {Springer}, year = {1987}, url = {https://doi.org/10.1007/3-540-18991-2\_38}, doi = {10.1007/3-540-18991-2\_38}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/ics/ArvindE87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/ArvindN87, author = {Arvind and Rishiyur S. Nikhil}, editor = {J. W. de Bakker and A. J. Nijman and Philip C. Treleaven}, title = {Executing a Program on the {MIT} Tagged-Token Dataflow Architecture}, booktitle = {PARLE, Parallel Architectures and Languages Europe, Volume {II:} Parallel Languages, Eindhoven, The Netherlands, June 15-19, 1987, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {259}, pages = {1--29}, publisher = {Springer}, year = {1987}, url = {https://doi.org/10.1007/3-540-17945-3\_1}, doi = {10.1007/3-540-17945-3\_1}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/ArvindN87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/PingaliA86, author = {Keshav Pingali and Arvind}, title = {Efficient Demand-Driven Evaluation - Part 2}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {8}, number = {1}, pages = {109--139}, year = {1986}, url = {https://doi.org/10.1145/5001.5003}, doi = {10.1145/5001.5003}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/PingaliA86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/PingaliA86a, author = {Keshav Pingali and Arvind}, title = {Clarification of "Feeding Inputs on Demand" in Efficient Demand-Driven Evaluation - Part 1}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {8}, number = {1}, pages = {140--141}, year = {1986}, timestamp = {Fri, 31 Mar 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toplas/PingaliA86a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gr/ArvindNP86, author = {Arvind and Rishiyur S. Nikhil and Keshav Pingali}, editor = {Joseph H. Fasel and Robert M. Keller}, title = {I-structures: Data structures for parallel computing}, booktitle = {Graph Reduction, Proceedings of a Workshop, Santa F{\'{e}}, New Mexico, USA, September 29 - October 1, 1986}, series = {Lecture Notes in Computer Science}, volume = {279}, pages = {336--369}, publisher = {Springer}, year = {1986}, url = {https://doi.org/10.1007/3-540-18420-1\_65}, doi = {10.1007/3-540-18420-1\_65}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/gr/ArvindNP86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toplas/PingaliA85, author = {Keshav Pingali and Arvind}, title = {Efficient Demand-Driven Evaluation - Part 1}, journal = {{ACM} Trans. Program. Lang. Syst.}, volume = {7}, number = {2}, pages = {311--333}, year = {1985}, url = {https://doi.org/10.1145/3318.3480}, doi = {10.1145/3318.3480}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toplas/PingaliA85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsttcs/Arvind85, author = {Arvind}, editor = {S. N. Maheshwari}, title = {Demand-Driven Evaluation on Dataflow Machine}, booktitle = {Foundations of Software Technology and Theoretical Computer Science, Fifth Conference, New Delhi, India, December 16-18, 1985, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {206}, pages = {411}, publisher = {Springer}, year = {1985}, url = {https://doi.org/10.1007/3-540-16042-6\_23}, doi = {10.1007/3-540-16042-6\_23}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/fsttcs/Arvind85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ArvindB84, author = {Arvind and J. Dean Brock}, title = {Resource managers in functional programming}, journal = {J. Parallel Distributed Comput.}, volume = {1}, number = {2}, pages = {5--21}, year = {1984}, url = {https://doi.org/10.1016/0743-7315(84)90008-X}, doi = {10.1016/0743-7315(84)90008-X}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ArvindB84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ArvindI83, author = {Arvind and Robert A. Iannucci}, editor = {Harold W. Lawson Jr. and Tilak Agerwala and Hans H. Heilborn and Hideo Aiso and Lars{-}Erik Thorelli and Jean{-}Loup Baer and Mario Tokoro}, title = {A Critique of Multiprocessing von Neumann Style}, booktitle = {Proceedings of the 10th Annual Symposium on Computer Architecture, 1983}, pages = {426--436}, publisher = {{ACM}}, year = {1983}, url = {https://doi.org/10.1145/800046.801684}, doi = {10.1145/800046.801684}, timestamp = {Tue, 13 Jul 2021 10:01:21 +0200}, biburl = {https://dblp.org/rec/conf/isca/ArvindI83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/AgerwalaA82, author = {Tilak Agerwala and Arvind}, title = {Data Flow Systems - Guest Editors' Introduction}, journal = {Computer}, volume = {15}, number = {2}, pages = {10--13}, year = {1982}, url = {https://doi.org/10.1109/MC.1982.1653937}, doi = {10.1109/MC.1982.1653937}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/AgerwalaA82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/ArvindG82, author = {Arvind and Kim P. Gostelow}, title = {The U-Interpreter}, journal = {Computer}, volume = {15}, number = {2}, pages = {42--49}, year = {1982}, url = {https://doi.org/10.1109/MC.1982.1653940}, doi = {10.1109/MC.1982.1653940}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/ArvindG82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/Arvind81, author = {Arvind}, editor = {Richard Y. Kain and William R. Franta}, title = {Data Flow Languages and Architecture}, booktitle = {Proceedings of the 8th Annual Symposium on Computer Architecture, Minneapolis, MN, USA, May 1981}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {1981}, url = {http://dl.acm.org/citation.cfm?id=801861}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/Arvind81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpca/1981, editor = {Arvind and Jack B. Dennis}, title = {Proceedings of the 1981 conference on Functional programming languages and computer architecture, {FPCA} 1981, Wentworth, New Hampshire, USA, October 1981}, publisher = {{ACM}}, year = {1981}, url = {http://dl.acm.org/citation.cfm?id=800223}, isbn = {0-89791-060-5}, timestamp = {Thu, 12 Nov 2015 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpca/1981.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibm/ArvindB80, author = {Arvind and J. Dean Brock}, editor = {Mamoru Maekawa and Laszlo A. Belady}, title = {Streams and Managers}, booktitle = {Operating Systems Engineering: Proceedings of the 14th {IBM} Computer SCience Symposium, Amagi, Japan, October 1980}, series = {Lecture Notes in Computer Science}, volume = {143}, pages = {452--465}, publisher = {Springer}, year = {1980}, url = {https://doi.org/10.1007/3-540-11604-4\_66}, doi = {10.1007/3-540-11604-4\_66}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/ibm/ArvindB80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip/ArvindG77, author = {Arvind and Kim P. Gostelow}, editor = {Bruce Gilchrist}, title = {A Computer Capable of Exchanging Processors for Time}, booktitle = {Information Processing, Proceedings of the 7th {IFIP} Congress 1977, Toronto, Canada, August 8-12, 1977}, pages = {849--853}, publisher = {North-Holland}, year = {1977}, timestamp = {Fri, 26 Jul 2019 22:58:45 +0200}, biburl = {https://dblp.org/rec/conf/ifip/ArvindG77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip2/ArvindG77, author = {Arvind and Kim P. Gostelow}, editor = {Erich J. Neuhold}, title = {Some Relationships Between Asynchronous Interpreters of a Dataflow Language}, booktitle = {Formal Description of Programming Concepts: Proceedings of the {IFIP} Working Conference on Formal Description of Programming Concepts, St. Andrews, NB, Canada, August 1-5, 1977}, pages = {95--120}, publisher = {North-Holland}, year = {1977}, timestamp = {Mon, 21 Jan 2019 18:41:33 +0100}, biburl = {https://dblp.org/rec/conf/ifip2/ArvindG77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/ArvindGP77, author = {Arvind and Kim P. Gostelow and Wil Plouffe}, editor = {Saul Rosen and Peter J. Denning}, title = {Indeterminancy, Monitors, and Dataflow}, booktitle = {Proceedings of the Sixth Symposium on Operating System Principles, {SOSP} 1977, Purdue University, West Lafayette, Indiana, USA, November 16-18, 1977}, pages = {159--169}, publisher = {{ACM}}, year = {1977}, url = {https://doi.org/10.1145/800214.806559}, doi = {10.1145/800214.806559}, timestamp = {Tue, 06 Nov 2018 16:59:32 +0100}, biburl = {https://dblp.org/rec/conf/sosp/ArvindGP77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/micro/1975-2, editor = {William P. Lidinsky and Masahivo Tsuchiya and Arvind}, title = {Proceedings of the 8th annual workshop on Microprogramming, {MICRO} 1975, Chicago, Illinois, USA, September 21-23, 1975}, publisher = {{ACM}}, year = {1975}, url = {https://doi.org/10.1145/800148}, doi = {10.1145/800148}, isbn = {978-1-4503-7451-4}, timestamp = {Wed, 04 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/1975-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/ArvindKS73, author = {Arvind and Richard Y. Kain and Eitan Sadeh}, editor = {Herbert Schorr and Alan J. Perlis and Peter Weiner and W. Donald Frazer}, title = {On Reference String Generation Processes}, booktitle = {Proceedings of the Fourth Symposium on Operating System Principles, {SOSP} 1973, Thomas J. Watson, Research Center, Yorktown Heights, New York, USA, October 15-17, 1973}, pages = {80--87}, publisher = {{ACM}}, year = {1973}, url = {https://doi.org/10.1145/800009.808053}, doi = {10.1145/800009.808053}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sosp/ArvindKS73.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.