default search action
BibTeX records: Michael A. Kochte
@inproceedings{DBLP:conf/date/BrandhoferKW20, author = {Sebastian Brandhofer and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Synthesis of Fault-Tolerant Reconfigurable Scan Networks}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {798--803}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116525}, doi = {10.23919/DATE48585.2020.9116525}, timestamp = {Thu, 25 Jun 2020 12:55:44 +0200}, biburl = {https://dblp.org/rec/conf/date/BrandhoferKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeCK19, author = {Kuen{-}Jong Lee and Bo{-}Ren Chen and Michael Andreas Kochte}, title = {On-Chip Self-Test Methodology With All Deterministic Compressed Test Patterns Recorded in Scan Chains}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {2}, pages = {309--321}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2808241}, doi = {10.1109/TCAD.2018.2808241}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LeeCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KampmannK0SHW19, author = {Matthias Kampmann and Michael A. Kochte and Chang Liu and Eric Schneider and Sybille Hellebrand and Hans{-}Joachim Wunderlich}, title = {Built-In Test for Hidden Delay Faults}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {10}, pages = {1956--1968}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2864255}, doi = {10.1109/TCAD.2018.2864255}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KampmannK0SHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HolstSKWW19, author = {Stefan Holst and Eric Schneider and Michael A. Kochte and Xiaoqing Wen and Hans{-}Joachim Wunderlich}, title = {Variation-Aware Small Delay Fault Diagnosis on Compressed Test Responses}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000143}, doi = {10.1109/ITC44170.2019.9000143}, timestamp = {Mon, 24 Feb 2020 17:28:46 +0100}, biburl = {https://dblp.org/rec/conf/itc/HolstSKWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/KochteW18, author = {Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Self-Test and Diagnosis for Self-Aware Systems}, journal = {{IEEE} Des. Test}, volume = {35}, number = {5}, pages = {7--18}, year = {2018}, url = {https://doi.org/10.1109/MDAT.2017.2762903}, doi = {10.1109/MDAT.2017.2762903}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/KochteW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SchneiderKW18, author = {Eric Schneider and Michael A. Kochte and Hans{-}Joachim Wunderlich}, editor = {Youngsoo Shin}, title = {Multi-level timing simulation on GPUs}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {470--475}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297368}, doi = {10.1109/ASPDAC.2018.8297368}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SchneiderKW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/AtteyaK0R0W18, author = {Ahmed Atteya and Michael A. Kochte and Matthias Sauer and Pascal Raiola and Bernd Becker and Hans{-}Joachim Wunderlich}, title = {Online prevention of security violations in reconfigurable scan networks}, booktitle = {23rd {IEEE} European Test Symposium, {ETS} 2018, Bremen, Germany, May 28 - June 1, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ETS.2018.8400685}, doi = {10.1109/ETS.2018.8400685}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/AtteyaK0R0W18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/RaiolaKAGW0018, author = {Pascal Raiola and Michael A. Kochte and Ahmed Atteya and Laura Rodr{\'{\i}}guez G{\'{o}}mez and Hans{-}Joachim Wunderlich and Bernd Becker and Matthias Sauer}, editor = {Dimitris Gizopoulos and Dan Alexandrescu and Mihalis Maniatakos and Panagiota Papavramidou}, title = {Detecting and Resolving Security Violations in Reconfigurable Scan Networks}, booktitle = {24th {IEEE} International Symposium on On-Line Testing And Robust System Design, {IOLTS} 2018, Platja D'Aro, Spain, July 2-4, 2018}, pages = {91--96}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IOLTS.2018.8474188}, doi = {10.1109/IOLTS.2018.8474188}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/RaiolaKAGW0018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ZhangBKSWH17, author = {Hongyan Zhang and Lars Bauer and Michael A. Kochte and Eric Schneider and Hans{-}Joachim Wunderlich and J{\"{o}}rg Henkel}, title = {Aging Resilience and Fault Tolerance in Runtime Reconfigurable Architectures}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {6}, pages = {957--970}, year = {2017}, url = {https://doi.org/10.1109/TC.2016.2616405}, doi = {10.1109/TC.2016.2616405}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ZhangBKSWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SchneiderKHWW17, author = {Eric Schneider and Michael A. Kochte and Stefan Holst and Xiaoqing Wen and Hans{-}Joachim Wunderlich}, title = {GPU-Accelerated Simulation of Small Delay Faults}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {5}, pages = {829--841}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2016.2598560}, doi = {10.1109/TCAD.2016.2598560}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SchneiderKHWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HsuKL17, author = {Wen{-}Hsuan Hsu and Michael Andreas Kochte and Kuen{-}Jong Lee}, title = {Built-In Test and Diagnosis for TSVs With Different Placement Topologies and Crosstalk Impact Ranges}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {6}, pages = {1004--1017}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2016.2613928}, doi = {10.1109/TCAD.2016.2613928}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HsuKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/UllKW17, author = {Dominik Ull and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Structure-Oriented Test of Reconfigurable Scan Networks}, booktitle = {26th {IEEE} Asian Test Symposium, {ATS} 2017, Taipei City, Taiwan, November 27-30, 2017}, pages = {127--132}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ATS.2017.34}, doi = {10.1109/ATS.2017.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/UllKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KochteSGR0W17, author = {Michael A. Kochte and Matthias Sauer and Laura Rodr{\'{\i}}guez G{\'{o}}mez and Pascal Raiola and Bernd Becker and Hans{-}Joachim Wunderlich}, title = {Specification and verification of security in reconfigurable scan networks}, booktitle = {22nd {IEEE} European Test Symposium, {ETS} 2017, Limassol, Cyprus, May 22-26, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ETS.2017.7968247}, doi = {10.1109/ETS.2017.7968247}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KochteSGR0W17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HolstSKKMWKW17, author = {Stefan Holst and Eric Schneider and Koshi Kawagoe and Michael A. Kochte and Kohei Miyase and Hans{-}Joachim Wunderlich and Seiji Kajihara and Xiaoqing Wen}, title = {Analysis and mitigation or IR-Drop induced scan shift-errors}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242055}, doi = {10.1109/TEST.2017.8242055}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/HolstSKKMWKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/KochteBW17, author = {Michael A. Kochte and Rafal Baranowski and Hans{-}Joachim Wunderlich}, title = {Trustworthy reconfigurable access to on-chip infrastructure}, booktitle = {International Test Conference in Asia, ITC-Asia 2017, Taipei, Taiwan, September 13-15, 2017}, pages = {119--124}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ITC-ASIA.2017.8097125}, doi = {10.1109/ITC-ASIA.2017.8097125}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/KochteBW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/LiuKW17, author = {Chang Liu and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Aging monitor reuse for small delay fault testing}, booktitle = {35th {IEEE} {VLSI} Test Symposium, {VTS} 2017, Las Vegas, NV, USA, April 9-12, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/VTS.2017.7928921}, doi = {10.1109/VTS.2017.7928921}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/LiuKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ErbSKSWB16, author = {Dominik Erb and Karsten Scheibler and Michael A. Kochte and Matthias Sauer and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Mixed 01X-RSL-Encoding for fast and accurate {ATPG} with unknowns}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {749--754}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428101}, doi = {10.1109/ASPDAC.2016.7428101}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ErbSKSWB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/HolstSWKYWK16, author = {Stefan Holst and Eric Schneider and Xiaoqing Wen and Seiji Kajihara and Yuta Yamato and Hans{-}Joachim Wunderlich and Michael A. Kochte}, title = {Timing-Accurate Estimation of IR-Drop Impact on Logic- and Clock-Paths During At-Speed Scan Test}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.49}, doi = {10.1109/ATS.2016.49}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/HolstSWKYWK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteBSW16, author = {Michael A. Kochte and Rafal Baranowski and Marcel Schaal and Hans{-}Joachim Wunderlich}, title = {Test Strategies for Reconfigurable Scan Networks}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {113--118}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.35}, doi = {10.1109/ATS.2016.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteBSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/YeKLW16, author = {Jin{-}Cun Ye and Michael A. Kochte and Kuen{-}Jong Lee and Hans{-}Joachim Wunderlich}, title = {Autonomous Testing for 3D-ICs with {IEEE} Std. 1687}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {215--220}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.56}, doi = {10.1109/ATS.2016.56}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/YeKLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/SchollBKW16, author = {Alexander Sch{\"{o}}ll and Claus Braun and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Efficient Algorithm-Based Fault Tolerance for Sparse Matrix Operations}, booktitle = {46th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2016, Toulouse, France, June 28 - July 1, 2016}, pages = {251--262}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSN.2016.31}, doi = {10.1109/DSN.2016.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/SchollBKW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KochteBSBW16, author = {Michael A. Kochte and Rafal Baranowski and Matthias Sauer and Bernd Becker and Hans{-}Joachim Wunderlich}, title = {Formal verification of secure reconfigurable scan network infrastructure}, booktitle = {21th {IEEE} European Test Symposium, {ETS} 2016, Amsterdam, Netherlands, May 23-27, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ETS.2016.7519290}, doi = {10.1109/ETS.2016.7519290}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KochteBSBW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeTK16, author = {Kuen{-}Jong Lee and Pin{-}Hao Tang and Michael A. Kochte}, title = {An on-chip self-test architecture with test patterns recorded in scan chains}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805865}, doi = {10.1109/TEST.2016.7805865}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/LeeTK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/KochteW16, author = {Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Dependable on-chip infrastructure for dependable MPSOCs}, booktitle = {17th Latin-American Test Symposium, {LATS} 2016, Foz do Iguacu, Brazil, April 6-8, 2016}, pages = {183--188}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/LATW.2016.7483366}, doi = {10.1109/LATW.2016.7483366}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latw/KochteW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/it/BauerHHKKRSWWWW15, author = {Lars Bauer and J{\"{o}}rg Henkel and Andreas Herkersdorf and Michael A. Kochte and Johannes Maximilian K{\"{u}}hn and Wolfgang Rosenstiel and Thomas Schweizer and Stefan Wallentowitz and Volker Wenzel and Thomas Wild and Hans{-}Joachim Wunderlich and Hongyan Zhang}, title = {Adaptive multi-layer techniques for increased system dependability}, journal = {it Inf. Technol.}, volume = {57}, number = {3}, pages = {149--158}, year = {2015}, url = {https://doi.org/10.1515/itit-2014-1082}, doi = {10.1515/ITIT-2014-1082}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/it/BauerHHKKRSWWWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/BaranowskiKW15, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Fine-Grained Access Management in Reconfigurable Scan Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {6}, pages = {937--946}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2391266}, doi = {10.1109/TCAD.2015.2391266}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/BaranowskiKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ErbKRSWB15, author = {Dominik Erb and Michael A. Kochte and Sven Reimer and Matthias Sauer and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Accurate QBF-Based Test Pattern Generation in Presence of Unknown Values}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {12}, pages = {2025--2038}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2440315}, doi = {10.1109/TCAD.2015.2440315}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ErbKRSWB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/BaranowskiKW15, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Reconfigurable Scan Networks: Modeling, Verification, and Optimal Pattern Generation}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {2}, pages = {30:1--30:27}, year = {2015}, url = {https://doi.org/10.1145/2699863}, doi = {10.1145/2699863}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/BaranowskiKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/AsadaWHMKKSWQ15, author = {Koji Asada and Xiaoqing Wen and Stefan Holst and Kohei Miyase and Seiji Kajihara and Michael A. Kochte and Eric Schneider and Hans{-}Joachim Wunderlich and Jun Qian}, title = {Logic/Clock-Path-Aware At-Speed Scan Test Generation for Avoiding False Capture Failures and Reducing Clock Stretch}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {103--108}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.25}, doi = {10.1109/ATS.2015.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/AsadaWHMKKSWQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KampmannKSIHW15, author = {Matthias Kampmann and Michael A. Kochte and Eric Schneider and Thomas Indlekofer and Sybille Hellebrand and Hans{-}Joachim Wunderlich}, title = {Optimized Selection of Frequencies for Faster-Than-at-Speed Test}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {109--114}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.26}, doi = {10.1109/ATS.2015.26}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/KampmannKSIHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteDBOMW15, author = {Michael A. Kochte and Atefe Dalirsani and Andrea Bernabei and Martin Oma{\~{n}}a and Cecilia Metra and Hans{-}Joachim Wunderlich}, title = {Intermittent and Transient Fault Diagnosis on Sparse Code Signatures}, booktitle = {24th {IEEE} Asian Test Symposium, {ATS} 2015, Mumbai, India, November 22-25, 2015}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ATS.2015.34}, doi = {10.1109/ATS.2015.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteDBOMW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchneiderHKWW15, author = {Eric Schneider and Stefan Holst and Michael A. Kochte and Xiaoqing Wen and Hans{-}Joachim Wunderlich}, editor = {Wolfgang Nebel and David Atienza}, title = {GPU-accelerated small delay fault simulation}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {1174--1179}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2757084}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchneiderHKWW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/SchollBKW15, author = {Alexander Sch{\"{o}}ll and Claus Braun and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Low-overhead fault-tolerance for the preconditioned conjugate gradient solver}, booktitle = {2015 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2015, Amherst, MA, USA, October 12-14, 2015}, pages = {60--65}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DFT.2015.7315136}, doi = {10.1109/DFT.2015.7315136}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/SchollBKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangKSBWH15, author = {Hongyan Zhang and Michael A. Kochte and Eric Schneider and Lars Bauer and Hans{-}Joachim Wunderlich and J{\"{o}}rg Henkel}, editor = {Diana Marculescu and Frank Liu}, title = {{STRAP:} Stress-Aware Placement for Aging Mitigation in Runtime Reconfigurable Architectures}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {38--45}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372547}, doi = {10.1109/ICCAD.2015.7372547}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhangKSBWH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/SchollBKW15, author = {Alexander Sch{\"{o}}ll and Claus Braun and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Efficient on-line fault-tolerance for the preconditioned conjugate gradient method}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {95--100}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229839}, doi = {10.1109/IOLTS.2015.7229839}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/SchollBKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/LiuKW15, author = {Chang Liu and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Efficient observation point selection for aging monitoring}, booktitle = {21st {IEEE} International On-Line Testing Symposium, {IOLTS} 2015, Halkidiki, Greece, July 6-8, 2015}, pages = {176--181}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IOLTS.2015.7229855}, doi = {10.1109/IOLTS.2015.7229855}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/LiuKW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Kochte14, author = {Michael A. Kochte}, title = {Boolean reasoning for digital circuits in presence of unknown values: application to test automation}, school = {University of Stuttgart}, year = {2014}, url = {http://elib.uni-stuttgart.de/opus/volltexte/2014/9320/}, urn = {urn:nbn:de:bsz:93-opus-93201}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Kochte14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/BaranowskiKW14, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Access Port Protection for Reconfigurable Scan Networks}, journal = {J. Electron. Test.}, volume = {30}, number = {6}, pages = {711--723}, year = {2014}, url = {https://doi.org/10.1007/s10836-014-5484-2}, doi = {10.1007/S10836-014-5484-2}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/BaranowskiKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HerkersdorfAEGGHKKKMNRRSSTTWWW14, author = {Andreas Herkersdorf and Hananeh Aliee and Michael Engel and Michael Gla{\ss} and Christina Gimmler{-}Dumont and J{\"{o}}rg Henkel and Veit Kleeberger and Michael A. Kochte and Johannes Maximilian K{\"{u}}hn and Daniel Mueller{-}Gritschneder and Sani R. Nassif and Holm Rauchfuss and Wolfgang Rosenstiel and Ulf Schlichtmann and Muhammad Shafique and Mehdi Baradaran Tahoori and J{\"{u}}rgen Teich and Norbert Wehn and Christian Weis and Hans{-}Joachim Wunderlich}, title = {Resilience Articulation Point {(RAP):} Cross-layer dependability modeling for nanometer system-on-chip resilience}, journal = {Microelectron. Reliab.}, volume = {54}, number = {6-7}, pages = {1066--1074}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2013.12.012}, doi = {10.1016/J.MICROREL.2013.12.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/HerkersdorfAEGGHKKKMNRRSSTTWWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ErbKSHSWB14, author = {Dominik Erb and Michael A. Kochte and Matthias Sauer and Stefan Hillebrecht and Tobias Schubert and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Exact Logic and Fault Simulation in Presence of Unknowns}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {19}, number = {3}, pages = {28:1--28:17}, year = {2014}, url = {https://doi.org/10.1145/2611760}, doi = {10.1145/2611760}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ErbKSHSWB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangKIBWH14, author = {Hongyan Zhang and Michael A. Kochte and Michael E. Imhof and Lars Bauer and Hans{-}Joachim Wunderlich and J{\"{o}}rg Henkel}, title = {{GUARD:} GUAranteed Reliability in Dynamically Reconfigurable Systems}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {32:1--32:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593146}, doi = {10.1145/2593069.2593146}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangKIBWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/DalirsaniKW14, author = {Atefe Dalirsani and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Area-efficient synthesis of fault-secure NoC switches}, booktitle = {2014 {IEEE} 20th International On-Line Testing Symposium, {IOLTS} 2014, Platja d'Aro, Girona, Spain, July 7-9, 2014}, pages = {13--18}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IOLTS.2014.6873662}, doi = {10.1109/IOLTS.2014.6873662}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/DalirsaniKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ErbSKSWB14, author = {Dominik Erb and Karsten Scheibler and Michael A. Kochte and Matthias Sauer and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Test pattern generation in presence of unknown values based on restricted symbolic logic}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035350}, doi = {10.1109/TEST.2014.7035350}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ErbSKSWB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HellebrandIKKLW14, author = {Sybille Hellebrand and Thomas Indlekofer and Matthias Kampmann and Michael A. Kochte and Chang Liu and Hans{-}Joachim Wunderlich}, title = {{FAST-BIST:} Faster-than-at-Speed {BIST} targeting hidden delay defects}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035360}, doi = {10.1109/TEST.2014.7035360}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HellebrandIKKLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mbmv/BaranowskiKW14, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, editor = {J{\"{u}}rgen Ruf and Dirk Allmendinger and Matteo Michel}, title = {Verifikation Rekonfigurierbarer Scan-Netze}, booktitle = {Methoden und Beschreibungssprachen zur Modellierung und Verifikation von Schaltungen und Systemen, {MBMV} 2014, B{\"{o}}blingen, Germany}, pages = {137--146}, publisher = {Cuvillier}, year = {2014}, timestamp = {Thu, 13 Mar 2014 18:26:03 +0100}, biburl = {https://dblp.org/rec/conf/mbmv/BaranowskiKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YamatoMKWWK13, author = {Yuta Yamato and Kohei Miyase and Seiji Kajihara and Xiaoqing Wen and Laung{-}Terng Wang and Michael A. Kochte}, title = {{LCTI-SS:} Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing}, journal = {{IEEE} Des. Test}, volume = {30}, number = {4}, pages = {60--70}, year = {2013}, url = {https://doi.org/10.1109/MDT.2012.2221152}, doi = {10.1109/MDT.2012.2221152}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/YamatoMKWWK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BauerBIKSZHW13, author = {Lars Bauer and Claus Braun and Michael E. Imhof and Michael A. Kochte and Eric Schneider and Hongyan Zhang and J{\"{o}}rg Henkel and Hans{-}Joachim Wunderlich}, title = {Test Strategies for Reliable Runtime Reconfigurable Architectures}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {8}, pages = {1494--1507}, year = {2013}, url = {https://doi.org/10.1109/TC.2013.53}, doi = {10.1109/TC.2013.53}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BauerBIKSZHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ErbKSWB13, author = {Dominik Erb and Michael A. Kochte and Matthias Sauer and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Accurate Multi-cycle {ATPG} in Presence of X-Values}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {245--250}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.53}, doi = {10.1109/ATS.2013.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ErbKSWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BaranowskiKW13, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Securing Access to Reconfigurable Scan Networks}, booktitle = {22nd Asian Test Symposium, {ATS} 2013, Yilan County, Taiwan, November 18-21, 2013}, pages = {295--300}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ATS.2013.61}, doi = {10.1109/ATS.2013.61}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BaranowskiKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HillebrechtKEWB13, author = {Stefan Hillebrecht and Michael A. Kochte and Dominik Erb and Hans{-}Joachim Wunderlich and Bernd Becker}, editor = {Enrico Macii}, title = {Accurate QBF-based test pattern generation in presence of unknown values}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {436--441}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.098}, doi = {10.7873/DATE.2013.098}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HillebrechtKEWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/DalirsaniKW13, author = {Atefe Dalirsani and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {SAT-based code synthesis for fault-secure circuits}, booktitle = {2013 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFTS} 2013, New York City, NY, USA, October 2-4, 2013}, pages = {39--44}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DFT.2013.6653580}, doi = {10.1109/DFT.2013.6653580}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/DalirsaniKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/BaranowskiKW13, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Scan pattern retargeting and merging with reduced access time}, booktitle = {18th {IEEE} European Test Symposium, {ETS} 2013, Avignon, France, May 27-30, 2013}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ETS.2013.6569354}, doi = {10.1109/ETS.2013.6569354}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/BaranowskiKW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangBKSBIWH13, author = {Hongyan Zhang and Lars Bauer and Michael A. Kochte and Eric Schneider and Claus Braun and Michael E. Imhof and Hans{-}Joachim Wunderlich and J{\"{o}}rg Henkel}, title = {Module diversification: Fault tolerance and aging mitigation for runtime reconfigurable architectures}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651926}, doi = {10.1109/TEST.2013.6651926}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhangBKSBIWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KochteEW12, author = {Michael A. Kochte and Melanie Elm and Hans{-}Joachim Wunderlich}, title = {Accurate X-Propagation for Test Applications by SAT-Based Reasoning}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {31}, number = {12}, pages = {1908--1919}, year = {2012}, url = {https://doi.org/10.1109/TCAD.2012.2210422}, doi = {10.1109/TCAD.2012.2210422}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KochteEW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/BauerBIKZWH12, author = {Lars Bauer and Claus Braun and Michael E. Imhof and Michael A. Kochte and Hongyan Zhang and Hans{-}Joachim Wunderlich and J{\"{o}}rg Henkel}, editor = {Umeshkumar D. Patel and Khaled Benkrid and David Merodio}, title = {{OTERA:} Online test strategies for reliable reconfigurable architectures - Invited paper for the {AHS-2012} special session "Dependability by reconfigurable hardware"}, booktitle = {2012 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2012, Erlangen, Germany, June 25-28, 2012}, pages = {38--45}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/AHS.2012.6268667}, doi = {10.1109/AHS.2012.6268667}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/ahs/BauerBIKZWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/HillebrechtKWB12, author = {Stefan Hillebrecht and Michael A. Kochte and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Exact stuck-at fault classification in presence of unknowns}, booktitle = {17th {IEEE} European Test Symposium, {ETS} 2012, Annecy, France, May 28 - June 1 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ETS.2012.6233017}, doi = {10.1109/ETS.2012.6233017}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/HillebrechtKWB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/AbdelfattahBBIKZHW12, author = {Mohamed Abdelfattah and Lars Bauer and Claus Braun and Michael E. Imhof and Michael A. Kochte and Hongyan Zhang and J{\"{o}}rg Henkel and Hans{-}Joachim Wunderlich}, title = {Transparent structural online test for reconfigurable systems}, booktitle = {18th {IEEE} International On-Line Testing Symposium, {IOLTS} 2012, Sitges, Spain, June 27-29, 2012}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IOLTS.2012.6313838}, doi = {10.1109/IOLTS.2012.6313838}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/AbdelfattahBBIKZHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BaranowskiKW12, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Modeling, verification and pattern generation for reconfigurable scan networks}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401555}, doi = {10.1109/TEST.2012.6401555}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BaranowskiKW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/BaranowskiCHIKPWZ11, author = {Rafal Baranowski and Stefano Di Carlo and Nadereh Hatami and Michael E. Imhof and Michael A. Kochte and Paolo Prinetto and Hans{-}Joachim Wunderlich and Christian G. Zoellin}, title = {Efficient multi-level fault simulation of {HW/SW} systems for structural faults}, journal = {Sci. China Inf. Sci.}, volume = {54}, number = {9}, pages = {1784--1796}, year = {2011}, url = {https://doi.org/10.1007/s11432-011-4366-9}, doi = {10.1007/S11432-011-4366-9}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/BaranowskiCHIKPWZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteKMWW11, author = {Michael A. Kochte and Sandip Kundu and Kohei Miyase and Xiaoqing Wen and Hans{-}Joachim Wunderlich}, title = {Efficient BDD-based Fault Simulation in Presence of Unknown Values}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {383--388}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.52}, doi = {10.1109/ATS.2011.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteKMWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KochteW11, author = {Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {SAT-based fault coverage evaluation in the presence of unknown values}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1303--1308}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763209}, doi = {10.1109/DATE.2011.5763209}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KochteW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KochteMWKYEW11, author = {Michael A. Kochte and Kohei Miyase and Xiaoqing Wen and Seiji Kajihara and Yuta Yamato and Kazunari Enokimoto and Hans{-}Joachim Wunderlich}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {SAT-based capture-power reduction for at-speed broadcast-scan-based test compression architectures}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {33--38}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016812\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807}, timestamp = {Mon, 13 Aug 2012 09:40:34 +0200}, biburl = {https://dblp.org/rec/conf/islped/KochteMWKYEW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YamatoWKMKW11, author = {Yuta Yamato and Xiaoqing Wen and Michael A. Kochte and Kohei Miyase and Seiji Kajihara and Laung{-}Terng Wang}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {A novel scan segmentation design method for avoiding shift timing failure in scan testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139162}, doi = {10.1109/TEST.2011.6139162}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YamatoWKMKW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/WenEMYKKGT11, author = {Xiaoqing Wen and Kazunari Enokimoto and Kohei Miyase and Yuta Yamato and Michael A. Kochte and Seiji Kajihara and Patrick Girard and Mohammad Tehranipoor}, title = {Power-aware test generation with guaranteed launch safety for at-speed scan testing}, booktitle = {29th {IEEE} {VLSI} Test Symposium, {VTS} 2011, May 1-5, 2011, Dana Point, California, {USA}}, pages = {166--171}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/VTS.2011.5783778}, doi = {10.1109/VTS.2011.5783778}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vts/WenEMYKKGT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/KochteZW10, author = {Michael A. Kochte and Christian G. Zoellin and Hans{-}Joachim Wunderlich}, title = {Efficient Concurrent Self-Test with Partially Specified Patterns}, journal = {J. Electron. Test.}, volume = {26}, number = {5}, pages = {581--594}, year = {2010}, url = {https://doi.org/10.1007/s10836-010-5167-6}, doi = {10.1007/S10836-010-5167-6}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/KochteZW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/KochteZBIWHCP10, author = {Michael A. Kochte and Christian G. Zoellin and Rafal Baranowski and Michael E. Imhof and Hans{-}Joachim Wunderlich and Nadereh Hatami and Stefano Di Carlo and Paolo Prinetto}, title = {Efficient Simulation of Structural Faults for the Reliability Evaluation at System-Level}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {3--8}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.10}, doi = {10.1109/ATS.2010.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/KochteZBIWHCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ElmKW10, author = {Melanie Elm and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {On Determining the Real Output Xs by SAT-Based Reasoning}, booktitle = {Proceedings of the 19th {IEEE} Asian Test Symposium, {ATS} 2010, 1-4 December 2010, Shanghai, China}, pages = {39--44}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ATS.2010.16}, doi = {10.1109/ATS.2010.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ElmKW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KochteSWZ10, author = {Michael A. Kochte and Marcel Schaal and Hans{-}Joachim Wunderlich and Christian G. Zoellin}, editor = {Sachin S. Sapatnekar}, title = {Efficient fault simulation on many-core processors}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {380--385}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837369}, doi = {10.1145/1837274.1837369}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KochteSWZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KochteZBIWHCP10, author = {Michael A. Kochte and Christian G. Zoellin and Rafal Baranowski and Michael E. Imhof and Hans{-}Joachim Wunderlich and Nadereh Hatami and Stefano Di Carlo and Paolo Prinetto}, editor = {Ron Press and Erik H. Volkerink}, title = {System reliability evaluation using concurrent multi-level simulation of structural faults}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {817}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699309}, doi = {10.1109/TEST.2010.5699309}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KochteZBIWHCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KochteZIKRWCP09, author = {Michael A. Kochte and Christian G. Zoellin and Michael E. Imhof and Rauf Salimi Khaligh and Martin Radetzki and Hans{-}Joachim Wunderlich and Stefano Di Carlo and Paolo Prinetto}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {Test exploration and validation using transaction level models}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {1250--1253}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090856}, doi = {10.1109/DATE.2009.5090856}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/KochteZIKRWCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KochteZW09, author = {Michael A. Kochte and Christian G. Zoellin and Hans{-}Joachim Wunderlich}, title = {Concurrent Self-Test with Partially Specified Patterns for Low Test Latency and Overhead}, booktitle = {14th {IEEE} European Test Symposium, {ETS} 2009, Sevilla, Spain, May 25-29, 2009}, pages = {53--58}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ETS.2009.26}, doi = {10.1109/ETS.2009.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KochteZW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KochteHEW09, author = {Michael A. Kochte and Stefan Holst and Melanie Elm and Hans{-}Joachim Wunderlich}, title = {Test Encoding for Extreme Response Compaction}, booktitle = {14th {IEEE} European Test Symposium, {ETS} 2009, Sevilla, Spain, May 25-29, 2009}, pages = {155--160}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ETS.2009.22}, doi = {10.1109/ETS.2009.22}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KochteHEW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/KochteN08, author = {Michael A. Kochte and Ramesh Natarajan}, editor = {Alex Ram{\'{\i}}rez and Gianfranco Bilardi and Michael Gschwind}, title = {A framework for scheduling parallel dbms user-defined programs on an attached high-performance computer}, booktitle = {Proceedings of the 5th Conference on Computing Frontiers, 2008, Ischia, Italy, May 5-7, 2008}, pages = {97--104}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366230.1366245}, doi = {10.1145/1366230.1366245}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/KochteN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/delta/KochteZIW08, author = {Michael A. Kochte and Christian G. Zoellin and Michael E. Imhof and Hans{-}Joachim Wunderlich}, title = {Test Set Stripping Limiting the Maximum Number of Specified Bits}, booktitle = {4th {IEEE} International Symposium on Electronic Design, Test and Applications, {DELTA} 2008, Hong Kong, January 23-25, 2008}, pages = {581--586}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DELTA.2008.64}, doi = {10.1109/DELTA.2008.64}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/delta/KochteZIW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.