BibTeX records: Peng Liu 0016

download as .bib file

@article{DBLP:journals/tc/ZhangLWJ23,
  author       = {Zhendong Zhang and
                  Peng Liu and
                  Weidong Wang and
                  Yingtao Jiang},
  title        = {{RUPA:} {A} High Performance, Energy Efficient Accelerator for Rule-Based
                  Password Generation in Heterogenous Password Recovery System},
  journal      = {{IEEE} Trans. Computers},
  volume       = {72},
  number       = {4},
  pages        = {900--913},
  year         = {2023},
  url          = {https://doi.org/10.1109/TC.2022.3197077},
  doi          = {10.1109/TC.2022.3197077},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/ZhangLWJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/YangZ0023,
  author       = {Chongyi Yang and
                  Zhendong Zhang and
                  Xiaohang Wang and
                  Peng Liu},
  title        = {Adaptive Caching Policies for Chiplet Systems Based on Reinforcement
                  Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023,
                  Monterey, CA, USA, May 21-25, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISCAS46773.2023.10181966},
  doi          = {10.1109/ISCAS46773.2023.10181966},
  timestamp    = {Mon, 31 Jul 2023 09:04:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/YangZ0023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cem/ZhangLWLWJ22,
  author       = {Zhendong Zhang and
                  Peng Liu and
                  Weidong Wang and
                  Shunbin Li and
                  Peng Wang and
                  Yingtao Jiang},
  title        = {High-Performance Password Recovery Hardware Going From {GPU} to Hybrid
                  {CPU-FPGA} Platform},
  journal      = {{IEEE} Consumer Electron. Mag.},
  volume       = {11},
  number       = {1},
  pages        = {80--87},
  year         = {2022},
  url          = {https://doi.org/10.1109/MCE.2020.3042166},
  doi          = {10.1109/MCE.2020.3042166},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cem/ZhangLWLWJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-ifs/WangLJ22,
  author       = {Yuze Wang and
                  Peng Liu and
                  Yingtao Jiang},
  title        = {{IMSC:} Instruction set architecture monitor and secure cache for
                  protecting processor systems from undocumented instructions},
  journal      = {{IET} Inf. Secur.},
  volume       = {16},
  number       = {4},
  pages        = {314--319},
  year         = {2022},
  url          = {https://doi.org/10.1049/ise2.12059},
  doi          = {10.1049/ISE2.12059},
  timestamp    = {Tue, 28 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-ifs/WangLJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/WangLWWJ22,
  author       = {Yuze Wang and
                  Peng Liu and
                  Weidong Wang and
                  Xiaohang Wang and
                  Yingtao Jiang},
  title        = {On a Consistency Testing Model and Strategy for Revealing {RISC} Processor's
                  Dark Instructions and Vulnerabilities},
  journal      = {{IEEE} Trans. Computers},
  volume       = {71},
  number       = {7},
  pages        = {1586--1597},
  year         = {2022},
  url          = {https://doi.org/10.1109/TC.2021.3097174},
  doi          = {10.1109/TC.2021.3097174},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/WangLWWJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/ChenL21c,
  author       = {Minzhen Chen and
                  Peng Liu},
  title        = {A Deep Learning-Based {FPGA} Function Block Detection Method With
                  Bitstream to Image Transformation},
  journal      = {{IEEE} Access},
  volume       = {9},
  pages        = {99794--99804},
  year         = {2021},
  url          = {https://doi.org/10.1109/ACCESS.2021.3096664},
  doi          = {10.1109/ACCESS.2021.3096664},
  timestamp    = {Thu, 29 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/access/ChenL21c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangLHJ21,
  author       = {Yuze Wang and
                  Peng Liu and
                  Xiaoxia Han and
                  Yingtao Jiang},
  title        = {Hardware Trojan Detection Method for Inspecting Integrated Circuits
                  Based on Machine Learning},
  booktitle    = {22nd International Symposium on Quality Electronic Design, {ISQED}
                  2021, Santa Clara, CA, USA, April 7-9, 2021},
  pages        = {432--436},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISQED51717.2021.9424314},
  doi          = {10.1109/ISQED51717.2021.9424314},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangLHJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiuLD19,
  author       = {Peng Liu and
                  Shunbin Li and
                  Qingyuan Ding},
  title        = {An Energy-Efficient Accelerator Based on Hybrid {CPU-FPGA} Devices
                  for Password Recovery},
  journal      = {{IEEE} Trans. Computers},
  volume       = {68},
  number       = {2},
  pages        = {170--181},
  year         = {2019},
  url          = {https://doi.org/10.1109/TC.2018.2868191},
  doi          = {10.1109/TC.2018.2868191},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LiuLD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/DingZLL19,
  author       = {Qingyuan Ding and
                  Zhendong Zhang and
                  Shunbin Li and
                  Peng Liu},
  title        = {Energy-Efficient {RAR3} Password Recovery with Dual-Granularity Data
                  Path Strategy},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019,
                  Sapporo, Japan, May 26-29, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISCAS.2019.8702713},
  doi          = {10.1109/ISCAS.2019.8702713},
  timestamp    = {Thu, 30 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/DingZLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/HanWL19,
  author       = {Tao Han and
                  Yuze Wang and
                  Peng Liu},
  title        = {Hardware Trojans Detection at Register Transfer Level Based on Machine
                  Learning},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019,
                  Sapporo, Japan, May 26-29, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISCAS.2019.8702479},
  doi          = {10.1109/ISCAS.2019.8702479},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/HanWL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WangHHL19,
  author       = {Yuze Wang and
                  Tao Han and
                  Xiaoxia Han and
                  Peng Liu},
  title        = {Ensemble-Learning-Based Hardware Trojans Detection Method by Detecting
                  the Trigger Nets},
  booktitle    = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019,
                  Sapporo, Japan, May 26-29, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISCAS.2019.8702539},
  doi          = {10.1109/ISCAS.2019.8702539},
  timestamp    = {Fri, 10 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iscas/WangHHL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/access/HuaHL18,
  author       = {Xingcheng Hua and
                  Michael C. Huang and
                  Peng Liu},
  title        = {Hadoop Configuration Tuning With Ensemble Modeling and Metaheuristic
                  Optimization},
  journal      = {{IEEE} Access},
  volume       = {6},
  pages        = {44161--44174},
  year         = {2018},
  url          = {https://doi.org/10.1109/ACCESS.2018.2857852},
  doi          = {10.1109/ACCESS.2018.2857852},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/access/HuaHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiuHH17,
  author       = {Peng Liu and
                  Qi Hu and
                  Xingcheng Hua},
  title        = {Adaptive Coherence Granularity for Multi-Socket Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {66},
  number       = {8},
  pages        = {1302--1312},
  year         = {2017},
  url          = {https://doi.org/10.1109/TC.2017.2676768},
  doi          = {10.1109/TC.2017.2676768},
  timestamp    = {Tue, 17 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/LiuHH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/LiJL17,
  author       = {Shunbin Li and
                  Yingtao Jiang and
                  Peng Liu},
  title        = {An Adaptive {PAM-4} Analog Equalizer With Boosting-State Detection
                  in the Time Domain},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {25},
  number       = {10},
  pages        = {2907--2916},
  year         = {2017},
  url          = {https://doi.org/10.1109/TVLSI.2017.2720750},
  doi          = {10.1109/TVLSI.2017.2720750},
  timestamp    = {Wed, 11 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/LiJL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cal/HuLH16,
  author       = {Qi Hu and
                  Peng Liu and
                  Michael C. Huang},
  title        = {Threads and Data Mapping: Affinity Analysis for Traffic Reduction},
  journal      = {{IEEE} Comput. Archit. Lett.},
  volume       = {15},
  number       = {2},
  pages        = {133--136},
  year         = {2016},
  url          = {https://doi.org/10.1109/LCA.2015.2451172},
  doi          = {10.1109/LCA.2015.2451172},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cal/HuLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/WuLWYJ16,
  author       = {Kejun Wu and
                  Peng Liu and
                  Weidong Wang and
                  Qiaoyan Yu and
                  Yingtao Jiang},
  title        = {{PSS4:} Four-Phase Shifted Sinusoid Symbol Signaling for High Speed
                  {I/O} interconnects},
  journal      = {Comput. Electr. Eng.},
  volume       = {51},
  pages        = {104--117},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.compeleceng.2015.11.024},
  doi          = {10.1016/J.COMPELECENG.2015.11.024},
  timestamp    = {Wed, 19 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cee/WuLWYJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/SavidisCXHJBXLM16,
  author       = {Ioannis Savidis and
                  Berkehan Ciftcioglu and
                  Jie Xu and
                  Jianyun Hu and
                  Manish Jain and
                  Rebecca Berman and
                  Jing Xue and
                  Peng Liu and
                  Duncan Moore and
                  Gary Wicks and
                  Michael C. Huang and
                  Hui Wu and
                  Eby G. Friedman},
  title        = {Heterogeneous 3-D circuits: Integrating free-space optics with {CMOS}},
  journal      = {Microelectron. J.},
  volume       = {50},
  pages        = {66--75},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.mejo.2015.10.004},
  doi          = {10.1016/J.MEJO.2015.10.004},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mj/SavidisCXHJBXLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/0016YH16,
  author       = {Peng Liu and
                  Jiyang Yu and
                  Michael C. Huang},
  title        = {Thread-Aware Adaptive Prefetcher on Multicore Systems: Improving the
                  Performance for Multithreaded Workloads},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {13},
  number       = {1},
  pages        = {13:1--13:25},
  year         = {2016},
  url          = {https://doi.org/10.1145/2890505},
  doi          = {10.1145/2890505},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/taco/0016YH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/LiuFHHJ16,
  author       = {Peng Liu and
                  Lei Fang and
                  Michael C. Huang and
                  Qi Hu and
                  Guofan Jiang},
  title        = {Building Expressive and Area-Efficient Directories with Hybrid Representation
                  and Adaptive Multi-Granular Tracking},
  journal      = {{IEEE} Trans. Computers},
  volume       = {65},
  number       = {3},
  pages        = {847--859},
  year         = {2016},
  url          = {https://doi.org/10.1109/TC.2015.2435790},
  doi          = {10.1109/TC.2015.2435790},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/LiuFHHJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aca/HuaWLZZL16,
  author       = {Xingcheng Hua and
                  Jierui Wang and
                  Li Lei and
                  Bin Zhou and
                  Xiaolin Zhang and
                  Peng Liu},
  editor       = {Junjie Wu and
                  Lian Li},
  title        = {{H-TDMS:} {A} System for Traffic Big Data Management},
  booktitle    = {Advanced Computer Architecture - 11th Conference, {ACA} 2016, Weihai,
                  China, August 22-23, 2016, Proceedings},
  series       = {Communications in Computer and Information Science},
  volume       = {626},
  pages        = {85--96},
  publisher    = {Springer},
  year         = {2016},
  url          = {https://doi.org/10.1007/978-981-10-2209-8\_8},
  doi          = {10.1007/978-981-10-2209-8\_8},
  timestamp    = {Tue, 04 Oct 2022 16:42:32 +0200},
  biburl       = {https://dblp.org/rec/conf/aca/HuaWLZZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/HuLHX15,
  author       = {Qi Hu and
                  Peng Liu and
                  Michael C. Huang and
                  Xiang{-}Hui Xie},
  editor       = {Andr{\'{e}} Ivanov and
                  Diana Marculescu and
                  Partha Pratim Pande and
                  Jos{\'{e}} Flich and
                  Karthik Pattabiraman},
  title        = {Exploiting Transmission Lines on Heterogeneous Networks-on-Chip to
                  Improve the Adaptivity and Efficiency of Cache Coherence},
  booktitle    = {Proceedings of the 9th International Symposium on Networks-on-Chip,
                  {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015},
  pages        = {14:1--14:8},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2786572.2786576},
  doi          = {10.1145/2786572.2786576},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/HuLHX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/Guo0WCJ15,
  author       = {Jun Guo and
                  Peng Liu and
                  Weidong Wang and
                  Jicheng Chen and
                  Yingtao Jiang},
  title        = {A 20 GHz high speed, low jitter, high accuracy and wide correction
                  range duty cycle corrector},
  booktitle    = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015,
                  Beijing, China, September 8-11, 2015},
  pages        = {19--24},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/SOCC.2015.7406901},
  doi          = {10.1109/SOCC.2015.7406901},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/Guo0WCJ15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/LiLWFWX15,
  author       = {Shunbin Li and
                  Peng Liu and
                  Weidong Wang and
                  Xing Fang and
                  Dong Wu and
                  Xianghui Xie},
  title        = {A {PAM-4} adaptive analog equalizer with decoupling control loops
                  for 25-Gb/s {CMOS} serial-link receiver},
  booktitle    = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015,
                  Beijing, China, September 8-11, 2015},
  pages        = {221--226},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/SOCC.2015.7406950},
  doi          = {10.1109/SOCC.2015.7406950},
  timestamp    = {Mon, 09 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/LiLWFWX15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HuWL15,
  author       = {Qi Hu and
                  Kejun Wu and
                  Peng Liu},
  title        = {Exploiting multi-band transmission line interconnects to improve the
                  efficiency of cache coherence in multiprocessor system-on-chip},
  booktitle    = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015,
                  Beijing, China, September 8-11, 2015},
  pages        = {390--395},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/SOCC.2015.7406990},
  doi          = {10.1109/SOCC.2015.7406990},
  timestamp    = {Thu, 30 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/HuWL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/Guo0W15,
  author       = {Jun Guo and
                  Peng Liu and
                  Weidong Wang},
  title        = {Physical-based modeling and fast simulation of wireline links},
  booktitle    = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration,
                  VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015},
  pages        = {252--257},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/VLSI-SoC.2015.7314425},
  doi          = {10.1109/VLSI-SOC.2015.7314425},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/Guo0W15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcst/LiuFH14,
  author       = {Peng Liu and
                  Lei Fang and
                  Michael C. Huang},
  title        = {{DEAM:} Decoupled, Expressive, Area-Efficient Metadata Cache},
  journal      = {J. Comput. Sci. Technol.},
  volume       = {29},
  number       = {4},
  pages        = {679--691},
  year         = {2014},
  url          = {https://doi.org/10.1007/s11390-014-1459-0},
  doi          = {10.1007/S11390-014-1459-0},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcst/LiuFH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tecs/WangYJ0DPM14,
  author       = {Xiaohang Wang and
                  Mei Yang and
                  Yingtao Jiang and
                  Peng Liu and
                  Masoud Daneshtalab and
                  Maurizio Palesi and
                  Terrence S. T. Mak},
  title        = {On self-tuning networks-on-chip for dynamic network-flow dominance
                  adaptation},
  journal      = {{ACM} Trans. Embed. Comput. Syst.},
  volume       = {13},
  number       = {2s},
  pages        = {73:1--73:21},
  year         = {2014},
  url          = {https://doi.org/10.1145/2544375.2544393},
  doi          = {10.1145/2544375.2544393},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tecs/WangYJ0DPM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/Yu014,
  author       = {Jiyang Yu and
                  Peng Liu},
  title        = {A Thread-Aware Adaptive Data Prefetcher},
  booktitle    = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014,
                  Seoul, South Korea, October 19-22, 2014},
  pages        = {278--285},
  publisher    = {{IEEE} Computer Society},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCD.2014.6974694},
  doi          = {10.1109/ICCD.2014.6974694},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/Yu014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/WuP0Y14,
  author       = {Kejun Wu and
                  Hoda Pahlevanzadeh and
                  Peng Liu and
                  Qiaoyan Yu},
  title        = {A new fault injection method for evaluation of combining {SEU} and
                  {SET} effects on circuit reliability},
  booktitle    = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014,
                  Melbourne, Victoria, Australia, June 1-5, 2014},
  pages        = {602--605},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISCAS.2014.6865207},
  doi          = {10.1109/ISCAS.2014.6865207},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/WuP0Y14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wu0Y14,
  author       = {Kejun Wu and
                  Peng Liu and
                  Qiaoyan Yu},
  title        = {A novel signaling technique for high-speed wireline backplane transceiver:
                  Four phase-shifted sinusoid symbol {(PSS-4)}},
  booktitle    = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014,
                  Melbourne, Victoria, Australia, June 1-5, 2014},
  pages        = {2141--2144},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ISCAS.2014.6865591},
  doi          = {10.1109/ISCAS.2014.6865591},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wu0Y14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jcst/WangLYPJH13,
  author       = {Xiaohang Wang and
                  Peng Liu and
                  Mei Yang and
                  Maurizio Palesi and
                  Yingtao Jiang and
                  Michael C. Huang},
  title        = {Energy Efficient Run-Time Incremental Mapping for 3-D Networks-on-Chip},
  journal      = {J. Comput. Sci. Technol.},
  volume       = {28},
  number       = {1},
  pages        = {54--71},
  year         = {2013},
  url          = {https://doi.org/10.1007/s11390-013-1312-x},
  doi          = {10.1007/S11390-013-1312-X},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jcst/WangLYPJH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jsa/WangYJP0MB13,
  author       = {Xiaohang Wang and
                  Mei Yang and
                  Yingtao Jiang and
                  Maurizio Palesi and
                  Peng Liu and
                  Terrence S. T. Mak and
                  Nader Bagherzadeh},
  title        = {Efficient multicast schemes for 3-D Networks-on-Chip},
  journal      = {J. Syst. Archit.},
  volume       = {59},
  number       = {9},
  pages        = {693--708},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.sysarc.2013.06.002},
  doi          = {10.1016/J.SYSARC.2013.06.002},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jsa/WangYJP0MB13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pc/Wang0YJ13,
  author       = {Xiaohang Wang and
                  Peng Liu and
                  Mei Yang and
                  Yingtao Jiang},
  title        = {Avoiding request-request type message-dependent deadlocks in networks-on-chips},
  journal      = {Parallel Comput.},
  volume       = {39},
  number       = {9},
  pages        = {408--423},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.parco.2013.05.002},
  doi          = {10.1016/J.PARCO.2013.05.002},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pc/Wang0YJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pc/YuLWHYJY13,
  author       = {Jiyang Yu and
                  Peng Liu and
                  Weidong Wang and
                  Chunming Huang and
                  Jie Yang and
                  Yingtao Jiang and
                  Qingdong Yao},
  title        = {An efficient protocol with synchronization accelerator for multi-processor
                  embedded systems},
  journal      = {Parallel Comput.},
  volume       = {39},
  number       = {9},
  pages        = {461--474},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.parco.2013.04.008},
  doi          = {10.1016/J.PARCO.2013.04.008},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/pc/YuLWHYJY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/IEEEpact/FangLHHJ13,
  author       = {Lei Fang and
                  Peng Liu and
                  Qi Hu and
                  Michael C. Huang and
                  Guofan Jiang},
  editor       = {Christian Fensch and
                  Michael F. P. O'Boyle and
                  Andr{\'{e}} Seznec and
                  Fran{\c{c}}ois Bodin},
  title        = {Building expressive, area-efficient coherence directories},
  booktitle    = {Proceedings of the 22nd International Conference on Parallel Architectures
                  and Compilation Techniques, Edinburgh, United Kingdom, September 7-11,
                  2013},
  pages        = {299--308},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/PACT.2013.6618826},
  doi          = {10.1109/PACT.2013.6618826},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/IEEEpact/FangLHHJ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/Wu0Y13,
  author       = {Kejun Wu and
                  Peng Liu and
                  Qiaoyan Yu},
  title        = {A novel energy-efficient serializer design method for gigascale systems},
  booktitle    = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013),
                  Beijing, China, May 19-23, 2013},
  pages        = {1978--1981},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ISCAS.2013.6572257},
  doi          = {10.1109/ISCAS.2013.6572257},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/Wu0Y13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/npc/0016HGGWY13,
  author       = {Peng Liu and
                  Chunming Huang and
                  Jun Guo and
                  Yang Geng and
                  Weidong Wang and
                  Mei Yang},
  editor       = {Ching{-}Hsien Hsu and
                  Xiaoming Li and
                  Xuanhua Shi and
                  Ran Zheng},
  title        = {Scalable-Grain Pipeline Parallelization Method for Multi-core Systems},
  booktitle    = {Network and Parallel Computing - 10th {IFIP} International Conference,
                  {NPC} 2013, Guiyang, China, September 19-21, 2013. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {8147},
  pages        = {269--283},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-40820-5\_23},
  doi          = {10.1007/978-3-642-40820-5\_23},
  timestamp    = {Tue, 14 May 2019 10:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/npc/0016HGGWY13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/GuLYYLY12,
  author       = {Xiongli Gu and
                  Peng Liu and
                  Mei Yang and
                  Jie Yang and
                  Cheng Li and
                  Qingdong Yao},
  title        = {An efficient scheduler of {RTOS} for multi/many-core system},
  journal      = {Comput. Electr. Eng.},
  volume       = {38},
  number       = {3},
  pages        = {785--800},
  year         = {2012},
  url          = {https://doi.org/10.1016/j.compeleceng.2011.09.009},
  doi          = {10.1016/J.COMPELECENG.2011.09.009},
  timestamp    = {Wed, 19 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cee/GuLYYLY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/CarpenterHXHWL12,
  author       = {Aaron Carpenter and
                  Jianyun Hu and
                  Jie Xu and
                  Michael C. Huang and
                  Hui Wu and
                  Peng Liu},
  title        = {Using Transmission Lines for Global On-Chip Communication},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {2},
  number       = {2},
  pages        = {183--193},
  year         = {2012},
  url          = {https://doi.org/10.1109/JETCAS.2012.2193519},
  doi          = {10.1109/JETCAS.2012.2193519},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/esticas/CarpenterHXHWL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijhpsa/LiuLXXWWWY11,
  author       = {Peng Liu and
                  Yangfan Liu and
                  Bingjie Xia and
                  Chunchang Xiang and
                  Xiaohang Wang and
                  Kejun Wu and
                  Weidong Wang and
                  Qingdong Yao},
  title        = {A networks-on-chip emulation/verification framework},
  journal      = {Int. J. High Perform. Syst. Archit.},
  volume       = {3},
  number       = {1},
  pages        = {2--11},
  year         = {2011},
  url          = {https://doi.org/10.1504/IJHPSA.2011.038053},
  doi          = {10.1504/IJHPSA.2011.038053},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijhpsa/LiuLXXWWWY11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/WangYJL11,
  author       = {Xiaohang Wang and
                  Mei Yang and
                  Yingtao Jiang and
                  Peng Liu},
  title        = {On an efficient NoC multicasting scheme in support of multiple applications
                  running on irregular sub-networks},
  journal      = {Microprocess. Microsystems},
  volume       = {35},
  number       = {2},
  pages        = {119--129},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.micpro.2010.08.003},
  doi          = {10.1016/J.MICPRO.2010.08.003},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mam/WangYJL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CarpenterHHWL11,
  author       = {Aaron Carpenter and
                  Jianyun Hu and
                  Michael C. Huang and
                  Hui Wu and
                  Peng Liu},
  editor       = {Naehyuck Chang and
                  Hiroshi Nakamura and
                  Koji Inoue and
                  Kenichi Osada and
                  Massimo Poncino},
  title        = {A design space exploration of transmission-line links for on-chip
                  interconnect},
  booktitle    = {Proceedings of the 2011 International Symposium on Low Power Electronics
                  and Design, 2011, Fukuoka, Japan, August 1-3, 2011},
  pages        = {265--270},
  publisher    = {{IEEE/ACM}},
  year         = {2011},
  url          = {http://portal.acm.org/citation.cfm?id=2016862\&\#38;CFID=34981777\&\#38;CFTOKEN=25607807},
  timestamp    = {Fri, 03 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CarpenterHHWL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/npc/WangPYJHL11,
  author       = {Xiaohang Wang and
                  Maurizio Palesi and
                  Mei Yang and
                  Yingtao Jiang and
                  Michael C. Huang and
                  Peng Liu},
  editor       = {Erik R. Altman and
                  Weisong Shi},
  title        = {Power-Aware Run-Time Incremental Mapping for 3-D Networks-on-Chip},
  booktitle    = {Network and Parallel Computing - 8th {IFIP} International Conference,
                  {NPC} 2011, Changsha, China, October 21-23, 2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6985},
  pages        = {232--247},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-24403-2\_19},
  doi          = {10.1007/978-3-642-24403-2\_19},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/npc/WangPYJHL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/npc/GuYWHL11,
  author       = {Xiongli Gu and
                  Jie Yang and
                  Xiamin Wu and
                  Chunming Huang and
                  Peng Liu},
  editor       = {Erik R. Altman and
                  Weisong Shi},
  title        = {An Efficient Architectural Design of Hardware Interface for Heterogeneous
                  Multi-core System},
  booktitle    = {Network and Parallel Computing - 8th {IFIP} International Conference,
                  {NPC} 2011, Changsha, China, October 21-23, 2011. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {6985},
  pages        = {313--323},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-3-642-24403-2\_24},
  doi          = {10.1007/978-3-642-24403-2\_24},
  timestamp    = {Tue, 26 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/npc/GuYWHL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/WangPYJHL11,
  author       = {Xiaohang Wang and
                  Maurizio Palesi and
                  Mei Yang and
                  Yingtao Jiang and
                  Michael C. Huang and
                  Peng Liu},
  title        = {Low latency and energy efficient multicasting schemes for 3D NoC-based
                  SoCs},
  booktitle    = {{IEEE/IFIP} 19th International Conference on {VLSI} and System-on-Chip,
                  VLSI-SoC 2011, Kowloon, Hong Kong, China, October 3-5, 2011},
  pages        = {337--342},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/VLSISoC.2011.6081604},
  doi          = {10.1109/VLSISOC.2011.6081604},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/WangPYJHL11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijhpsa/GuLXXLYS10,
  author       = {Xiongli Gu and
                  Peng Liu and
                  Zhiyuan Xu and
                  Bingjie Xia and
                  Cheng Li and
                  Qingdong Yao and
                  Ce Shi},
  title        = {A synergetic operating unit on NoC layer for {CMP} system},
  journal      = {Int. J. High Perform. Syst. Archit.},
  volume       = {2},
  number       = {3/4},
  pages        = {145--155},
  year         = {2010},
  url          = {https://doi.org/10.1504/IJHPSA.2010.034536},
  doi          = {10.1504/IJHPSA.2010.034536},
  timestamp    = {Tue, 24 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijhpsa/GuLXXLYS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijhpsa/XiaWXYLY10,
  author       = {Bingjie Xia and
                  Kejun Wu and
                  Chunchang Xiang and
                  Mei Yang and
                  Peng Liu and
                  Qingdong Yao},
  title        = {Network interface design based on mutual interface definition},
  journal      = {Int. J. High Perform. Syst. Archit.},
  volume       = {2},
  number       = {3/4},
  pages        = {168--176},
  year         = {2010},
  url          = {https://doi.org/10.1504/IJHPSA.2010.034538},
  doi          = {10.1504/IJHPSA.2010.034538},
  timestamp    = {Tue, 24 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ijhpsa/XiaWXYLY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/taco/WangYJL10,
  author       = {Xiaohang Wang and
                  Mei Yang and
                  Yingtao Jiang and
                  Peng Liu},
  title        = {A power-aware mapping approach to map {IP} cores onto NoCs under bandwidth
                  and latency constraints},
  journal      = {{ACM} Trans. Archit. Code Optim.},
  volume       = {7},
  number       = {1},
  pages        = {1:1--1:30},
  year         = {2010},
  url          = {https://doi.org/10.1145/1736065.1736066},
  doi          = {10.1145/1736065.1736066},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/taco/WangYJL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isca/XueGCHWSJBLHWFWM10,
  author       = {Jing Xue and
                  Alok Garg and
                  Berkehan Ciftcioglu and
                  Jianyun Hu and
                  Shang Wang and
                  Ioannis Savidis and
                  Manish Jain and
                  Rebecca Berman and
                  Peng Liu and
                  Michael C. Huang and
                  Hui Wu and
                  Eby G. Friedman and
                  Gary Wicks and
                  Duncan Moore},
  editor       = {Andr{\'{e}} Seznec and
                  Uri C. Weiser and
                  Ronny Ronen},
  title        = {An intra-chip free-space optical interconnect},
  booktitle    = {37th International Symposium on Computer Architecture {(ISCA} 2010),
                  June 19-23, 2010, Saint-Malo, France},
  pages        = {94--105},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1815961.1815975},
  doi          = {10.1145/1815961.1815975},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isca/XueGCHWSJBLHWFWM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/WangYJL10,
  author       = {Xiaohang Wang and
                  Mei Yang and
                  Yingtao Jiang and
                  Peng Liu},
  editor       = {Thomas B{\"{u}}chner and
                  Ramalingam Sridhar and
                  Andrew Marshall and
                  Norbert Schuhmann},
  title        = {Efficient multicasting scheme for irregular mesh-based NoCs},
  booktitle    = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29,
                  2010, Las Vegas, NV, USA, Proceedings},
  pages        = {384--387},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/SOCC.2010.5784701},
  doi          = {10.1109/SOCC.2010.5784701},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/WangYJL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cee/LiuXXWWY09,
  author       = {Peng Liu and
                  Bingjie Xia and
                  Chunchang Xiang and
                  Xiaohang Wang and
                  Weidong Wang and
                  Qingdong Yao},
  title        = {A networks-on-chip architecture design space exploration - The {LIB}},
  journal      = {Comput. Electr. Eng.},
  volume       = {35},
  number       = {6},
  pages        = {817--836},
  year         = {2009},
  url          = {https://doi.org/10.1016/j.compeleceng.2008.11.027},
  doi          = {10.1016/J.COMPELECENG.2008.11.027},
  timestamp    = {Mon, 24 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/cee/LiuXXWWY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/itng/LiuXWXLWY09,
  author       = {Peng Liu and
                  Chunchang Xiang and
                  Xiaohang Wang and
                  Bingjie Xia and
                  Yangfan Liu and
                  Weidong Wang and
                  Qingdong Yao},
  editor       = {Shahram Latifi},
  title        = {A NoC Emulation/Verification Framework},
  booktitle    = {Sixth International Conference on Information Technology: New Generations,
                  {ITNG} 2009, Las Vegas, Nevada, USA, 27-29 April 2009},
  pages        = {859--864},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ITNG.2009.197},
  doi          = {10.1109/ITNG.2009.197},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/itng/LiuXWXLWY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eiivcp/YuY0JL05,
  author       = {Guojun Yu and
                  Qingdong Yao and
                  Peng Liu and
                  Zhidi Jiang and
                  Fuping Li},
  editor       = {Amir Said and
                  John G. Apostolopoulos},
  title        = {A processor for {MPEG} decoder {SOC:} a software/hardware co-design
                  approach},
  booktitle    = {Electronic Imaging: Image and Video Communications and Processing
                  2005, San Jose, California, USA, 16-20 January 2005},
  series       = {{SPIE} Proceedings},
  volume       = {5685},
  publisher    = {{SPIE}},
  year         = {2005},
  url          = {https://doi.org/10.1117/12.582737},
  doi          = {10.1117/12.582737},
  timestamp    = {Tue, 21 Aug 2018 08:53:01 +0200},
  biburl       = {https://dblp.org/rec/conf/eiivcp/YuY0JL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tce/YaoYLX04,
  author       = {Yingbiao Yao and
                  Qingdong Yao and
                  Peng Liu and
                  Zhibin Xiao},
  title        = {Embedded software optimization for {MP3} decoder implemented on {RISC}
                  core},
  journal      = {{IEEE} Trans. Consumer Electron.},
  volume       = {50},
  number       = {4},
  pages        = {1244--1249},
  year         = {2004},
  url          = {https://doi.org/10.1109/TCE.2004.1362526},
  doi          = {10.1109/TCE.2004.1362526},
  timestamp    = {Thu, 09 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tce/YaoYLX04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiYLZ02,
  author       = {Dongxiao Li and
                  Qingdong Yao and
                  Peng Liu and
                  Li Zhou},
  title        = {A bus arbitration scheme for {HDTV} decoder SoC},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {79--83},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115128},
  doi          = {10.1109/APCCAS.2002.1115128},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiYLZ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vcip/LiuYY02,
  author       = {Peng Liu and
                  Qingdong Yao and
                  Weijian Yang},
  editor       = {C.{-}C. Jay Kuo},
  title        = {Hardware/software codesign for {HDTV} source decoder on system level},
  booktitle    = {Visual Communications and Image Processing 2002, San Jose, CA, USA,
                  January 19, 2002},
  series       = {Proceedings of {SPIE}},
  volume       = {4671},
  pages        = {679--686},
  publisher    = {{SPIE}},
  year         = {2002},
  timestamp    = {Tue, 28 Jun 2016 13:29:23 +0200},
  biburl       = {https://dblp.org/rec/conf/vcip/LiuYY02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sswmc/0016PLYY01,
  author       = {Peng Liu and
                  Qiaohai Pan and
                  Dongxiao Li and
                  Qingdong Yao and
                  Weijian Yang},
  editor       = {Ping Wah Wong and
                  Edward J. Delp III},
  title        = {{SPMD} architecture for DSP-based data encryption communication system},
  booktitle    = {Security and Watermarking of Multimedia Contents III, San Jose, CA,
                  USA, January 20, 2001},
  series       = {{SPIE} Proceedings},
  volume       = {4314},
  pages        = {166--174},
  publisher    = {{SPIE}},
  year         = {2001},
  url          = {https://doi.org/10.1117/12.435397},
  doi          = {10.1117/12.435397},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sswmc/0016PLYY01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics