default search action
BibTeX records: Siddhartha Nath
@article{DBLP:journals/todaes/LuNPL23, author = {Yi{-}Chen Lu and Siddhartha Nath and Sai Pentapati and Sung Kyu Lim}, title = {{ECO-GNN:} Signoff Power Prediction Using Graph Neural Networks with Subgraph Approximation}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {4}, pages = {55:1--55:22}, year = {2023}, url = {https://doi.org/10.1145/3569942}, doi = {10.1145/3569942}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/LuNPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LiangNRHR23, author = {Rongjian Liang and Siddhartha Nath and Anand Rajaram and Jiang Hu and Haoxing Ren}, editor = {Atsushi Takahashi}, title = {BufFormer: {A} Generative {ML} Framework for Scalable Buffering}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {264--270}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567900}, doi = {10.1145/3566097.3567900}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LiangNRHR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NathPHYKR22, author = {Siddhartha Nath and Geraldo Pradipta and Corey Hu and Tian Yang and Brucek Khailany and Haoxing Ren}, editor = {Rob Oshana}, title = {Generative self-supervised learning for gate sizing: invited}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1331--1334}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530645}, doi = {10.1145/3489517.3530645}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/NathPHYKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RenNZCL22, author = {Haoxing Ren and Siddhartha Nath and Yanqing Zhang and Hao Chen and Mingjie Liu}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Why are Graph Neural Networks Effective for {EDA} Problems?: (Invited Paper)}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {1:1--1:8}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3561093}, doi = {10.1145/3508352.3561093}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RenNZCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NathPHYKR22, author = {Siddhartha Nath and Geraldo Pradipta and Corey Hu and Tian Yang and Brucek Khailany and Haoxing Ren}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {TransSizer: {A} Novel Transformer-Based Fast Gate Sizer}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {74:1--74:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549442}, doi = {10.1145/3508352.3549442}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/NathPHYKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuNKL21, author = {Yi{-}Chen Lu and Siddhartha Nath and Vishal Khandelwal and Sung Kyu Lim}, title = {RL-Sizer: {VLSI} Gate Sizing for Timing Optimization using Deep Reinforcement Learning}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {733--738}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586138}, doi = {10.1109/DAC18074.2021.9586138}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LuNKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuNKL21, author = {Yi{-}Chen Lu and Siddhartha Nath and Vishal Khandelwal and Sung Kyu Lim}, title = {Doomed Run Prediction in Physical Design by Exploiting Sequential Flow and Graph Learning}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643435}, doi = {10.1109/ICCAD51958.2021.9643435}, timestamp = {Tue, 28 Dec 2021 12:29:05 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuNKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RenGKKLNR021, author = {Haoxing Ren and Saad Godil and Brucek Khailany and Robert Kirby and Haiguang Liao and Siddhartha Nath and Jonathan Raiman and Rajarshi Roy}, title = {Optimizing {VLSI} Implementation with Reinforcement Learning - {ICCAD} Special Session Paper}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643589}, doi = {10.1109/ICCAD51958.2021.9643589}, timestamp = {Mon, 07 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/RenGKKLNR021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/NathK21, author = {Siddhartha Nath and Vishal Khandelwal}, editor = {Jens Lienig and Laleh Behjat and Stephen Yang}, title = {Machine Learning-Enabled High-Frequency Low-Power Digital Design Implementation At Advanced Process Nodes}, booktitle = {{ISPD} '21: International Symposium on Physical Design, Virtual Event, USA, March 22-24, 2021}, pages = {83--90}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3439706.3447043}, doi = {10.1145/3439706.3447043}, timestamp = {Mon, 12 Apr 2021 09:09:55 +0200}, biburl = {https://dblp.org/rec/conf/ispd/NathK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuNPL20, author = {Yi{-}Chen Lu and Siddhartha Nath and Sai Surya Kiran Pentapati and Sung Kyu Lim}, title = {A Fast Learning-Driven Signoff Power Optimization Framework}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {161:1--161:9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415711}, doi = {10.1145/3400302.3415711}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LuNPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/AgrawalBCCHKMN17, author = {Prabhav Agrawal and Mike Broxterman and Biswadeep Chatterjee and Patrick Cuevas and Kathy H. Hayashi and Andrew B. Kahng and Pranay K. Myana and Siddhartha Nath}, title = {Optimal Scheduling and Allocation for {IC} Design Management and Cost Reduction}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {22}, number = {4}, pages = {60:1--60:30}, year = {2017}, url = {https://doi.org/10.1145/3035483}, doi = {10.1145/3035483}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/AgrawalBCCHKMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Nath16, author = {Siddhartha Nath}, title = {New Applications of Learning-Based Modeling in Nanoscale Integrated-Circuit Design}, school = {University of California, San Diego, {USA}}, year = {2016}, url = {https://www.escholarship.org/uc/item/9jn2c085}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Nath16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChanCKMN16, author = {Wei{-}Ting Jonas Chan and Kun Young Chung and Andrew B. Kahng and Nancy D. MacDonald and Siddhartha Nath}, title = {Learning-based prediction of embedded memory timing failures during initial floorplan design}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {178--185}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428008}, doi = {10.1109/ASPDAC.2016.7428008}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChanCKMN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanDKNS16, author = {Wei{-}Ting Jonas Chan and Yang Du and Andrew B. Kahng and Siddhartha Nath and Kambiz Samadi}, title = {{BEOL} stack-aware routability prediction from placement using data mining techniques}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753259}, doi = {10.1109/ICCD.2016.7753259}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanDKNS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/KahngLN15, author = {Andrew B. Kahng and Bill Lin and Siddhartha Nath}, title = {{ORION3.0:} {A} Comprehensive NoC Router Estimation Tool}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {7}, number = {2}, pages = {41--45}, year = {2015}, url = {https://doi.org/10.1109/LES.2015.2402197}, doi = {10.1109/LES.2015.2402197}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/KahngLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChanKLNP15, author = {Tuck{-}Boon Chan and Andrew B. Kahng and Jiajia Li and Siddhartha Nath and Bongil Park}, title = {Optimization of Overdrive Signoff in High-Performance and Low-Power ICs}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {8}, pages = {1552--1556}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2339848}, doi = {10.1109/TVLSI.2014.2339848}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChanKLNP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HanLKNL15, author = {Kwangsoo Han and Jiajia Li and Andrew B. Kahng and Siddhartha Nath and Jongpil Lee}, title = {A global-local optimization framework for simultaneous multi-mode multi-corner clock skew variation reduction}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {26:1--26:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2744776}, doi = {10.1145/2744769.2744776}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HanLKNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChanNKDS15, author = {Wei{-}Ting Jonas Chan and Siddhartha Nath and Andrew B. Kahng and Yang Du and Kambiz Samadi}, title = {3DIC benefit estimation and implementation guidance from 2DIC implementation}, booktitle = {Proceedings of the 52nd Annual Design Automation Conference, San Francisco, CA, USA, June 7-11, 2015}, pages = {30:1--30:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2744769.2747954}, doi = {10.1145/2744769.2747954}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChanNKDS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngLNNPR15, author = {Andrew B. Kahng and Mulong Luo and Gi{-}Joon Nam and Siddhartha Nath and David Z. Pan and Gabriel Robins}, editor = {Diana Marculescu and Frank Liu}, title = {Toward Metrics of Design Automation Research Impact}, booktitle = {Proceedings of the {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2015, Austin, TX, USA, November 2-6, 2015}, pages = {263--270}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCAD.2015.7372579}, doi = {10.1109/ICCAD.2015.7372579}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KahngLNNPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/KahngLN15, author = {Andrew B. Kahng and Mulong Luo and Siddhartha Nath}, title = {{SI} for free: machine learning of interconnect coupling delay and transition effects}, booktitle = {2015 {ACM/IEEE} International Workshop on System Level Interconnect Prediction, {SLIP} 2015, San Francisco, CA, USA, June 6, 2015}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SLIP.2015.7171706}, doi = {10.1109/SLIP.2015.7171706}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/KahngLN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanKNV14, author = {Seung{-}Soo Han and Andrew B. Kahng and Siddhartha Nath and Ashok S. Vydyanathan}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {A deep learning methodology to proliferate golden signoff timing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--6}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.273}, doi = {10.7873/DATE.2014.273}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/HanKNV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChanHKLN14, author = {Tuck{-}Boon Chan and Kwangsoo Han and Andrew B. Kahng and Jae{-}Gon Lee and Siddhartha Nath}, editor = {Joseph R. Cavallaro and Tong Zhang and Alex K. Jones and Hai (Helen) Li}, title = {OCV-aware top-level clock tree optimization}, booktitle = {Great Lakes Symposium on {VLSI} 2014, {GLSVLSI} '14, Houston, TX, {USA} - May 21 - 23, 2014}, pages = {33--38}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2591513.2591541}, doi = {10.1145/2591513.2591541}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChanHKLN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/CarballoCGKN14, author = {Juan Antonio Carballo and Wei{-}Ting Jonas Chan and Paolo A. Gargini and Andrew B. Kahng and Siddhartha Nath}, title = {{ITRS} 2.0: Toward a re-framing of the Semiconductor Technology Roadmap}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {139--146}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974673}, doi = {10.1109/ICCD.2014.6974673}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/CarballoCGKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChanKNY14, author = {Wei{-}Ting Jonas Chan and Andrew B. Kahng and Siddhartha Nath and Ichiro Yamamoto}, title = {The {ITRS} {MPU} and {SOC} system drivers: Calibration and implications for design-based equivalent scaling in the roadmap}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {153--160}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974675}, doi = {10.1109/ICCD.2014.6974675}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChanKNY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KahngN14, author = {Andrew B. Kahng and Siddhartha Nath}, title = {Optimal reliability-constrained overdrive frequency selection in multicore systems}, booktitle = {Fifteenth International Symposium on Quality Electronic Design, {ISQED} 2014, Santa Clara, CA, USA, March 3-5, 2014}, pages = {300--308}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISQED.2014.6783340}, doi = {10.1109/ISQED.2014.6783340}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/KahngN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/ChanKN14, author = {Wei{-}Ting Jonas Chan and Andrew B. Kahng and Siddhartha Nath}, title = {Methodology for electromigration signoff in the presence of adaptive voltage scaling}, booktitle = {{ACM/IEEE} International Workshop on System Level Interconnect Prediction, {SLIP} 2014, San Francisco, CA, USA, June 1, 2014}, pages = {6:1--6:7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1145/2633948.2633950}, doi = {10.1145/2633948.2633950}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/slip/ChanKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChanKLN13, author = {Tuck{-}Boon Chan and Andrew B. Kahng and Jiajia Li and Siddhartha Nath}, title = {Optimization of overdrive signoff}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {344--349}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509619}, doi = {10.1109/ASPDAC.2013.6509619}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChanKLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KahngNR13, author = {Andrew B. Kahng and Siddhartha Nath and Tajana Rosing}, title = {On potential design impacts of electromigration awareness}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {527--532}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509650}, doi = {10.1109/ASPDAC.2013.6509650}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KahngNR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KahngLN13, author = {Andrew B. Kahng and Bill Lin and Siddhartha Nath}, editor = {Enrico Macii}, title = {Enhanced metamodeling techniques for high-dimensional {IC} design estimation problems}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1861--1866}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.371}, doi = {10.7873/DATE.2013.371}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KahngLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngKN13, author = {Andrew B. Kahng and Ilgweon Kang and Siddhartha Nath}, editor = {J{\"{o}}rg Henkel}, title = {Incremental multiple-scan chain ordering for {ECO} flip-flop insertion}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, ICCAD'13, San Jose, CA, USA, November 18-21, 2013}, pages = {705--712}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCAD.2013.6691192}, doi = {10.1109/ICCAD.2013.6691192}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KahngKN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/KahngKLNW13, author = {Andrew B. Kahng and Seokhyeong Kang and Hyein Lee and Siddhartha Nath and Jyoti Wadhwani}, title = {Learning-based approximation of interconnect delay and slew in signoff timing tools}, booktitle = {{ACM/IEEE} International Workshop on System Level Interconnect Prediction, {SLIP} 2013, Austin, TX, USA, June 2, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SLIP.2013.6681682}, doi = {10.1109/SLIP.2013.6681682}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/KahngKLNW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/KahngLN13, author = {Andrew B. Kahng and Bill Lin and Siddhartha Nath}, title = {High-dimensional metamodeling for prediction of clock tree synthesis outcomes}, booktitle = {{ACM/IEEE} International Workshop on System Level Interconnect Prediction, {SLIP} 2013, Austin, TX, USA, June 2, 2013}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SLIP.2013.6681685}, doi = {10.1109/SLIP.2013.6681685}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/KahngLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/AroraNMBT12, author = {Manish Arora and Siddhartha Nath and Subhra Mazumdar and Scott B. Baden and Dean M. Tullsen}, title = {Redefining the Role of the {CPU} in the Era of {CPU-GPU} Integration}, journal = {{IEEE} Micro}, volume = {32}, number = {6}, pages = {4--16}, year = {2012}, url = {https://doi.org/10.1109/MM.2012.57}, doi = {10.1109/MM.2012.57}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/AroraNMBT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KahngLN12, author = {Andrew B. Kahng and Bill Lin and Siddhartha Nath}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Explicit modeling of control and data for improved NoC router estimation}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {392--397}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228430}, doi = {10.1145/2228360.2228430}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KahngLN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/Goulding-HottaSVGAHANBBST11, author = {Nathan Goulding{-}Hotta and Jack Sampson and Ganesh Venkatesh and Saturnino Garcia and Joe Auricchio and Po{-}Chao Huang and Manish Arora and Siddhartha Nath and Vikram Bhatt and Jonathan Babb and Steven Swanson and Michael B. Taylor}, title = {The GreenDroid Mobile Application Processor: An Architecture for Silicon's Dark Future}, journal = {{IEEE} Micro}, volume = {31}, number = {2}, pages = {86--95}, year = {2011}, url = {https://doi.org/10.1109/MM.2011.18}, doi = {10.1109/MM.2011.18}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/Goulding-HottaSVGAHANBBST11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.