Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Jan M. Rabaey
@article{DBLP:journals/tnn/KleykoKRSR23, author = {Denis Kleyko and Geethan Karunaratne and Jan M. Rabaey and Abu Sebastian and Abbas Rahimi}, title = {Generalized Key-Value Memory to Flexibly Adjust Redundancy in Memory-Augmented Networks}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {34}, number = {12}, pages = {10993--10998}, year = {2023}, url = {https://doi.org/10.1109/TNNLS.2022.3159445}, doi = {10.1109/TNNLS.2022.3159445}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/KleykoKRSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/DattaRLKSR23, author = {Sohum Datta and Brian C. Richards and Harrison Liew and Youbin Kim and Daniel Sun and Jan M. Rabaey}, title = {HDBinaryCore: {A} 28nm 2048-bit Hyper-Dimensional biosignal classifier achieving 25 nJ/prediction for {EMG} hand-gesture recognition}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {229--232}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268684}, doi = {10.1109/ESSCIRC59616.2023.10268684}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/DattaRLKSR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MenonOBNRAR23, author = {Alisha Menon and Laura Isabel Galindez Olascoaga and Vamshi Balanaga and Anirudh Natarajan and Jennifer Ruffing and Ryan Ardalan and Jan M. Rabaey}, title = {Shared Control of Assistive Robots through User-intent Prediction and Hyperdimensional Recall of Reactive Behavior}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {12638--12644}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161509}, doi = {10.1109/ICRA48891.2023.10161509}, timestamp = {Tue, 08 Aug 2023 10:24:29 +0200}, biburl = {https://dblp.org/rec/conf/icra/MenonOBNRAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MenonSLT0R23, author = {Alisha Menon and Meek Simbule and Harrison Liew and Adriel Tan and Daniel Sun and Jan M. Rabaey}, title = {Accelerating Hyperdimensional Computing with Vector Machines}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181701}, doi = {10.1109/ISCAS46773.2023.10181701}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MenonSLT0R23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/Rabaey23, author = {Jan M. Rabaey}, title = {Restoring the magic in design}, booktitle = {9th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2023, Monopoli, Bari, Italy, June 8-9, 2023}, pages = {6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IWASI58316.2023.10164559}, doi = {10.1109/IWASI58316.2023.10164559}, timestamp = {Mon, 10 Jul 2023 15:19:35 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/Rabaey23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/MorelBJRI23, author = {Aur{\'{e}}lien Morel and Anastasia Bolotnikova and Celinna Ju and Jan M. Rabaey and Auke Jan Ijspeert}, title = {End-to-End Planner for Self-Reconfigurable Modular Robots Collaborative Objects Manipulation, Transport and Handover to Human Application}, booktitle = {32nd {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2023, Busan, Republic of Korea, August 28-31, 2023}, pages = {470--476}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RO-MAN57019.2023.10309434}, doi = {10.1109/RO-MAN57019.2023.10309434}, timestamp = {Thu, 23 Nov 2023 21:16:36 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/MorelBJRI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/braininf/MenonNASALSR22, author = {Alisha Menon and Anirudh Natarajan and Reva Agashe and Daniel Sun and Melvin Aristio and Harrison Liew and Yakun Sophia Shao and Jan M. Rabaey}, title = {Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata}, journal = {Brain Informatics}, volume = {9}, number = {1}, pages = {14}, year = {2022}, url = {https://doi.org/10.1186/s40708-022-00162-8}, doi = {10.1186/S40708-022-00162-8}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/braininf/MenonNASALSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TochouBGCFKR22, author = {Guillaume Tochou and Robin Benarrouch and David Gaidioz and Andreia Cathelin and Antoine Frapp{\'{e}} and Andreas Kaiser and Jan M. Rabaey}, title = {A Sub-100-{\(\mu\)}W 0.1-to-27-Mb/s Pulse-Based Digital Transmitter for the Human Intranet in 28-nm {FD-SOI} {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {5}, pages = {1409--1420}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3140905}, doi = {10.1109/JSSC.2022.3140905}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TochouBGCFKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KleykoDFKKOORRR22, author = {Denis Kleyko and Mike Davies and Edward Paxon Frady and Pentti Kanerva and Spencer J. Kent and Bruno A. Olshausen and Evgeny Osipov and Jan M. Rabaey and Dmitri A. Rachkovskij and Abbas Rahimi and Friedrich T. Sommer}, title = {Vector Symbolic Architectures as a Computing Framework for Emerging Hardware}, journal = {Proc. {IEEE}}, volume = {110}, number = {10}, pages = {1538--1571}, year = {2022}, url = {https://doi.org/10.1109/JPROC.2022.3209104}, doi = {10.1109/JPROC.2022.3209104}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/KleykoDFKKOORRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/MenonSSLALR22, author = {Alisha Menon and Daniel Sun and Sarina Sabouri and Kyoungtae Lee and Melvin Aristio and Harrison Liew and Jan M. Rabaey}, title = {A Highly Energy-Efficient Hyperdimensional Computing Processor for Biosignal Classification}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {16}, number = {4}, pages = {524--534}, year = {2022}, url = {https://doi.org/10.1109/TBCAS.2022.3187944}, doi = {10.1109/TBCAS.2022.3187944}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/MenonSSLALR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/TochouCFKR22, author = {Guillaume Tochou and Andreia Cathelin and Antoine Frapp{\'{e}} and Andreas Kaiser and Jan M. Rabaey}, title = {Impact of Forward Body-Biasing on Ultra-Low Voltage Switched-Capacitor {RF} Power Amplifier in 28 nm {FD-SOI}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {1}, pages = {50--54}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2021.3088996}, doi = {10.1109/TCSII.2021.3088996}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/TochouCFKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/DattaR22, author = {Sohum Datta and Jan M. Rabaey}, title = {A probability-inspired normalization for fixed-precision Hyper-Dimensional Computing}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {21--24}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869986}, doi = {10.1109/AICAS54282.2022.9869986}, timestamp = {Fri, 16 Sep 2022 20:28:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/DattaR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/MenonOSRBR22, author = {Alisha Menon and Laura Isabel Galindez Olascoaga and Niki Shakouri and Jennifer Ruffing and Vamshi Balanaga and Jan M. Rabaey}, title = {Brain-inspired Multi-level Control of an Assistive Prosthetic Hand through {EMG} Task Recognition}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei, Taiwan, October 13-15, 2022}, pages = {384--388}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioCAS54905.2022.9948571}, doi = {10.1109/BIOCAS54905.2022.9948571}, timestamp = {Tue, 22 Nov 2022 09:54:51 +0100}, biburl = {https://dblp.org/rec/conf/biocas/MenonOSRBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/giots/FedrecheskiCARL22, author = {Geovane Fedrecheski and Laisa C. P. Costa and Samira Afzal and Jan M. Rabaey and Roseli D. Lopes and Marcelo Kn{\"{o}}rich Zuffo}, editor = {Aurora Gonz{\'{a}}lez{-}Vidal and Ahmed Mohamed Abdelgawad and Essaid Sabir and S{\'{e}}bastien Ziegler and Latif Ladid}, title = {A Low-Overhead Approach for Self-sovereign Identity in IoT}, booktitle = {Internet of Things - 5th The Global IoT Summit, GIoTS 2022, Dublin, Ireland, June 20-23, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13533}, pages = {265--276}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20936-9\_21}, doi = {10.1007/978-3-031-20936-9\_21}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/giots/FedrecheskiCARL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/MenonNOKBR22, author = {Alisha Menon and Anirudh Natarajan and Laura Isabel Galindez Olascoaga and Youbin Kim and Braeden C. Benedict and Jan M. Rabaey}, title = {On the Role of Hyperdimensional Computing for Behavioral Prioritization in Reactive Robot Navigation Tasks}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {7335--7341}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811939}, doi = {10.1109/ICRA46639.2022.9811939}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/MenonNOKBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-06223, author = {Denis Kleyko and Geethan Karunaratne and Jan M. Rabaey and Abu Sebastian and Abbas Rahimi}, title = {Generalized Key-Value Memory to Flexibly Adjust Redundancy in Memory-Augmented Networks}, journal = {CoRR}, volume = {abs/2203.06223}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.06223}, doi = {10.48550/ARXIV.2203.06223}, eprinttype = {arXiv}, eprint = {2203.06223}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-06223.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-02216, author = {Richard A. Gottscho and Edlyn V. Levine and Tsu{-}Jae King Liu and Paul C. McIntyre and Subhasish Mitra and Boris Murmann and Jan M. Rabaey and Sayeef S. Salahuddin and Willy C. Shih and H.{-}S. Philip Wong}, title = {Innovating at Speed and at Scale: {A} Next Generation Infrastructure for Accelerating Semiconductor Technologies}, journal = {CoRR}, volume = {abs/2204.02216}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.02216}, doi = {10.48550/ARXIV.2204.02216}, eprinttype = {arXiv}, eprint = {2204.02216}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-02216.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-13285, author = {Ping{-}Chen Huang and Denis Kleyko and Jan M. Rabaey and Bruno A. Olshausen and Pentti Kanerva}, title = {Computing with Hypervectors for Efficient Speaker Identification}, journal = {CoRR}, volume = {abs/2208.13285}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.13285}, doi = {10.48550/ARXIV.2208.13285}, eprinttype = {arXiv}, eprint = {2208.13285}, timestamp = {Thu, 01 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-13285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MoinTASR21, author = {Ali Moin and Arno Thielens and {\'{A}}lvaro Araujo and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {Adaptive Body Area Networks Using Kinematics and Biosignals}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {3}, pages = {623--633}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2020.3003924}, doi = {10.1109/JBHI.2020.3003924}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/MoinTASR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/MenonSALLR21, author = {Alisha Menon and Daniel Sun and Melvin Aristio and Harrison Liew and Kyoungtae Lee and Jan M. Rabaey}, editor = {Roland Thewes}, title = {A Highly Energy-Efficient Hyperdimensional Computing Processor for Wearable Multi-Modal Classification}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2021, Berlin, Germany, October 7-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BioCAS49922.2021.9645008}, doi = {10.1109/BIOCAS49922.2021.9645008}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/MenonSALLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/RabaeyAM21, author = {Jan M. Rabaey and Ana Claudia Arias and Rikky Muller}, title = {Architecting the Human Intranet}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {15--20}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567774}, doi = {10.1109/ESSCIRC53450.2021.9567774}, timestamp = {Thu, 28 Oct 2021 16:11:37 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/RabaeyAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/RabaeyAM21, author = {Jan M. Rabaey and Ana Claudia Arias and Rikky Muller}, title = {Architecting the Human Intranet}, booktitle = {51st {IEEE} European Solid-State Device Research Conference, {ESSDERC} 2021, Grenoble, France, September 13-22, 2021}, pages = {15--20}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSDERC53440.2021.9631787}, doi = {10.1109/ESSDERC53440.2021.9631787}, timestamp = {Fri, 24 Dec 2021 12:03:00 +0100}, biburl = {https://dblp.org/rec/conf/essderc/RabaeyAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/DiaoKRO21, author = {Cameron Diao and Denis Kleyko and Jan M. Rabaey and Bruno A. Olshausen}, title = {Generalized Learning Vector Quantization for Classification in Randomized Neural Networks and Hyperdimensional Computing}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9533316}, doi = {10.1109/IJCNN52387.2021.9533316}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/DiaoKRO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/Rabaey21, author = {Jan M. Rabaey}, editor = {Jens Lienig and Laleh Behjat and Stephen Yang}, title = {Of Brains and Computers}, booktitle = {{ISPD} '21: International Symposium on Physical Design, Virtual Event, USA, March 22-24, 2021}, pages = {109}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3439706.3446899}, doi = {10.1145/3439706.3446899}, timestamp = {Mon, 12 Apr 2021 09:09:55 +0200}, biburl = {https://dblp.org/rec/conf/ispd/Rabaey21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/SoltanaghaeiPBA21, author = {Elahe Soltanaghaei and Akarsh Prabhakara and Artur Balanuta and Matthew G. Anderson and Jan M. Rabaey and Swarun Kumar and Anthony G. Rowe}, title = {Millimetro: mmWave retro-reflective tags for accurate, long range localization}, booktitle = {{ACM} MobiCom '21: The 27th Annual International Conference on Mobile Computing and Networking, New Orleans, Louisiana, USA, October 25-29, 2021}, pages = {69--82}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447993.3448627}, doi = {10.1145/3447993.3448627}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/SoltanaghaeiPBA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-05715, author = {Sai Aparna Aketi and Amandeep Singh and Jan M. Rabaey}, title = {Sparse-Push: Communication- {\&} Energy-Efficient Decentralized Distributed Learning over Directed {\&} Time-Varying Graphs with non-IID Datasets}, journal = {CoRR}, volume = {abs/2102.05715}, year = {2021}, url = {https://arxiv.org/abs/2102.05715}, eprinttype = {arXiv}, eprint = {2102.05715}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-05715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-05267, author = {Andy Zhou and Rikky Muller and Jan M. Rabaey}, title = {Memory-Efficient, Limb Position-Aware Hand Gesture Recognition using Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2103.05267}, year = {2021}, url = {https://arxiv.org/abs/2103.05267}, eprinttype = {arXiv}, eprint = {2103.05267}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-05267.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-02804, author = {Alisha Menon and Anirudh Natarajan and Reva Agashe and Daniel Sun and Melvin Aristio and Harrison Liew and Yakun Sophia Shao and Jan M. Rabaey}, title = {Efficient emotion recognition using hyperdimensional computing with combinatorial channel encoding and cellular automata}, journal = {CoRR}, volume = {abs/2104.02804}, year = {2021}, url = {https://arxiv.org/abs/2104.02804}, eprinttype = {arXiv}, eprint = {2104.02804}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-02804.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-05268, author = {Denis Kleyko and Mike Davies and Edward Paxon Frady and Pentti Kanerva and Spencer J. Kent and Bruno A. Olshausen and Evgeny Osipov and Jan M. Rabaey and Dmitri A. Rachkovskij and Abbas Rahimi and Friedrich T. Sommer}, title = {Vector Symbolic Architectures as a Computing Framework for Nanoscale Hardware}, journal = {CoRR}, volume = {abs/2106.05268}, year = {2021}, url = {https://arxiv.org/abs/2106.05268}, eprinttype = {arXiv}, eprint = {2106.05268}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-05268.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-09821, author = {Cameron Diao and Denis Kleyko and Jan M. Rabaey and Bruno A. Olshausen}, title = {Generalized Learning Vector Quantization for Classification in Randomized Neural Networks and Hyperdimensional Computing}, journal = {CoRR}, volume = {abs/2106.09821}, year = {2021}, url = {https://arxiv.org/abs/2106.09821}, eprinttype = {arXiv}, eprint = {2106.09821}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-09821.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-10232, author = {Geovane Fedrecheski and Laisa C. P. Costa and Samira Afzal and Jan M. Rabaey and Roseli D. Lopes and Marcelo Kn{\"{o}}rich Zuffo}, title = {A low-overhead approach for self-sovereign identity in IoT}, journal = {CoRR}, volume = {abs/2107.10232}, year = {2021}, url = {https://arxiv.org/abs/2107.10232}, eprinttype = {arXiv}, eprint = {2107.10232}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-10232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SoltBTFFCKR20, author = {Flavien Solt and Robin Benarrouch and Guillaume Tochou and Oliv{\'{e}}r Facklam and Antoine Frapp{\'{e}} and Andreia Cathelin and Andreas Kaiser and Jan M. Rabaey}, title = {Energy Efficient Heartbeat-Based {MAC} Protocol for {WBAN} Employing Body Coupled Communication}, journal = {{IEEE} Access}, volume = {8}, pages = {182966--182983}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3028800}, doi = {10.1109/ACCESS.2020.3028800}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SoltBTFFCKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/RahimiTKMR20, author = {Abbas Rahimi and Artiom Tchouprina and Pentti Kanerva and Jos{\'{e}} del R. Mill{\'{a}}n and Jan M. Rabaey}, title = {Hyperdimensional Computing for Blind and One-Shot Classification of {EEG} Error-Related Potentials}, journal = {Mob. Networks Appl.}, volume = {25}, number = {5}, pages = {1958--1969}, year = {2020}, url = {https://doi.org/10.1007/s11036-017-0942-6}, doi = {10.1007/S11036-017-0942-6}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/RahimiTKMR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ImaniBDRSRR20, author = {Mohsen Imani and Samuel Bosch and Sohum Datta and Sharadhi Ramakrishna and Sahand Salamat and Jan M. Rabaey and Tajana Rosing}, title = {QuantHD: {A} Quantization Framework for Hyperdimensional Computing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {10}, pages = {2268--2278}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2019.2954472}, doi = {10.1109/TCAD.2019.2954472}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ImaniBDRSRR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/Rabaey20, author = {Jan M. Rabaey}, title = {Human-Centric Computing}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {1}, pages = {3--11}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2019.2956529}, doi = {10.1109/TVLSI.2019.2956529}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/Rabaey20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/giots/FedrecheskiRCCP20, author = {Geovane Fedrecheski and Jan M. Rabaey and Laisa C. P. Costa and Pablo C. Calcina{-}Ccori and William T. Pereira and Marcelo Kn{\"{o}}rich Zuffo}, title = {Self-Sovereign Identity for IoT environments: {A} Perspective}, booktitle = {2020 Global Internet of Things Summit, GIoTS 2020, Dublin, Ireland, June 3, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GIOTS49054.2020.9119664}, doi = {10.1109/GIOTS49054.2020.9119664}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/giots/FedrecheskiRCCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BenarrouchMSFCK20, author = {Robin Benarrouch and Ali Moin and Flavien Solt and Antoine Frapp{\'{e}} and Andreia Cathelin and Andreas Kaiser and Jan M. Rabaey}, title = {Heartbeat-Based Synchronization Scheme for the Human Intranet: Modeling and Analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180427}, doi = {10.1109/ISCAS45731.2020.9180427}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BenarrouchMSFCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-05106, author = {Geovane Fedrecheski and Jan M. Rabaey and Laisa C. P. Costa and Pablo C. Calcina{-}Ccori and William T. Pereira and Marcelo Kn{\"{o}}rich Zuffo}, title = {Self-Sovereign Identity for IoT environments: {A} Perspective}, journal = {CoRR}, volume = {abs/2003.05106}, year = {2020}, url = {https://arxiv.org/abs/2003.05106}, eprinttype = {arXiv}, eprint = {2003.05106}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-05106.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-02434, author = {Randy Bryant and Mark D. Hill and Tom Kazior and Daniel Lee and Jie Liu and Klara Nahrstedt and Vijay Narayanan and Jan M. Rabaey and Hava T. Siegelmann and Naresh R. Shanbhag and Naveen Verma and H.{-}S. Philip Wong}, title = {Nanotechnology-inspired Information Processing Systems of the Future}, journal = {CoRR}, volume = {abs/2005.02434}, year = {2020}, url = {https://arxiv.org/abs/2005.02434}, eprinttype = {arXiv}, eprint = {2005.02434}, timestamp = {Sat, 09 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-02434.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-05915, author = {Robin Benarrouch and Ali Moin and Flavien Solt and Antoine Frapp{\'{e}} and Andreia Cathelin and Andreas Kaiser and Jan M. Rabaey}, title = {Heartbeat-Based Synchronization Scheme for the Human Intranet: Modeling and Analysis}, journal = {CoRR}, volume = {abs/2005.05915}, year = {2020}, url = {https://arxiv.org/abs/2005.05915}, eprinttype = {arXiv}, eprint = {2005.05915}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-05915.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/DattaAIR19, author = {Sohum Datta and Ryan Albert G. Antonio and Aldrin R. S. Ison and Jan M. Rabaey}, title = {A Programmable Hyper-Dimensional Processor Architecture for Human-Centric IoT}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {3}, pages = {439--452}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2935464}, doi = {10.1109/JETCAS.2019.2935464}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/DattaAIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/RahimiKBR19, author = {Abbas Rahimi and Pentti Kanerva and Luca Benini and Jan M. Rabaey}, title = {Efficient Biosignal Processing Using Hyperdimensional Computing: Network Templates for Combined Learning and Classification of ExG Signals}, journal = {Proc. {IEEE}}, volume = {107}, number = {1}, pages = {123--143}, year = {2019}, url = {https://doi.org/10.1109/JPROC.2018.2871163}, doi = {10.1109/JPROC.2018.2871163}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/RahimiKBR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LiDR19, author = {Wen Li and Yida Duan and Jan M. Rabaey}, title = {A 200-Mb/s Energy Efficient Transcranial Transmitter Using Inductive Coupling}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {13}, number = {2}, pages = {435--443}, year = {2019}, url = {https://doi.org/10.1109/TBCAS.2018.2889802}, doi = {10.1109/TBCAS.2018.2889802}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LiDR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/AlexandrovR19, author = {George Alexandrov and Jan M. Rabaey}, title = {Wireless Power Transfer to Randomly Distributed Implants via Homogeneous Magnetic Fields}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8918735}, doi = {10.1109/BIOCAS.2019.8918735}, timestamp = {Mon, 16 Dec 2019 13:05:43 +0100}, biburl = {https://dblp.org/rec/conf/biocas/AlexandrovR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/MoinZBRBR19, author = {Ali Moin and Andy Zhou and Simone Benatti and Abbas Rahimi and Luca Benini and Jan M. Rabaey}, title = {Analysis of Contraction Effort Level in EMG-Based Gesture Recognition Using Hyperdimensional Computing}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8919214}, doi = {10.1109/BIOCAS.2019.8919214}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/MoinZBRBR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/BenarrouchTCFKR19, author = {Robin Benarrouch and Arno Thielens and Andreia Cathelin and Antoine Frapp{\'{e}} and Andreas Kaiser and Jan M. Rabaey}, editor = {Lorenzo Mucchi and Matti H{\"{a}}m{\"{a}}l{\"{a}}inen and Sara Jayousi and Simone Morosi}, title = {Capacitive Body-Coupled Communication in the 400-500 MHz Frequency Band}, booktitle = {Body Area Networks. Smart IoT and Big Data for Intelligent Health Management - 14th {EAI} International Conference, {BODYNETS} 2019, Florence, Italy, October 2-3, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {297}, pages = {218--235}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-34833-5\_18}, doi = {10.1007/978-3-030-34833-5\_18}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bodynets/BenarrouchTCFKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/ThielensAMJR19, author = {Arno Thielens and Reza Aminzadeh and Luc Martens and Wout Joseph and Jan M. Rabaey}, editor = {Lorenzo Mucchi and Matti H{\"{a}}m{\"{a}}l{\"{a}}inen and Sara Jayousi and Simone Morosi}, title = {Channel Gain for a Wrist-to-Arm Scenario in the 55-65 GHz Frequency Band}, booktitle = {Body Area Networks. Smart IoT and Big Data for Intelligent Health Management - 14th {EAI} International Conference, {BODYNETS} 2019, Florence, Italy, October 2-3, 2019, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {297}, pages = {349--359}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-34833-5\_26}, doi = {10.1007/978-3-030-34833-5\_26}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/ThielensAMJR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismict/ThielensBATAR19, author = {Arno Thielens and Carol Baumbauer and Matthew G. Anderson and Jonathan Ting and Ana C. Arias and Jan M. Rabaey}, title = {Feasability of On-Body Backscattering in the {UHF-RFID} Band using Screen-Printed Dipole Antennas}, booktitle = {13th International Symposium on Medical Information and Communication Technology, {ISMICT} 2019, Oslo, Norway, May 8-10, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISMICT.2019.8743864}, doi = {10.1109/ISMICT.2019.8743864}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismict/ThielensBATAR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/BaumbauerTTRA19, author = {Carol Baumbauer and Jonathan Ting and Arno Thielens and Jan M. Rabaey and Ana Claudia Arias}, title = {Towards Wireless Flexible Printed Wearable Sensors}, booktitle = {{IEEE} 8th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2019, Otranto, Italy, June 13-14, 2019}, pages = {1}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWASI.2019.8791367}, doi = {10.1109/IWASI.2019.8791367}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/BaumbauerTTRA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/Rabaey19, author = {Jan M. Rabaey}, title = {Towards Wireless Flexible Printed Wearable Sensors}, booktitle = {{IEEE} 8th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2019, Otranto, Italy, June 13-14, 2019}, pages = {20}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWASI.2019.8791272}, doi = {10.1109/IWASI.2019.8791272}, timestamp = {Thu, 15 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/Rabaey19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-00234, author = {Ali Moin and Andy Zhou and Simone Benatti and Abbas Rahimi and George Alexandrov and Alisha Menon and Senam Tamakloe and Jonathan Ting and Natasha Yamamoto and Yasser Khan and Fred L. Burghardt and Ana C. Arias and Luca Benini and Jan M. Rabaey}, title = {Adaptive EMG-based hand gesture recognition using hyperdimensional computing}, journal = {CoRR}, volume = {abs/1901.00234}, year = {2019}, url = {http://arxiv.org/abs/1901.00234}, eprinttype = {arXiv}, eprint = {1901.00234}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-00234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ThielensDAAR18, author = {Arno Thielens and Igal Deckman and Reza Aminzadeh and Ana C. Arias and Jan M. Rabaey}, title = {Fabrication and Characterization of Flexible Spray-Coated Antennas}, journal = {{IEEE} Access}, volume = {6}, pages = {62050--62061}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2876286}, doi = {10.1109/ACCESS.2018.2876286}, timestamp = {Sat, 01 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ThielensDAAR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/Rabaey18, author = {Jan M. Rabaey}, title = {Towards {TRUE} human-centric computation}, journal = {Comput. Commun.}, volume = {131}, pages = {73--76}, year = {2018}, url = {https://doi.org/10.1016/j.comcom.2018.07.003}, doi = {10.1016/J.COMCOM.2018.07.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/Rabaey18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/HuangR18, author = {Ping{-}Chen Huang and Jan M. Rabaey}, title = {A Neuro-Inspired Spike Pattern Classifier}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {8}, number = {3}, pages = {555--565}, year = {2018}, url = {https://doi.org/10.1109/JETCAS.2018.2842035}, doi = {10.1109/JETCAS.2018.2842035}, timestamp = {Wed, 03 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/HuangR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SutardjaR18, author = {Christopher Sutardja and Jan M. Rabaey}, title = {Isolator-Less Near-Field {RFID} Reader for Sub-Cranial Powering/Data Link of Millimeter-Sized Implants}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {2032--2042}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2822673}, doi = {10.1109/JSSC.2018.2822673}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SutardjaR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuLHRHHHRWSM18, author = {Tony F. Wu and Haitong Li and Ping{-}Chen Huang and Abbas Rahimi and Gage Hills and Bryce Hodson and William Hwang and Jan M. Rabaey and H.{-}S. Philip Wong and Max M. Shulaker and Subhasish Mitra}, title = {Hyperdimensional Computing Exploiting Carbon Nanotube FETs, Resistive RAM, and Their Monolithic 3D Integration}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {11}, pages = {3183--3196}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2870560}, doi = {10.1109/JSSC.2018.2870560}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WuLHRHHHRWSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ThielensBWAMCR18, author = {Arno Thielens and Robin Benarrouch and Stijn Wielandt and Matthew G. Anderson and Ali Moin and Andreia Cathelin and Jan M. Rabaey}, title = {A Comparative Study of On-Body Radio-Frequency Links in the 420 MHz-2.4 GHz Range}, journal = {Sensors}, volume = {18}, number = {12}, pages = {4165}, year = {2018}, url = {https://doi.org/10.3390/s18124165}, doi = {10.3390/S18124165}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ThielensBWAMCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/VenutoMR18, author = {Daniela De Venuto and Giovanni Mezzina and Jan M. Rabaey}, title = {Automatic 3D Design for Efficiency Optimization of a Class {E} Power Amplifier}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {2}, pages = {201--205}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2017.2765249}, doi = {10.1109/TCSII.2017.2765249}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/VenutoMR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimR18, author = {Nam{-}Seog Kim and Jan M. Rabaey}, title = {A Dual-Resolution Wavelet-Based Energy Detection Spectrum Sensing for UWB-Based Cognitive Radios}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {65-I}, number = {7}, pages = {2279--2292}, year = {2018}, url = {https://doi.org/10.1109/TCSI.2017.2781542}, doi = {10.1109/TCSI.2017.2781542}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HuangR18, author = {Ping{-}Chen Huang and Jan M. Rabaey}, title = {A 213-nW/Channel Analog Euclidian Vector Normalizer}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {12}, pages = {1909--1913}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2018.2823716}, doi = {10.1109/TCSII.2018.2823716}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HuangR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/KleykoRROR18, author = {Denis Kleyko and Abbas Rahimi and Dmitri A. Rachkovskij and Evgeny Osipov and Jan M. Rabaey}, title = {Classification and Recall With Binary Hyperdimensional Computing: Tradeoffs in Choice of Density and Mapping Characteristics}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {29}, number = {12}, pages = {5880--5898}, year = {2018}, url = {https://doi.org/10.1109/TNNLS.2018.2814400}, doi = {10.1109/TNNLS.2018.2814400}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/KleykoRROR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoinZRBMTTYKBBA18, author = {Ali Moin and Andy Zhou and Abbas Rahimi and Simone Benatti and Alisha Menon and Senam Tamakloe and Jonathan Ting and Natasha Yamamoto and Yasser Khan and Fred L. Burghardt and Luca Benini and Ana C. Arias and Jan M. Rabaey}, title = {An {EMG} Gesture Recognition System with Flexible High-Density Sensors and Brain-Inspired High-Dimensional Classifier}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351613}, doi = {10.1109/ISCAS.2018.8351613}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MoinZRBMTTYKBBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiDR18, author = {Wen Li and Yida Duan and Jan M. Rabaey}, title = {A 200Mb/s inductively coupled wireless transcranial transceiver achieving 5e-11 {BER} and 1.5pJ/b transmit energy efficiency}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {290--292}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310298}, doi = {10.1109/ISSCC.2018.8310298}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiDR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuLHRRWSM18, author = {Tony F. Wu and Haitong Li and Ping{-}Chen Huang and Abbas Rahimi and Jan M. Rabaey and H.{-}S. Philip Wong and Max M. Shulaker and Subhasish Mitra}, title = {Brain-inspired computing exploiting carbon nanotube FETs and resistive {RAM:} Hyperdimensional computing case study}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {492--494}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310399}, doi = {10.1109/ISSCC.2018.8310399}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WuLHRRWSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/Rabaey18, author = {Jan M. Rabaey}, title = {Homo technologicus}, booktitle = {2018 International Symposium on {VLSI} Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, April 16-19, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSI-DAT.2018.8373277}, doi = {10.1109/VLSI-DAT.2018.8373277}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/Rabaey18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-10237, author = {Ali Moin and Andy Zhou and Abbas Rahimi and Simone Benatti and Alisha Menon and Senam Tamakloe and Jonathan Ting and Natasha Yamamoto and Yasser Khan and Fred L. Burghardt and Luca Benini and Ana C. Arias and Jan M. Rabaey}, title = {An {EMG} Gesture Recognition System with Flexible High-Density Sensors and Brain-Inspired High-Dimensional Classifier}, journal = {CoRR}, volume = {abs/1802.10237}, year = {2018}, url = {http://arxiv.org/abs/1802.10237}, eprinttype = {arXiv}, eprint = {1802.10237}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-10237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-09723, author = {Ali Moin and Arno Thielens and {\'{A}}lvaro Araujo and Jan M. Rabaey}, title = {Adaptivity to Enable an Efficient and Robust Human Intranet}, journal = {CoRR}, volume = {abs/1807.09723}, year = {2018}, url = {http://arxiv.org/abs/1807.09723}, eprinttype = {arXiv}, eprint = {1807.09723}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-09723.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-09557, author = {Abbas Rahimi and Tony F. Wu and Haitong Li and Jan M. Rabaey and H.{-}S. Philip Wong and Max M. Shulaker and Subhasish Mitra}, title = {Hyperdimensional Computing Nanosystem}, journal = {CoRR}, volume = {abs/1811.09557}, year = {2018}, url = {http://arxiv.org/abs/1811.09557}, eprinttype = {arXiv}, eprint = {1811.09557}, timestamp = {Sat, 01 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-09557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YedavalliRWR17, author = {Pavan S. Yedavalli and Taneli Riihonen and Xiaodong Wang and Jan M. Rabaey}, title = {Far-Field {RF} Wireless Power Transfer with Blind Adaptive Beamforming for Internet of Things Devices}, journal = {{IEEE} Access}, volume = {5}, pages = {1743--1752}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2666299}, doi = {10.1109/ACCESS.2017.2666299}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YedavalliRWR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ImaniHRRR17, author = {Mohsen Imani and John Hwang and Tajana Rosing and Abbas Rahimi and Jan M. Rabaey}, title = {Low-Power Sparse Hyperdimensional Encoder for Language Recognition}, journal = {{IEEE} Des. Test}, volume = {34}, number = {6}, pages = {94--101}, year = {2017}, url = {https://doi.org/10.1109/MDAT.2017.2740839}, doi = {10.1109/MDAT.2017.2740839}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ImaniHRRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/MaharbizMARC17, author = {Michel M. Maharbiz and Rikky Muller and Elad Alon and Jan M. Rabaey and Jose M. Carmena}, title = {Reliable Next-Generation Cortical Interfaces for Chronic Brain-Machine Interfaces and Neuroscience}, journal = {Proc. {IEEE}}, volume = {105}, number = {1}, pages = {73--82}, year = {2017}, url = {https://doi.org/10.1109/JPROC.2016.2574938}, doi = {10.1109/JPROC.2016.2574938}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/MaharbizMARC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/RahimiDKFOKR17, author = {Abbas Rahimi and Sohum Datta and Denis Kleyko and Edward Paxon Frady and Bruno A. Olshausen and Pentti Kanerva and Jan M. Rabaey}, title = {High-Dimensional Computing as a Nanoscalable Paradigm}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {9}, pages = {2508--2521}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2705051}, doi = {10.1109/TCSI.2017.2705051}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/RahimiDKFOKR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HuangR17, author = {Ping{-}Chen Huang and Jan M. Rabaey}, title = {A Bio-Inspired Analog Gas Sensing Front End}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {9}, pages = {2611--2623}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2697945}, doi = {10.1109/TCSI.2017.2697945}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HuangR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/FirouziFKRB17, author = {Farshad Firouzi and Bahar J. Farahani and Andrew B. Kahng and Jan M. Rabaey and Natasha Balac}, title = {Guest Editorial: Alternative Computing and Machine Learning for Internet of Things}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {10}, pages = {2685--2687}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2742098}, doi = {10.1109/TVLSI.2017.2742098}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/FirouziFKRB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PatilSVPWMRWPMN17, author = {Ameya Patil and Naresh R. Shanbhag and Lav R. Varshney and Eric Pop and H.{-}S. Philip Wong and Subhasish Mitra and Jan M. Rabaey and Jeffrey A. Weldon and Larry T. Pileggi and Sasikanth Manipatruni and Dmitri E. Nikonov and Ian A. Young}, title = {A Systems Approach to Computing in Beyond {CMOS} Fabrics: Invited}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {18:1--18:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3072943}, doi = {10.1145/3061639.3072943}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PatilSVPWMRWPMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoinNSR17, author = {Ali Moin and Pierluigi Nuzzo and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {Optimized Design of a Human Intranet Network}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {30:1--30:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062296}, doi = {10.1145/3061639.3062296}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MoinNSR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/SutardjaR17, author = {Christopher Sutardja and Jan M. Rabaey}, title = {Isolator-less near-field {RFID} reader for sub-cranial powering/data link of mm-sized implants}, booktitle = {43rd {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {372--375}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSCIRC.2017.8094603}, doi = {10.1109/ESSCIRC.2017.8094603}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/SutardjaR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/BertrandSCMAR17, author = {Alexander Bertrand and Dongjin Seo and Jose M. Carmena and Michel M. Maharbiz and Elad Alon and Jan M. Rabaey}, title = {Blind parallel interrogation of ultrasonic neural dust motes based on canonical polyadic decomposition: {A} simulation study}, booktitle = {25th European Signal Processing Conference, {EUSIPCO} 2017, Kos, Greece, August 28 - September 2, 2017}, pages = {2066--2070}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/EUSIPCO.2017.8081573}, doi = {10.23919/EUSIPCO.2017.8081573}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/BertrandSCMAR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ImaniRKRR17, author = {Mohsen Imani and Abbas Rahimi and Deqian Kong and Tajana Rosing and Jan M. Rabaey}, title = {Exploring Hyperdimensional Associative Memory}, booktitle = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {445--456}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HPCA.2017.28}, doi = {10.1109/HPCA.2017.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ImaniRKRR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/LemicHMRWW17, author = {Filip Lemic and Vlado Handziski and Mladen Miksa and Jan M. Rabaey and John Wawrzynek and Adam Wolisz}, title = {Selection and Aggregation of Location Information Provisioning Services}, booktitle = {26th International Conference on Computer Communication and Networks, {ICCCN} 2017, Vancouver, BC, Canada, July 31 - Aug. 3, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCCN.2017.8038404}, doi = {10.1109/ICCCN.2017.8038404}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/LemicHMRWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipin/LemicHAWRW17, author = {Filip Lemic and Vlado Handziski and Ivan Azcarate and John Wawrzynek and Jan M. Rabaey and Adam Wolisz}, title = {{SLSR:} {A} flexible middleware localization service architecture}, booktitle = {2017 International Conference on Indoor Positioning and Indoor Navigation, {IPIN} 2017, Sapporo, Japan, September 18-21, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IPIN.2017.8115907}, doi = {10.1109/IPIN.2017.8115907}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ipin/LemicHAWRW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PelleranoCR17, author = {Stefano Pellerano and Sungdae Choi and Jan M. Rabaey}, title = {{EE2:} Intelligent machines: Will the technological singularity happen?}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {521}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870486}, doi = {10.1109/ISSCC.2017.7870486}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PelleranoCR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/VenutoR17, author = {Daniela De Venuto and Jan M. Rabaey}, title = {Design and characterization of a 65nm {CMOS} wireless {RFID} reader for ECoG tag}, booktitle = {7th {IEEE} International Workshop on Advances in Sensors and Interfaces, {IWASI} 2017, Vieste, Italy, June 15-16, 2017}, pages = {9--14}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWASI.2017.7974201}, doi = {10.1109/IWASI.2017.7974201}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/VenutoR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/RabaeyRDRKO17, author = {Jan M. Rabaey and Abbas Rahimi and Sohum Datta and Miles Rusch and Pentti Kanerva and Bruno A. Olshausen}, title = {Human-centric computing - The case for a Hyper-Dimensional approach}, booktitle = {7th {IEEE} International Workshop on Advances in Sensors and Interfaces, {IWASI} 2017, Vieste, Italy, June 15-16, 2017}, pages = {29}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWASI.2017.7974205}, doi = {10.1109/IWASI.2017.7974205}, timestamp = {Fri, 16 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/RabaeyRDRKO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/GanesanGRG16, author = {Karthik Ganesan and Pulkit Grover and Jan M. Rabaey and Andrea Goldsmith}, title = {On the Total Power Capacity of Regular-LDPC Codes With Iterative Message-Passing Decoders}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {34}, number = {2}, pages = {375--396}, year = {2016}, url = {https://doi.org/10.1109/JSAC.2015.2504276}, doi = {10.1109/JSAC.2015.2504276}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/GanesanGRG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimR16, author = {Nam{-}Seog Kim and Jan M. Rabaey}, title = {A High Data-Rate Energy-Efficient Triple-Channel UWB-Based Cognitive Radio}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {4}, pages = {809--820}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2512934}, doi = {10.1109/JSSC.2015.2512934}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SalazarCKR16, author = {Camilo Salazar and Andreia Cathelin and Andreas Kaiser and Jan M. Rabaey}, title = {A 2.4 GHz Interferer-Resilient Wake-Up Receiver Using {A} Dual-IF Multi-Stage N-Path Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {9}, pages = {2091--2105}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2582509}, doi = {10.1109/JSSC.2016.2582509}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SalazarCKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/CausoBFCFKBR16, author = {M. Causo and Simone Benatti and Antoine Frapp{\'{e}} and Andreia Cathelin and Elisabetta Farella and Andreas Kaiser and Luca Benini and Jan M. Rabaey}, title = {Sampling modulation: An energy efficient novel feature extraction for biosignal processing}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, pages = {348--351}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BioCAS.2016.7833803}, doi = {10.1109/BIOCAS.2016.7833803}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/CausoBFCFKBR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/MoinAJIBSPAMR16, author = {Ali Moin and George Alexandrov and Benjamin C. Johnson and Igor I. Izyumin and Fred L. Burghardt and Kedar Shah and Sat Pannu and Elad Alon and Rikky Muller and Jan M. Rabaey}, title = {Powering and communication for {OMNI:} {A} distributed and modular closed-loop neuromodulation device}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {4471--4474}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591720}, doi = {10.1109/EMBC.2016.7591720}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/MoinAJIBSPAMR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icrc/RahimiBKBR16, author = {Abbas Rahimi and Simone Benatti and Pentti Kanerva and Luca Benini and Jan M. Rabaey}, title = {Hyperdimensional biosignal processing: {A} case study for EMG-based hand gesture recognition}, booktitle = {{IEEE} International Conference on Rebooting Computing, {ICRC} 2016, San Diego, CA, USA, October 17-19, 2016}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICRC.2016.7738683}, doi = {10.1109/ICRC.2016.7738683}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icrc/RahimiBKBR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipin/LemicHMRWW16, author = {Filip Lemic and Vlado Handziski and Nitesh Mor and Jan M. Rabaey and John Wawrzynek and Adam Wolisz}, title = {Toward standardized localization service}, booktitle = {International Conference on Indoor Positioning and Indoor Navigation, {IPIN} 2016, Alcala de Henares, Spain, October 4-7, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IPIN.2016.7743687}, doi = {10.1109/IPIN.2016.7743687}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ipin/LemicHMRWW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/RahimiKR16, author = {Abbas Rahimi and Pentti Kanerva and Jan M. Rabaey}, title = {A Robust and Energy-Efficient Classifier Using Brain-Inspired Hyperdimensional Computing}, booktitle = {Proceedings of the 2016 International Symposium on Low Power Electronics and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016}, pages = {64--69}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2934583.2934624}, doi = {10.1145/2934583.2934624}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/RahimiKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/SabryGHLPSWABFG15, author = {Mohamed M. Sabry and Mingyu Gao and Gage Hills and Chi{-}Shuen Lee and Greg Pitner and Max M. Shulaker and Tony F. Wu and Mehdi Asheghi and Jeffrey Bokor and Franz Franchetti and Kenneth E. Goodson and Christos Kozyrakis and Igor L. Markov and Kunle Olukotun and Larry T. Pileggi and Eric Pop and Jan M. Rabaey and Christopher R{\'{e}} and H.{-}S. Philip Wong and Subhasish Mitra}, title = {Energy-Efficient Abundant-Data Computing: The {N3XT} 1, 000x}, journal = {Computer}, volume = {48}, number = {12}, pages = {24--33}, year = {2015}, url = {https://doi.org/10.1109/MC.2015.376}, doi = {10.1109/MC.2015.376}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/SabryGHLPSWABFG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MullerLLLGBKCMAR15, author = {Rikky Muller and Hanh{-}Phuc Le and Wen Li and Peter Ledochowitsch and Simone Gambini and Toni Bj{\"{o}}rninen and Aaron C. Koralek and Jose M. Carmena and Michel M. Maharbiz and Elad Alon and Jan M. Rabaey}, title = {A Minimally Invasive 64-Channel Wireless {\(\mu\)}ECoG Implant}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {344--359}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2364824}, doi = {10.1109/JSSC.2014.2364824}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MullerLLLGBKCMAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BiedermanYNLNCA15, author = {William Biederman and Daniel J. Yeager and Nathan Narevsky and Jaclyn Leverett and Ryan Neely and Jose M. Carmena and Elad Alon and Jan M. Rabaey}, title = {A 4.78 mm 2 Fully-Integrated Neuromodulation SoC Combining 64 Acquisition Channels With Digital Compression and Simultaneous Dual Stimulation}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {4}, pages = {1038--1047}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2384736}, doi = {10.1109/JSSC.2014.2384736}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BiedermanYNLNCA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pervasive/Rabaey15, author = {Jan M. Rabaey}, title = {The Human Intranet-Where Swarms and Humans Meet}, journal = {{IEEE} Pervasive Comput.}, volume = {14}, number = {1}, pages = {78--83}, year = {2015}, url = {https://doi.org/10.1109/MPRV.2015.20}, doi = {10.1109/MPRV.2015.20}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pervasive/Rabaey15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/CostaRWRZ15, author = {Laisa C. P. Costa and Jan M. Rabaey and Adam Wolisz and Max Rosan and Marcelo Kn{\"{o}}rich Zuffo}, title = {Swarm os control plane: an architecture proposal for heterogeneous and organic networks}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {61}, number = {4}, pages = {454--462}, year = {2015}, url = {https://doi.org/10.1109/TCE.2015.7389799}, doi = {10.1109/TCE.2015.7389799}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/CostaRWRZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsweek/GraffRJPMR15, author = {Daniel Graff and Daniel R{\"{o}}hrig and Rico Jasper and Helge Parzyjegla and Gero M{\"{u}}hl and Jan M. Rabaey}, editor = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Operating system support for mobile robot swarms}, booktitle = {Proceedings of the Second International Workshop on the Swarm at the Edge of the Cloud, SWEC@CPSWeek 2015, Seattle, Washington, USA, April 13-16, 2015}, pages = {7--12}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2756755.2756757}, doi = {10.1145/2756755.2756757}, timestamp = {Tue, 06 Nov 2018 16:59:05 +0100}, biburl = {https://dblp.org/rec/conf/cpsweek/GraffRJPMR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsweek/CostaCRZW15, author = {Laisa Caroline de Paula Costa and Pablo Calcina and Jan M. Rabaey and Marcelo Zuffo and Adam Wolisz}, editor = {Alberto L. Sangiovanni{-}Vincentelli}, title = {Semantic swarm}, booktitle = {Proceedings of the Second International Workshop on the Swarm at the Edge of the Cloud, SWEC@CPSWeek 2015, Seattle, Washington, USA, April 13-16, 2015}, pages = {34--41}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2756755.2756761}, doi = {10.1145/2756755.2756761}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpsweek/CostaCRZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Rabaey15, author = {Jan M. Rabaey}, editor = {Wolfgang Nebel and David Atienza}, title = {The human intranet: where swarms and humans meet}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {637--640}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755899}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Rabaey15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SeoTCRABM15, author = {Dongjin Seo and Hao{-}Yen Tang and Jose M. Carmena and Jan M. Rabaey and Elad Alon and Bernhard E. Boser and Michel M. Maharbiz}, title = {Ultrasonic beamforming system for interrogating multiple implantable sensors}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {2673--2676}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318942}, doi = {10.1109/EMBC.2015.7318942}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/SeoTCRABM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KimR15, author = {Nam{-}Seog Kim and Jan M. Rabaey}, editor = {Wolfgang Pribyl and Franz Dielacher and Gernot Hueber}, title = {A 3.1-10.6GHz wavelet-based dual-resolution spectrum sensing with harmonic rejection mixers}, booktitle = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}, pages = {303--306}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSCIRC.2015.7313887}, doi = {10.1109/ESSCIRC.2015.7313887}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KimR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/CostaRWCZ15, author = {Laisa C. P. Costa and Jan M. Rabaey and Adam Wolisz and Gustavo Moreira Calixto and Marcelo Kn{\"{o}}rich Zuffo}, title = {Swarm {OS} control plane: An architecture proposal for heterogeneous and organic networks}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {245--246}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE.2015.7066398}, doi = {10.1109/ICCE.2015.7066398}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/CostaRWCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SalazarKCR15, author = {Camilo Salazar and Andreas Kaiser and Andreia Cathelin and Jan M. Rabaey}, title = {13.5 {A} -97dBm-sensitivity interferer-resilient 2.4GHz wake-up receiver using dual-IF multi-N-Path architecture in 65nm {CMOS}}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063016}, doi = {10.1109/ISSCC.2015.7063016}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SalazarKCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/Rabaey15, author = {Jan M. Rabaey}, title = {Brain-machine interfaces - The core of the human intranet}, booktitle = {6th International Workshop on Advances in Sensors and Interfaces, {IWASI} 2015, Gallipoli, Italy, June 18-19, 2015}, pages = {113--114}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWASI.2015.7184946}, doi = {10.1109/IWASI.2015.7184946}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/Rabaey15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimR15, author = {Nam{-}Seog Kim and Jan M. Rabaey}, title = {A 1Gb/s energy efficient triple-channel UWB-based cognitive radio}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {96}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231337}, doi = {10.1109/VLSIC.2015.7231337}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GanesanGRG15, author = {Karthik Ganesan and Pulkit Grover and Jan M. Rabaey and Andrea J. Goldsmith}, title = {Towards Approaching Total-Power-Capacity: Transmit and Decoding Power Minimization for {LDPC} Codes}, journal = {CoRR}, volume = {abs/1504.01019}, year = {2015}, url = {http://arxiv.org/abs/1504.01019}, eprinttype = {arXiv}, eprint = {1504.01019}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/GanesanGRG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14, author = {Edward A. Lee and Bj{\"{o}}rn Hartmann and John Kubiatowicz and Tajana Simunic Rosing and John Wawrzynek and David Wessel and Jan M. Rabaey and Kris Pister and Alberto L. Sangiovanni{-}Vincentelli and Sanjit A. Seshia and David T. Blaauw and Prabal Dutta and Kevin Fu and Carlos Guestrin and Ben Taskar and Roozbeh Jafari and Douglas L. Jones and Vijay Kumar and Rahul Mangharam and George J. Pappas and Richard M. Murray and Anthony Rowe}, title = {The Swarm at the Edge of the Cloud}, journal = {{IEEE} Des. Test}, volume = {31}, number = {3}, pages = {8--20}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2314600}, doi = {10.1109/MDAT.2014.2314600}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LeeHKRWWRPSSBDFGTJJKMPMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/VenutoR14, author = {Daniela De Venuto and Jan M. Rabaey}, title = {{RFID} transceiver for wireless powering brain implanted microelectrodes and backscattered neural data collection}, journal = {Microelectron. J.}, volume = {45}, number = {12}, pages = {1585--1594}, year = {2014}, url = {https://doi.org/10.1016/j.mejo.2014.08.007}, doi = {10.1016/J.MEJO.2014.08.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/VenutoR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ConsoliPRA14, author = {Elio Consoli and Gaetano Palumbo and Jan M. Rabaey and Massimo Alioto}, title = {Novel Class of Energy-Efficient Very High-Speed Conditional Push-Pull Pulsed Latches}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {7}, pages = {1593--1605}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2276100}, doi = {10.1109/TVLSI.2013.2276100}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ConsoliPRA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/BertrandSMCMAR14, author = {Alexander Bertrand and Dongjin Seo and Filip Maksimovic and Jose M. Carmena and Michel M. Maharbiz and Elad Alon and Jan M. Rabaey}, title = {Beamforming approaches for untethered, ultrasonic neural dust motes for cortical recording: {A} simulation study}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {2625--2628}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6944161}, doi = {10.1109/EMBC.2014.6944161}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/BertrandSMCMAR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/VenutoLMR14, author = {Daniela De Venuto and Peter Ledochowitsch and Michel Maharabitz and Jan M. Rabaey}, title = {Impedance modeling of the intracortical microelectrode for a reliable design of a brain activity recording system}, booktitle = {Fifteenth International Symposium on Quality Electronic Design, {ISQED} 2014, Santa Clara, CA, USA, March 3-5, 2014}, pages = {380--385}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISQED.2014.6783350}, doi = {10.1109/ISQED.2014.6783350}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/VenutoLMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MullerLLLGBKCMA14, author = {Rikky Muller and Hanh{-}Phuc Le and Wen Li and Peter Ledochowitsch and Simone Gambini and Toni Bj{\"{o}}rninen and Aaron C. Koralek and Jose M. Carmena and Michel M. Maharbiz and Elad Alon and Jan M. Rabaey}, title = {24.1 {A} miniaturized 64-channel 225{\(\mu\)}W wireless electrocorticographic neural sensor}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {412--413}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757492}, doi = {10.1109/ISSCC.2014.6757492}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MullerLLLGBKCMA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YeagerBNLNCAR14, author = {Daniel J. Yeager and William Biederman and Nathan Narevsky and Jaclyn Leverett and Ryan Neely and Jose M. Carmena and Elad Alon and Jan M. Rabaey}, title = {A 4.78mm\({}^{\mbox{2}}\) fully-integrated neuromodulation SoC combining 64 acquisition channels with digital compression and simultaneous dual stimulation}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858430}, doi = {10.1109/VLSIC.2014.6858430}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YeagerBNLNCAR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/MarblestoneZMSCGASKDSAMCRBCK13, author = {Adam H. Marblestone and Bradley M. Zamft and Yael Maguire and Mikhail G. Shapiro and Thaddeus Cybulski and Joshua I. Glaser and Dario Amodei and P. Benjamin Stranges and Reza Kalhor and David Dalrymple and Dongjin Seo and Elad Alon and Michel M. Maharbiz and Jose M. Carmena and Jan M. Rabaey and Edward S. Boyden III and George M. Church and Konrad P. K{\"{o}}rding}, title = {Physical principles for scalable neural recording}, journal = {Frontiers Comput. Neurosci.}, volume = {7}, pages = {137}, year = {2013}, url = {https://doi.org/10.3389/fncom.2013.00137}, doi = {10.3389/FNCOM.2013.00137}, timestamp = {Sat, 23 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/MarblestoneZMSCGASKDSAMCRBCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuR13, author = {Tsung{-}Te Liu and Jan M. Rabaey}, title = {A 0.25 {V} 460 nW Asynchronous Neural Signal Processor With Inherent Leakage Suppression}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {897--906}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2239096}, doi = {10.1109/JSSC.2013.2239096}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BiedermanYNKCAR13, author = {William Biederman and Daniel J. Yeager and Nathan Narevsky and Aaron C. Koralek and Jose M. Carmena and Elad Alon and Jan M. Rabaey}, title = {A Fully-Integrated, Miniaturized {(0.125} mm\({}^{\mbox{2}}\)) 10.5 {\(\mathrm{\mu}\)}W Wireless Neural Sensor}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {960--970}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2238994}, doi = {10.1109/JSSC.2013.2238994}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BiedermanYNKCAR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AliotoCR13, author = {Massimo Alioto and Elio Consoli and Jan M. Rabaey}, title = {"EChO" Reconfigurable Power Management Unit for Energy Reduction in Sleep-Active Transitions}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {8}, pages = {1921--1932}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2258816}, doi = {10.1109/JSSC.2013.2258816}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/AliotoCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bodynets/UkkonenBMKMLRR13, author = {Toni Bj{\"{o}}rninen and Elham Moradi and Karoliina Koski and Lauri Syd{\"{a}}nheimo and Leena Ukkonen and Rikky Muller and Peter Ledochowitsch and Jan M. Rabaey and Yahya Rahmat{-}Samii}, editor = {Junichi Suzuki and Honggang Wang}, title = {Wearable and Implantable Antennas for Wireless Body-Centric Sensing Systems}, booktitle = {8th International Conference on Body Area Networks, {BODYNETS} 2013, Boston, MA, USA, September 30 - October 2, 2013}, pages = {288}, publisher = {{ICST}}, year = {2013}, url = {https://doi.org/10.4108/icst.bodynets.2013.253580}, doi = {10.4108/ICST.BODYNETS.2013.253580}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bodynets/UkkonenBMKMLRR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiSCCMDR13, author = {Marco Casale{-}Rossi and Alberto L. Sangiovanni{-}Vincentelli and Luca P. Carloni and Bernard Courtois and Hugo De Man and Antun Domic and Jan M. Rabaey}, editor = {Enrico Macii}, title = {Panel: the heritage of Mead {\&} Conway: what has remained the same, what was missed, what has changed, what lies ahead}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {171--175}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2485333}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiSCCMDR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChuMKCRG13, author = {Philip Chu and Rikky Muller and Aaron C. Koralek and Jose M. Carmena and Jan M. Rabaey and Simone Gambini}, title = {Equalization for intracortical microstimulation artifact reduction}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {245--248}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6609483}, doi = {10.1109/EMBC.2013.6609483}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChuMKCRG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/VenutoR13, author = {Daniela De Venuto and Jan M. Rabaey}, editor = {Carla Seatzu}, title = {Data communication and power system for wireless neural recording}, booktitle = {Proceedings of 2013 {IEEE} 18th Conference on Emerging Technologies {\&} Factory Automation, {ETFA} 2013, Cagliari, Italy, September 10-13, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ETFA.2013.6648144}, doi = {10.1109/ETFA.2013.6648144}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/VenutoR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouR13, author = {Wenting Zhou and Jan M. Rabaey}, title = {Energy detection technique for ultra-low power high sensitivity wake-up receiver}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1857--1860}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572227}, doi = {10.1109/ISCAS.2013.6572227}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/RabaeyP13, author = {Jan M. Rabaey and Donald O. Pederson}, title = {The innovation is in the minds}, booktitle = {5th {IEEE} International Workshop on Advances in Sensors and Interfaces, {IWASI} 2013, Bari, Italy, June 13-14, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IWASI.2013.6576099}, doi = {10.1109/IWASI.2013.6576099}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/RabaeyP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwasi/VenutoR13, author = {Daniela De Venuto and Jan M. Rabaey}, title = {Wireless powering and data communication for neural implantable electrodes}, booktitle = {5th {IEEE} International Workshop on Advances in Sensors and Interfaces, {IWASI} 2013, Bari, Italy, June 13-14, 2013}, pages = {148--153}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IWASI.2013.6576103}, doi = {10.1109/IWASI.2013.6576103}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwasi/VenutoR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MullerGR12, author = {Rikky Muller and Simone Gambini and Jan M. Rabaey}, title = {A 0.013 mm\({}^{\mbox{2}}\), 5 {\(\mathrm{\mu}\)}W , DC-Coupled Neural Signal Acquisition {IC} With 0.5 {V} Supply}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {232--243}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2163552}, doi = {10.1109/JSSC.2011.2163552}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MullerGR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GambiniCAR12, author = {Simone Gambini and John Crossley and Elad Alon and Jan M. Rabaey}, title = {A Fully Integrated, 290 pJ/bit {UWB} Dual-Mode Transceiver for cm-Range Wireless Interconnects}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {3}, pages = {586--598}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2177690}, doi = {10.1109/JSSC.2011.2177690}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GambiniCAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/async/LiuR12, author = {Tsung{-}Te Liu and Jan M. Rabaey}, editor = {Jens Spars{\o} and Montek Singh and Pascal Vivet}, title = {Statistical Analysis and Optimization of Asynchronous Digital Circuits}, booktitle = {18th {IEEE} International Symposium on Asynchronous Circuits and Systems, {ASYNC} 2012, Kgs. Lyngby, Denmark, May 7-9, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASYNC.2012.21}, doi = {10.1109/ASYNC.2012.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/async/LiuR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/AliotoCR12, author = {Massimo Alioto and Elio Consoli and Jan M. Rabaey}, title = {EChO power management unit with reconfigurable switched-capacitor converter in 65 nm {CMOS}}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330629}, doi = {10.1109/CICC.2012.6330629}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/AliotoCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/BiedermanYAR12, author = {William Biederman and Daniel J. Yeager and Elad Alon and Jan M. Rabaey}, title = {A {CMOS} switched-capacitor fractional bandgap reference}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330568}, doi = {10.1109/CICC.2012.6330568}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/BiedermanYAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/RichmondJAZLLASR12, author = {Jesse Richmond and Mervin John and Louis P. Alarc{\'{o}}n and Wenting Zhou and Wen Li and Tsung{-}Te Liu and Massimo Alioto and Seth Sanders and Jan M. Rabaey}, title = {Active {RFID:} Perpetual wireless communications platform for sensors}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {434--437}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341348}, doi = {10.1109/ESSCIRC.2012.6341348}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/RichmondJAZLLASR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/GanesanWGGR12, author = {Karthik Ganesan and Yang Wen and Pulkit Grover and Andrea Goldsmith and Jan M. Rabaey}, title = {Choosing "green" codes by simulation-based modeling of implementations}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {3286--3292}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503621}, doi = {10.1109/GLOCOM.2012.6503621}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/GanesanWGGR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ConsoliAPR12, author = {Elio Consoli and Massimo Alioto and Gaetano Palumbo and Jan M. Rabaey}, title = {Conditional push-pull pulsed latches with 726fJ{\(\cdot\)}ps energy-delay product in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {482--484}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177100}, doi = {10.1109/ISSCC.2012.6177100}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ConsoliAPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/MajidzadehSLR12, author = {Vahid Majidzadeh and Alexandre Schmid and Yusuf Leblebici and Jan M. Rabaey}, title = {An 8-PPM, 45 pJ/bit {UWB} transmitter with reduced number of {PA} elements}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {36--37}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243777}, doi = {10.1109/VLSIC.2012.6243777}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/MajidzadehSLR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YeagerBNAR12, author = {Daniel J. Yeager and William Biederman and Nathan Narevsky and Elad Alon and Jan M. Rabaey}, title = {A fully-integrated 10.5{\(\mathrm{\mu}\)}W miniaturized (0.125mm\({}^{\mbox{2}}\)) wireless neural sensor}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {72--73}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243795}, doi = {10.1109/VLSIC.2012.6243795}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YeagerBNAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LiuR12, author = {Tsung{-}Te Liu and Jan M. Rabaey}, title = {A 0.25V 460nW asynchronous neural signal processor with inherent leakage suppression}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {158--159}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243838}, doi = {10.1109/VLSIC.2012.6243838}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LiuR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/allerton/GroverGSR11, author = {Pulkit Grover and Andrea Goldsmith and Anant Sahai and Jan M. Rabaey}, title = {Information theory meets circuit design: Why capacity-approaching codes require more chip area and power}, booktitle = {49th Annual Allerton Conference on Communication, Control, and Computing, Allerton 2011, Allerton Park {\&} Retreat Center, Monticello, IL, USA, 28-30 September, 2011}, pages = {1392--1399}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/Allerton.2011.6120330}, doi = {10.1109/ALLERTON.2011.6120330}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/allerton/GroverGSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RabaeyMCSTGWW11, author = {Jan M. Rabaey and Michael Mark and David Chen and Christopher Sutardja and Chongxuan Tang and Suraj Gowda and Mark Wagner and Dan Werthimer}, title = {Powering and communicating with mm-size implants}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {722--727}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763123}, doi = {10.1109/DATE.2011.5763123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RabaeyMCSTGWW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/Rabaey11, author = {Jan M. Rabaey}, title = {Brain-machine interfaces as the new frontier in extreme miniaturization}, booktitle = {Proceedings of the 37th European Solid-State Circuits Conference, {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011}, pages = {19--24}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ESSCIRC.2011.6044909}, doi = {10.1109/ESSCIRC.2011.6044909}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/Rabaey11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RichmondR11, author = {Jesse Richmond and Jan M. Rabaey}, title = {Digital energy detection for {OOK} demodulation in ultra-low power radios}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {1808--1811}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5937936}, doi = {10.1109/ISCAS.2011.5937936}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RichmondR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlarconLR11, author = {Louis P. Alarc{\'{o}}n and Tsung{-}Te Liu and Jan M. Rabaey}, title = {A low-leakage parallel {CRC} generator for ultra-low power applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2063--2066}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938003}, doi = {10.1109/ISCAS.2011.5938003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlarconLR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuR11, author = {Tsung{-}Te Liu and Jan M. Rabaey}, title = {Linearity analysis of {CMOS} passive mixer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2833--2836}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938195}, doi = {10.1109/ISCAS.2011.5938195}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RabaeyMHSSDIMAE11, author = {Jan M. Rabaey and Hugo De Man and Mark Horowitz and Takayasu Sakurai and Jack Sun and Dan Dobberpuhl and Kiyoo Itoh and Philippe Magarshack and Asad A. Abidi and Hermann Eul}, title = {Beyond the horizon: The next 10x reduction in power - Challenges and solutions}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {31}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746206}, doi = {10.1109/ISSCC.2011.5746206}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RabaeyMHSSDIMAE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MullerGR11, author = {Rikky Muller and Simone Gambini and Jan M. Rabaey}, title = {A 0.013mm\({}^{\mbox{2}}\) 5{\(\mu\)}W DC-coupled neural signal acquisition {IC} with 0.5V supply}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {302--304}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746328}, doi = {10.1109/ISSCC.2011.5746328}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MullerGR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ita/GroverSGR11, author = {Pulkit Grover and Anant Sahai and Karthik Ganesan and Jan M. Rabaey}, title = {"Green codes with short wires at the decoder: Fundamental limits and constructions"}, booktitle = {Information Theory and Applications Workshop, {ITA} 2011, San Diego, California, USA, February 6-11, 2011}, pages = {112--115}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ITA.2011.5743571}, doi = {10.1109/ITA.2011.5743571}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ita/GroverSGR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/HuangMR11, author = {Ping{-}Chen Huang and David Macii and Jan M. Rabaey}, title = {An information-theoretic framework for joint architectural and circuit level optimization for olfactory recognition processing}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2011, October 4-7, 2011, Beirut, Lebanon}, pages = {19--24}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SiPS.2011.6088943}, doi = {10.1109/SIPS.2011.6088943}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/HuangMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/GanesanGR11, author = {Karthik Ganesan and Pulkit Grover and Jan M. Rabaey}, title = {The power cost of over-designing codes}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2011, October 4-7, 2011, Beirut, Lebanon}, pages = {128--133}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SiPS.2011.6088962}, doi = {10.1109/SIPS.2011.6088962}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sips/GanesanGR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/MarkovicWALR10, author = {Dejan Markovic and Cheng C. Wang and Louis P. Alarc{\'{o}}n and Tsung{-}Te Liu and Jan M. Rabaey}, title = {Ultralow-Power Design in Near-Threshold Region}, journal = {Proc. {IEEE}}, volume = {98}, number = {2}, pages = {237--252}, year = {2010}, url = {https://doi.org/10.1109/JPROC.2009.2035453}, doi = {10.1109/JPROC.2009.2035453}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/MarkovicWALR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/FrantzHRSWB10, author = {Gene Frantz and J{\"{o}}rg Henkel and Jan M. Rabaey and Todd Schneider and Marilyn Wolf and Umit Batur}, title = {Ultra-Low Power Signal Processing {[DSP} Forum]}, journal = {{IEEE} Signal Process. Mag.}, volume = {27}, number = {2}, pages = {149--154}, year = {2010}, url = {https://doi.org/10.1109/MSP.2009.935417}, doi = {10.1109/MSP.2009.935417}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/FrantzHRSWB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PuriJJJRRS10, author = {Ruchir Puri and William H. Joyner and Raj Jammy and Ahmed Jerraya and Jan M. Rabaey and Walden C. Rhines and Leon Stok}, editor = {Sachin S. Sapatnekar}, title = {{EDA} challenges and options: investing for the future}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {1--2}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837276}, doi = {10.1145/1837274.1837276}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PuriJJJRRS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Rabaey10, author = {Jan M. Rabaey}, editor = {Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller and Enrico Macii}, title = {Always energy-optimal microscopic wireless systems}, booktitle = {Design, Automation and Test in Europe, {DATE} 2010, Dresden, Germany, March 8-12, 2010}, pages = {658}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/DATE.2010.5457121}, doi = {10.1109/DATE.2010.5457121}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/Rabaey10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuSSR10, author = {Chang{-}Ching Wu and Xuening Sun and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {A 2.2mW {CMOS} {LNA} for 6-8.5GHz {UWB} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1631--1634}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537463}, doi = {10.1109/ISCAS.2010.5537463}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuSSR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/icas/Rabaey09, author = {Jan M. Rabaey}, title = {Low Power Design Essentials}, series = {Series on Integrated Circuits and Systems}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-0-387-71713-5}, doi = {10.1007/978-0-387-71713-5}, isbn = {978-0-387-71712-8}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/icas/Rabaey09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PletcherGR09, author = {Nathan Pletcher and Simone Gambini and Jan M. Rabaey}, title = {A 52 {\(\mathrm{\mu}\)}W Wake-Up Receiver With -72 dBm Sensitivity Using an Uncertain-IF Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {1}, pages = {269--280}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2007438}, doi = {10.1109/JSSC.2008.2007438}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PletcherGR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiuAPR09, author = {Tsung{-}Te Liu and Louis P. Alarc{\'{o}}n and Matthew D. Pierson and Jan M. Rabaey}, title = {Asynchronous Computing in Sense Amplifier-Based Pass Transistor Logic}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {17}, number = {7}, pages = {883--892}, year = {2009}, url = {https://doi.org/10.1109/TVLSI.2008.2012054}, doi = {10.1109/TVLSI.2008.2012054}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiuAPR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bsn/HoMKMCRREW09, author = {Christine Ho and Michael Mark and Michael Koplow and Lindsay Miller and Alic Chen and Elizabeth K. Reilly and Jan M. Rabaey and Jim Evans and Paul K. Wright}, editor = {Benny P. L. Lo and Paul D. Mitcheson}, title = {Technologies for an Autonomous Wireless Home Healthcare System}, booktitle = {Sixth International Workshop on Wearable and Implantable Body Sensor Networks, {BSN} 2009, Berkeley, CA, USA, 3-5 June 2009}, pages = {29--34}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BSN.2009.50}, doi = {10.1109/BSN.2009.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bsn/HoMKMCRREW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HaritanKJERRG09, author = {Eshel Haritan and Andreas Kuehlmann and Tina Jones and John Epperheimer and Jan M. Rabaey and Rahul Razdan and Naveen Gupta}, title = {{EDA} in flux: should {I} stay or should {I} go?}, booktitle = {Proceedings of the 46th Design Automation Conference, {DAC} 2009, San Francisco, CA, USA, July 26-31, 2009}, pages = {91--92}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629911.1629938}, doi = {10.1145/1629911.1629938}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HaritanKJERRG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarkR09, author = {Michael Mark and Jan M. Rabaey}, title = {A 13.2 mW 1.9 GHz Interpolative BAW-based {VCO} for Miniaturized {RF} Frequency Synthesis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {1441--1444}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118037}, doi = {10.1109/ISCAS.2009.5118037}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarkR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KumarRR09, author = {Animesh Kumar and Jan M. Rabaey and Kannan Ramchandran}, title = {{SRAM} supply voltage scaling: {A} reliability perspective}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {782--787}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810392}, doi = {10.1109/ISQED.2009.4810392}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KumarRR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RabaeyM08, author = {Jan M. Rabaey and Sharad Malik}, title = {Challenges and Solutions for Late- and Post-Silicon Design}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {4}, pages = {296--302}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.91}, doi = {10.1109/MDT.2008.91}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RabaeyM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ShanbhagMVOMRJR08, author = {Naresh R. Shanbhag and Subhasish Mitra and Gustavo de Veciana and Michael Orshansky and Radu Marculescu and Jaijeet S. Roychowdhury and Douglas L. Jones and Jan M. Rabaey}, title = {The Search for Alternative Computational Paradigms}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {4}, pages = {334--343}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.113}, doi = {10.1109/MDT.2008.113}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ShanbhagMVOMRJR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RabaeyBLW08, author = {Jan M. Rabaey and Daniel Burke and Ken Lutz and John Wawrzynek}, title = {Workloads of the Future}, journal = {{IEEE} Des. Test Comput.}, volume = {25}, number = {4}, pages = {358--365}, year = {2008}, url = {https://doi.org/10.1109/MDT.2008.118}, doi = {10.1109/MDT.2008.118}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RabaeyBLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Rabaey08, author = {Jan M. Rabaey}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A brand new wireless day}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {1}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483940}, doi = {10.1109/ASPDAC.2008.4483940}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/Rabaey08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/async/LiuAPR08, author = {Tsung{-}Te Liu and Louis P. Alarc{\'{o}}n and Matthew D. Pierson and Jan M. Rabaey}, title = {Asynchronous Computing in Sense Amplifier-Based Pass Transistor Logic}, booktitle = {14th {IEEE} International Symposium on Asynchronous Circuits and Systems, {ASYNC} 2008, Newcastle upon Tyne, UK, April 7-10, 2008}, pages = {105--115}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ASYNC.2008.23}, doi = {10.1109/ASYNC.2008.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/async/LiuAPR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/GreunenR08, author = {Jana van Greunen and Jan M. Rabaey}, title = {Content Management and Replication in the {SNSP:} {A} Distributed Service-Based {OS} for Sensor Networks}, booktitle = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, pages = {655--659}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ccnc08.2007.151}, doi = {10.1109/CCNC08.2007.151}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/GreunenR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CheeKMPSBSRWS08, author = {Yuen{-}Hui Chee and Mike Koplow and Michael Mark and Nathan Pletcher and Mike Seeman and Fred L. Burghardt and Dan Steingart and Jan M. Rabaey and Paul K. Wright and Seth Sanders}, editor = {Limor Fix}, title = {PicoCube: a 1 cm\({}^{\mbox{3}}\) sensor node powered by harvested energy}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {114--119}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391499}, doi = {10.1145/1391469.1391499}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CheeKMPSBSRWS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ReyKRCVKT08, author = {Juan C. Rey and Andreas Kuehlmann and Jan M. Rabaey and Cormac Conroy and Ted Vucurevich and Ikuya Kawasaki and Tuna B. Tarim}, editor = {Limor Fix}, title = {Next generation wireless-multimedia devices: who is up for the challenge?}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {353--354}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391564}, doi = {10.1145/1391469.1391564}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ReyKRCVKT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AitkenBMR08, author = {Rob Aitken and Jerry Bautista and Wojciech Maly and Jan M. Rabaey}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {More Moore: foolish, feasible, or fundamentally different?}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {9}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681540}, doi = {10.1109/ICCAD.2008.4681540}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AitkenBMR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/QinKRRI08, author = {Huifang Qin and Animesh Kumar and Kannan Ramchandran and Jan M. Rabaey and Prakash Ishwar}, title = {Error-Tolerant {SRAM} Design for Ultra-Low Power Standby Operation}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {30--34}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479693}, doi = {10.1109/ISQED.2008.4479693}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/QinKRRI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KumarQIRR08, author = {Animesh Kumar and Huifang Qin and Prakash Ishwar and Jan M. Rabaey and Kannan Ramchandran}, title = {Fundamental Data Retention Limits in {SRAM} Standby Experimental Results}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {92--97}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479705}, doi = {10.1109/ISQED.2008.4479705}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KumarQIRR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PletcherGR08, author = {Nathan Pletcher and Simone Gambini and Jan M. Rabaey}, title = {A 2GHz 52 {\(\mu\)}W Wake-Up Receiver with -72dBm Sensitivity Using Uncertain-IF Architecture}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {524--525}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523288}, doi = {10.1109/ISSCC.2008.4523288}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PletcherGR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Rabaey08, author = {Jan M. Rabaey}, editor = {Douglas Young and Nur A. Touba}, title = {Computing at the Crossroads (And What Does it Mean to Verification and Test?)}, booktitle = {2008 {IEEE} International Test Conference, {ITC} 2008, Santa Clara, California, USA, October 26-31, 2008}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/TEST.2008.4700541}, doi = {10.1109/TEST.2008.4700541}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Rabaey08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChenKR08, author = {Stanley Yuan{-}Shih Chen and Nam{-}Seog Kim and Jan M. Rabaey}, title = {Multi-mode sub-Nyquist rate digital-to-analog conversion for direct waveform synthesis}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2008, October 8-10, 2008, Washington, {D.C.} Metro Area, {USA}}, pages = {112--117}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/SIPS.2008.4671747}, doi = {10.1109/SIPS.2008.4671747}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/ChenKR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LiRS08, author = {Yanmei Li and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Analysis of Interference Effects in {MB-OFDM} {UWB} Systems}, booktitle = {{WCNC} 2008, {IEEE} Wireless Communications {\&} Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings}, pages = {165--170}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/WCNC.2008.34}, doi = {10.1109/WCNC.2008.34}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/LiRS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/OtisGSSQRSW07, author = {Brian Otis and Simone Gambini and Rahul C. Shah and Dan Steingart and E. Quevy and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli and Paul K. Wright}, title = {Modelling and simulation techniques for highly integrated, low-power wireless sensor networks}, journal = {{IET} Comput. Digit. Tech.}, volume = {1}, number = {5}, pages = {528--536}, year = {2007}, url = {https://doi.org/10.1049/iet-cdt:20050214}, doi = {10.1049/IET-CDT:20050214}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/OtisGSSQRSW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/AlarconLPR07, author = {Louis P. Alarc{\'{o}}n and Tsung{-}Te Liu and Matthew D. Pierson and Jan M. Rabaey}, title = {Exploring Very Low-Energy Logic: {A} Case Study}, journal = {J. Low Power Electron.}, volume = {3}, number = {3}, pages = {223--233}, year = {2007}, url = {https://doi.org/10.1166/jolpe.2007.136}, doi = {10.1166/JOLPE.2007.136}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/AlarconLPR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/GambiniR07, author = {Simone Gambini and Jan M. Rabaey}, title = {Low-Power Successive Approximation Converter With 0.5 {V} Supply in 90 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {11}, pages = {2348--2356}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.906210}, doi = {10.1109/JSSC.2007.906210}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/GambiniR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/PletcherGR07, author = {Nathan Pletcher and Simone Gambini and Jan M. Rabaey}, title = {A 65 {\(\mu\)}W, 1.9 GHz {RF} to digital baseband wakeup receiver for wireless sensor nodes}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {539--542}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405789}, doi = {10.1109/CICC.2007.4405789}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/PletcherGR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SeemanSR07, author = {Michael D. Seeman and Seth R. Sanders and Jan M. Rabaey}, title = {An Ultra-Low-Power Power Management {IC} for Wireless Sensor Nodes}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {567--570}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405795}, doi = {10.1109/CICC.2007.4405795}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SeemanSR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Rabaey07, author = {Jan M. Rabaey}, title = {Design without Borders - {A} Tribute to the Legacy of A. Richard Newton}, booktitle = {Proceedings of the 44th Design Automation Conference, {DAC} 2007, San Diego, CA, USA, June 4-8, 2007}, publisher = {{IEEE}}, year = {2007}, timestamp = {Tue, 29 Jul 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/Rabaey07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/Rabaey07, author = {Jan M. Rabaey}, title = {Design Without Borders}, booktitle = {Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck, Germany}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DSD.2007.4341440}, doi = {10.1109/DSD.2007.4341440}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/dsd/Rabaey07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/RabaeyCCNGGMP07, author = {Jan M. Rabaey and Yuen{-}Hui Chee and David Chen and Luca De Nardis and Simone Gambini and Davide Guermandi and Michael Mark and Nathan Pletcher}, title = {Short Distance Wireless, Dense Networks, and Their Opportunities}, booktitle = {Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2007), 29-31 August 2007, L{\"{u}}beck, Germany}, pages = {7}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/DSD.2007.4341442}, doi = {10.1109/DSD.2007.4341442}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsd/RabaeyCCNGGMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/GuermandiGR07, author = {Davide Guermandi and Simone Gambini and Jan M. Rabaey}, editor = {Doris Schmitt{-}Landsiedel and Tobias Noll}, title = {A 1 {V} 250 {KPPS} 90 {NM} {CMOS} pulse based transceiver for CM-range wireless communication}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich, Germany, 11-13 September 2007}, pages = {135--138}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ESSCIRC.2007.4430264}, doi = {10.1109/ESSCIRC.2007.4430264}, timestamp = {Mon, 18 Oct 2021 17:08:49 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/GuermandiGR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/GambiniR07, author = {Simone Gambini and Jan M. Rabaey}, editor = {Doris Schmitt{-}Landsiedel and Tobias Noll}, title = {A 100KS/s 65dB {DR} {\(\Sigma\)} - {\(\Delta\)} {ADC} with 0.65V supply voltage}, booktitle = {33rd European Solid-State Circuits Conference, {ESSCIRC} 2007, Munich, Germany, 11-13 September 2007}, pages = {202--205}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ESSCIRC.2007.4430280}, doi = {10.1109/ESSCIRC.2007.4430280}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/GambiniR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KumarQIRR07, author = {Animesh Kumar and Huifang Qin and Prakash Ishwar and Jan M. Rabaey and Kannan Ramchandran}, title = {Fundamental Redundancy Versus Power Trade-Off in Standby {SRAM}}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2007, Honolulu, Hawaii, USA, April 15-20, 2007}, pages = {85--88}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICASSP.2007.366178}, doi = {10.1109/ICASSP.2007.366178}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KumarQIRR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarQIRR07, author = {Animesh Kumar and Huifang Qin and Prakash Ishwar and Jan M. Rabaey and Kannan Ramchandran}, title = {Fundamental Bounds on Power Reduction during Data-Retention in Standby {SRAM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {1867--1870}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378279}, doi = {10.1109/ISCAS.2007.378279}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarQIRR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/QiZKRS07, author = {Zhenyu Qi and Matthew M. Ziegler and Stephen V. Kosonocky and Jan M. Rabaey and Mircea R. Stan}, title = {Multi-Dimensional Circuit and Micro-Architecture Level Optimization}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {275--280}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.105}, doi = {10.1109/ISQED.2007.105}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/QiZKRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SoiniGRS07, author = {Mikael N. K. Soini and Jana van Greunen and Jan M. Rabaey and Lauri Syd{\"{a}}nheimo}, title = {Beyond Sensor Networks: {ZUMA} Middleware}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2007, Hong Kong, China, 11-15 March, 2007}, pages = {4318--4323}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/WCNC.2007.788}, doi = {10.1109/WCNC.2007.788}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/SoiniGRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/QinVTCR06, author = {Huifang Qin and Rakesh Vattikonda and Thuan Trinh and Yu Cao and Jan M. Rabaey}, title = {{SRAM} Cell Optimization for Ultra-Low Power Standby}, journal = {J. Low Power Electron.}, volume = {2}, number = {3}, pages = {401--411}, year = {2006}, url = {https://doi.org/10.1166/jolpe.2006.097}, doi = {10.1166/JOLPE.2006.097}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/QinVTCR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/CheeNR06, author = {Yuen{-}Hui Chee and Ali M. Niknejad and Jan M. Rabaey}, title = {An Ultra-Low-Power Injection Locked Transmitter for Wireless Sensor Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {8}, pages = {1740--1748}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.877254}, doi = {10.1109/JSSC.2006.877254}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/CheeNR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/RabaeyBNNS06, author = {Jan M. Rabaey and Fernando De Bernardinis and Ali M. Niknejad and Borivoje Nikolic and Alberto L. Sangiovanni{-}Vincentelli}, title = {L. Embedding Mixed-Signal Design in Systems-on-Chip}, journal = {Proc. {IEEE}}, volume = {94}, number = {6}, pages = {1070--1088}, year = {2006}, url = {https://doi.org/10.1109/JPROC.2006.873609}, doi = {10.1109/JPROC.2006.873609}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/RabaeyBNNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/PetrovicRR06, author = {Dragan Petrovic and Kannan Ramchandran and Jan M. Rabaey}, title = {Overcoming untuned radios in wireless networks with network coding}, journal = {{IEEE} Trans. Inf. Theory}, volume = {52}, number = {6}, pages = {2649--2657}, year = {2006}, url = {https://doi.org/10.1109/TIT.2006.874396}, doi = {10.1109/TIT.2006.874396}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/PetrovicRR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SarrigeorgidisR06, author = {Konstantinos Sarrigeorgidis and Jan M. Rabaey}, title = {A Scalable Configurable Architecture for Advanced Wireless Communication Algorithms}, journal = {J. {VLSI} Signal Process.}, volume = {45}, number = {3}, pages = {127--151}, year = {2006}, url = {https://doi.org/10.1007/s11265-006-9762-9}, doi = {10.1007/S11265-006-9762-9}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/SarrigeorgidisR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarculescuRS06, author = {Radu Marculescu and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Georges G. E. Gielen}, title = {Is "Network" the next "Big Idea" in design?}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {254--256}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.244112}, doi = {10.1109/DATE.2006.244112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarculescuRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PattersonAACHKL06, author = {David A. Patterson and Arvind and Krste Asanovic and Derek Chiou and James C. Hoe and Christos Kozyrakis and Shih{-}Lien Lu and Mark Oskin and Jan M. Rabaey and John Wawrzynek}, title = {Research accelerator for multiple processors}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--42}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477751}, doi = {10.1109/HOTCHIPS.2006.7477751}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/PattersonAACHKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rabaey06, author = {Jan M. Rabaey}, title = {Wireless in the home - opportunities and challenges}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--134}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477741}, doi = {10.1109/HOTCHIPS.2006.7477741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rabaey06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KaralarR06, author = {Tufan C. Karalar and Jan M. Rabaey}, title = {An {RF} ToF Based Ranging Implementation for Sensor Networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2006, Istanbul, Turkey, 11-15 June 2006}, pages = {3347--3352}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICC.2006.255233}, doi = {10.1109/ICC.2006.255233}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KaralarR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/AmmerR06, author = {Josephine Ammer and Jan M. Rabaey}, title = {The Energy-per-Useful-Bit Metric for Evaluating and Optimizing Sensor Network Physical Layers}, booktitle = {Proceedings of the Third Annual {IEEE} Communications Society on Sensor and Ad Hoc Communications and Networks, {SECON} 2006, September 25-28, 2006, Reston, VA, {USA}}, pages = {695--700}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SAHCN.2006.288533}, doi = {10.1109/SAHCN.2006.288533}, timestamp = {Fri, 30 Apr 2021 18:04:33 +0200}, biburl = {https://dblp.org/rec/conf/secon/AmmerR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/QinCMVR05, author = {Huifang Qin and Yu Cao and Dejan Markovic and Andrei Vladimirescu and Jan M. Rabaey}, title = {Standby supply voltage minimization for deep sub-micron {SRAM}}, journal = {Microelectron. J.}, volume = {36}, number = {9}, pages = {789--800}, year = {2005}, url = {https://doi.org/10.1016/j.mejo.2005.03.003}, doi = {10.1016/J.MEJO.2005.03.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/QinCMVR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pervasive/RoundyLBCRLORWS05, author = {Shad Roundy and Eli S. Leland and J. Baker and Eric Carleton and Elizabeth K. Reilly and Elaine Lai and Brian Otis and Jan M. Rabaey and Paul K. Wright and V. Sundararajan}, title = {Improving power output for vibration-based energy scavengers}, journal = {{IEEE} Pervasive Comput.}, volume = {4}, number = {1}, pages = {28--36}, year = {2005}, url = {https://doi.org/10.1109/MPRV.2005.14}, doi = {10.1109/MPRV.2005.14}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pervasive/RoundyLBCRLORWS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/CongMBMRSWW05, author = {Jason Cong and Tony Ma and Ivo Bolsens and Phil Moorby and Jan M. Rabaey and John Sanguinetti and Kazutoshi Wakabayashi and Yoshi Watanabe}, editor = {Tingao Tang}, title = {Are we ready for system-level synthesis?}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120969}, doi = {10.1145/1120725.1120969}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/CongMBMRSWW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Rabaey05, author = {Jan M. Rabaey}, editor = {Tingao Tang}, title = {Design at the end of the silicon roadmap}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120729}, doi = {10.1145/1120725.1120729}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/Rabaey05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LiBORS05, author = {Yanmei Li and Fernando De Bernardinis and Brian P. Otis and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {A low-power mixed-signal baseband system design for wireless sensor networks}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {55--58}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CICC.2005.1568606}, doi = {10.1109/CICC.2005.1568606}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LiBORS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/CheeNR05, author = {Yuen{-}Hui Chee and Ali M. Niknejad and Jan M. Rabaey}, title = {An ultra-low power injection locked transmitter for wireless sensor networks}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {797--800}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CICC.2005.1568789}, doi = {10.1109/CICC.2005.1568789}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/CheeNR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BacchiniRCLLRW05, author = {Francine Bacchini and Jan M. Rabaey and Allan Cox and Frank Lane and Rudy Lauwereins and Ulrich Ramacher and David Witt}, editor = {William H. Joyner Jr. and Grant Martin and Andrew B. Kahng}, title = {Wireless platforms: {GOPS} for cents and MilliWatts}, booktitle = {Proceedings of the 42nd Design Automation Conference, {DAC} 2005, San Diego, CA, USA, June 13-17, 2005}, pages = {351--352}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065579.1065673}, doi = {10.1145/1065579.1065673}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BacchiniRCLLRW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/PletcherR05, author = {Nathan Pletcher and Jan M. Rabaey}, editor = {Laurent Fesquet and Andreas Kaiser and Sorin Cristoloveanu and Michel Brillou{\"{e}}t}, title = {A 100 {\(\mu\)}W, 1.9GHz oscillator with fully digital frequency tuning}, booktitle = {Proceedings of the 31st European Solid-State Circuits Conference, {ESSCIRC} 2005, Grenoble, France, 12-16 September 2005}, pages = {387--390}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ESSCIR.2005.1541641}, doi = {10.1109/ESSCIR.2005.1541641}, timestamp = {Fri, 28 Apr 2023 15:39:25 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/PletcherR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LinRWW05, author = {En{-}Yi A. Lin and Jan M. Rabaey and Sven Wiethoelter and Adam Wolisz}, title = {Receiver initiated rendezvous schemes for sensor networks}, booktitle = {Proceedings of the Global Telecommunications Conference, 2005. {GLOBECOM} '05, St. Louis, Missouri, USA, 28 November - 2 December 2005}, pages = {6}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/GLOCOM.2005.1578331}, doi = {10.1109/GLOCOM.2005.1578331}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LinRWW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ShahWR05, author = {Rahul C. Shah and Adam Wolisz and Jan M. Rabaey}, title = {On the performance of geographical routing in the presence of localization errors [ad hoc network applications]}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2005, Seoul, Korea, 16-20 May 2005}, pages = {2979--2985}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICC.2005.1494938}, doi = {10.1109/ICC.2005.1494938}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ShahWR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/FriedbergCCWRS05, author = {Paul Friedberg and Yu Cao and Jason Cain and Ruth Wang and Jan M. Rabaey and Costas J. Spanos}, title = {Modeling Within-Die Spatial Correlation Effects for Process-Design Co-Optimization}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {516--521}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.82}, doi = {10.1109/ISQED.2005.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/FriedbergCCWRS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/Rabaey05, author = {Jan M. Rabaey}, editor = {Vassilis Paliouras and Johan Vounckx and Diederik Verkest}, title = {Traveling the Wild Frontier of Ultra Low-Power Design}, booktitle = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven, Belgium, September 21-23, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3728}, pages = {747}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556930\_79}, doi = {10.1007/11556930\_79}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/Rabaey05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/ShahWWR05, author = {Rahul C. Shah and Sven Wieth{\"{o}}lter and Adam Wolisz and Jan M. Rabaey}, title = {When Does Opportunistic Routing Make Sense?}, booktitle = {3rd {IEEE} Conference on Pervasive Computing and Communications Workshops (PerCom 2005 Workshops), 8-12 March 2005, Kauai Island, HI, {USA}}, pages = {350--356}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/PERCOMW.2005.90}, doi = {10.1109/PERCOMW.2005.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/ShahWWR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ZhongRW05, author = {Lizhi C. Zhong and Jan M. Rabaey and Adam Wolisz}, title = {Does proper coding make single hop wireless sensor networks reality: the power consumption perspective}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2005, March 13-17, 2005, New Orleans, Louisiana, {USA}}, pages = {664--669}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/WCNC.2005.1424587}, doi = {10.1109/WCNC.2005.1424587}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ZhongRW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/AmmerR05, author = {M. Josephine Ammer and Jan M. Rabaey}, title = {Low power synchronization for wireless sensor network modems}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2005, March 13-17, 2005, New Orleans, Louisiana, {USA}}, pages = {670--675}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/WCNC.2005.1424588}, doi = {10.1109/WCNC.2005.1424588}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/AmmerR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/ShahWWR05, author = {Rahul C. Shah and Sven Wieth{\"{o}}lter and Adam Wolisz and Jan M. Rabaey}, editor = {Eitan Altman and Holger Karl}, title = {Modeling and Analysis of Opportunistic Routing in Low Traffic Scenarios}, booktitle = {3rd International Symposium on Modeling and Optimization in Mobile, Ad-Hoc and Wireless Networks (WiOpt 2005), 4-6 April 2005, Trentino, Italy}, pages = {294--304}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WIOPT.2005.30}, doi = {10.1109/WIOPT.2005.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/ShahWWR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/05/WeberRA05, author = {Werner Weber and Jan M. Rabaey and Emile H. L. Aarts}, editor = {Werner Weber and Jan M. Rabaey and Emile H. L. Aarts}, title = {Introduction}, booktitle = {Ambient Intelligence}, pages = {1--2}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/3-540-27139-2\_1}, doi = {10.1007/3-540-27139-2\_1}, timestamp = {Tue, 12 May 2020 13:59:45 +0200}, biburl = {https://dblp.org/rec/books/sp/05/WeberRA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/05/SgroiWSR05, author = {Marco Sgroi and Adam Wolisz and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, editor = {Werner Weber and Jan M. Rabaey and Emile H. L. Aarts}, title = {A Service-Based Universal Application Interface for Ad Hoc Wireless Sensor and Actuator Networks}, booktitle = {Ambient Intelligence}, pages = {149--172}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/3-540-27139-2\_8}, doi = {10.1007/3-540-27139-2\_8}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/05/SgroiWSR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/05/AmmerBLOSSR05, author = {Josephine Ammer and Fred L. Burghardt and En{-}Yi A. Lin and Brian Otis and Rahul C. Shah and Michael Sheets and Jan M. Rabaey}, editor = {Werner Weber and Jan M. Rabaey and Emile H. L. Aarts}, title = {Ultra-Low Power Integrated Wireless Nodes for Sensor and Actuator Networks}, booktitle = {Ambient Intelligence}, pages = {301--325}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/3-540-27139-2\_14}, doi = {10.1007/3-540-27139-2\_14}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/05/AmmerBLOSSR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/05/WRA2005, editor = {Werner Weber and Jan M. Rabaey and Emile H. L. Aarts}, title = {Ambient Intelligence}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/b138670}, doi = {10.1007/B138670}, isbn = {978-3-540-23867-6}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/05/WRA2005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/ReasonR04, author = {Johnathan M. Reason and Jan M. Rabaey}, title = {A study of energy consumption and reliability in a multi-hop sensor network}, journal = {{ACM} {SIGMOBILE} Mob. Comput. Commun. Rev.}, volume = {8}, number = {1}, pages = {84--97}, year = {2004}, url = {https://doi.org/10.1145/980159.980170}, doi = {10.1145/980159.980170}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmobile/ReasonR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SarrigeorgidisR04, author = {Konstantinos Sarrigeorgidis and Jan M. Rabaey}, title = {Ultra Low Power {CORDIC} Processor for Wireless Communication Algorithms}, journal = {J. {VLSI} Signal Process.}, volume = {38}, number = {2}, pages = {115--130}, year = {2004}, url = {https://doi.org/10.1023/B:VLSI.0000040424.11334.34}, doi = {10.1023/B:VLSI.0000040424.11334.34}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/SarrigeorgidisR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewsn/RoundySFWR04, author = {Shad Roundy and Dan Steingart and Luc Frechette and Paul K. Wright and Jan M. Rabaey}, editor = {Holger Karl and Andreas Willig and Adam Wolisz}, title = {Power Sources for Wireless Sensor Networks}, booktitle = {Wireless Sensor Networks, First European Workshop, {EWSN} 2004, Berlin, Germany, January 19-21, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2920}, pages = {1--17}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24606-0\_1}, doi = {10.1007/978-3-540-24606-0\_1}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/ewsn/RoundySFWR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GreunenPBRRS04, author = {Jana van Greunen and Dragan Petrovic and Alvise Bonivento and Jan M. Rabaey and Kannan Ramchandran and Alberto L. Sangiovanni{-}Vincentelli}, title = {Adaptive sleep discipline for energy conservation and robustness in dense sensor networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {3657--3662}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1313225}, doi = {10.1109/ICC.2004.1313225}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/GreunenPBRRS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LinRW04, author = {En{-}Yi A. Lin and Jan M. Rabaey and Adam Wolisz}, title = {Power-efficient rendez-vous schemes for dense wireless sensor networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {3769--3776}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1313259}, doi = {10.1109/ICC.2004.1313259}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LinRW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ZhongRW04, author = {Lizhi Charlie Zhong and Jan M. Rabaey and Adam Wolisz}, title = {An integrated data-link energy model for wireless sensor networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {3777--3783}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1313260}, doi = {10.1109/ICC.2004.1313260}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/ZhongRW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CheeRN04, author = {Yuen{-}Hui Chee and Jan M. Rabaey and Ali M. Niknejad}, title = {A class {A/B} low power amplifier for wireless sensor networks}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {409--412}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CheeRN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/QinCMVR04, author = {Huifang Qin and Yu Cao and Dejan Markovic and Andrei Vladimirescu and Jan M. Rabaey}, title = {{SRAM} Leakage Suppression by Minimizing Standby Supply Voltage}, booktitle = {5th International Symposium on Quality of Electronic Design {(ISQED} 2004), 22-24 March 2004, San Jose, CA, {USA}}, pages = {55--60}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISQED.2004.1283650}, doi = {10.1109/ISQED.2004.1283650}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/QinCMVR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiquitous/KaralarYSR04, author = {Tufan C. Karalar and Shunzo Yamashita and Michael Sheets and Jan M. Rabaey}, title = {An Integrated, Low Power Localization System for Sensor Networks}, booktitle = {1st Annual International Conference on Mobile and Ubiquitous Systems (MobiQuitous 2004), Networking and Services, 22-25 August 2004, Cambridge, MA, {USA}}, pages = {24--30}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/MOBIQ.2004.1331707}, doi = {10.1109/MOBIQ.2004.1331707}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobiquitous/KaralarYSR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/crc/04/PetrovicRS04, author = {Dragan Petrovic and Jan M. Rabaey and Rahul C. Shah}, editor = {Mohammad Ilyas and Imad Mahgoub}, title = {Energy-Aware Routing and Data Funneling in Sensor Networks}, booktitle = {Handbook of Sensor Networks}, publisher = {{CRC} Press}, year = {2004}, url = {https://doi.org/10.1201/9780203489635.ch30}, doi = {10.1201/9780203489635.CH30}, timestamp = {Tue, 21 Mar 2023 20:50:54 +0100}, biburl = {https://dblp.org/rec/books/crc/04/PetrovicRS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/RoundyWR03, author = {Shad Roundy and Paul K. Wright and Jan M. Rabaey}, title = {A study of low level vibrations as a power source for wireless sensor nodes}, journal = {Comput. Commun.}, volume = {26}, number = {11}, pages = {1131--1144}, year = {2003}, url = {https://doi.org/10.1016/S0140-3664(02)00248-7}, doi = {10.1016/S0140-3664(02)00248-7}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/RoundyWR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OtisR03, author = {Brian P. Otis and Jan M. Rabaey}, title = {A 300-{\(\mu\)}W 1.9-GHz {CMOS} oscillator utilizing micromachined resonators}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {7}, pages = {1271--1274}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.813219}, doi = {10.1109/JSSC.2003.813219}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OtisR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RabaeySBBFHNSY03, author = {Jan M. Rabaey and Dennis Sylvester and David T. Blaauw and Kerry Bernstein and Jerry Frenkil and Mark Horowitz and Wolfgang Nebel and Takayasu Sakurai and Andrew Yang}, title = {Reshaping {EDA} for power}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {15}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775838}, doi = {10.1145/775832.775838}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RabaeySBBFHNSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AmmerSKKR03, author = {M. Josie Ammer and Michael Sheets and Tufan C. Karalar and Mika Kuulusa and Jan M. Rabaey}, title = {A low-energy chip-set for wireless intercom}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {916--919}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776062}, doi = {10.1145/775832.776062}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AmmerSKKR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SarrigeorgidisR03, author = {Konstantinos Sarrigeorgidis and Jan M. Rabaey}, title = {Massively Parallel Wireless Reconfigurable Processor Architecture and Programming}, booktitle = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, pages = {170}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IPDPS.2003.1213313}, doi = {10.1109/IPDPS.2003.1213313}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SarrigeorgidisR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KubischKWZR03, author = {Martin Kubisch and Holger Karl and Adam Wolisz and Lizhi Charlie Zhong and Jan M. Rabaey}, title = {Distributed algorithms for transmission power control in wireless sensor networks}, booktitle = {2003 {IEEE} Wireless Communications and Networking, {WCNC} 2003, New Orleans, LA, USA, 16-20 March, 2003}, pages = {558--563}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/WCNC.2003.1200410}, doi = {10.1109/WCNC.2003.1200410}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KubischKWZR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsna/GreunenR03, author = {Jana van Greunen and Jan M. Rabaey}, editor = {C. S. Raghavendra and Krishna M. Sivalingam and Ramesh Govindan and Parmesh Ramanathan}, title = {Lightweight time synchronization for sensor networks}, booktitle = {Proceedings of the Second {ACM} International Conference on Wireless Sensor Networks and Applications, {WSNA} 2003, San Diego, CA, USA, September 19, 2003}, pages = {11--19}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/941350.941353}, doi = {10.1145/941350.941353}, timestamp = {Mon, 23 May 2022 11:50:07 +0200}, biburl = {https://dblp.org/rec/conf/wsna/GreunenR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/03/ChenSLMSR03, author = {Rong Chen and Marco Sgroi and Luciano Lavagno and Grant Martin and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, editor = {Luciano Lavagno and Grant Martin and Bran Selic}, title = {{UML} and Platform-based Design}, booktitle = {{UML} for Real - Design of Embedded Real-Time Systems}, pages = {107--126}, publisher = {Kluwer}, year = {2003}, url = {https://doi.org/10.1007/0-306-48738-1\_5}, doi = {10.1007/0-306-48738-1\_5}, timestamp = {Tue, 20 Aug 2019 14:51:08 +0200}, biburl = {https://dblp.org/rec/books/sp/03/ChenSLMSR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RabaeyKBCSLH02, author = {Jan M. Rabaey and Joachim Kunkel and Dennis Brophy and Raul Camposano and Davoud Samani and Larry Lerner and Rick Hetherington}, title = {What's the next {EDA} driver?}, booktitle = {Proceedings of the 39th Design Automation Conference, {DAC} 2002, New Orleans, LA, USA, June 10-14, 2002}, pages = {652}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/513918.514083}, doi = {10.1145/513918.514083}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RabaeyKBCSLH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/Rabaey02, author = {Jan M. Rabaey}, title = {Ultra Low-Energy Transceivers for Wireless Sensor Networks}, booktitle = {Proceedings of the 15th Annual Symposium on Integrated Circuits and Systems Design, {SBCCI} 2002, Porto Alegre, Brazil, September 9-14, 2002}, pages = {386}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://dl.acm.org/doi/10.5555/827246.827364}, doi = {10.5555/827246.827364}, timestamp = {Fri, 10 Jun 2022 11:12:41 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/Rabaey02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/SavareseRL02, author = {Chris Savarese and Jan M. Rabaey and Koen Langendoen}, editor = {Carla Schlatter Ellis}, title = {Robust Positioning Algorithms for Distributed Ad-Hoc Wireless Sensor Networks}, booktitle = {Proceedings of the General Track: 2002 {USENIX} Annual Technical Conference, June 10-15, 2002, Monterey, California, {USA}}, pages = {317--327}, publisher = {{USENIX}}, year = {2002}, url = {http://www.usenix.org/publications/library/proceedings/usenix02/savarese.html}, timestamp = {Mon, 01 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/usenix/SavareseRL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ShahR02, author = {Rahul C. Shah and Jan M. Rabaey}, title = {Energy aware routing for low energy ad hoc sensor networks}, booktitle = {2002 {IEEE} Wireless Communications and Networking Conference Record, {WCNC} 2002, Orlando, Florida, USA, MArch 17-21, 2002}, pages = {350--355}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/WCNC.2002.993520}, doi = {10.1109/WCNC.2002.993520}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ShahR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/BryantCKKMNPRS01, author = {Randal E. Bryant and Kwang{-}Ting Cheng and Andrew B. Kahng and Kurt Keutzer and Wojciech Maly and A. Richard Newton and Lawrence T. Pileggi and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Limitations and challenges of computer-aided design technology for {CMOS} {VLSI}}, journal = {Proc. {IEEE}}, volume = {89}, number = {3}, pages = {341--365}, year = {2001}, url = {https://doi.org/10.1109/5.915378}, doi = {10.1109/5.915378}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/BryantCKKMNPRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/WanZGBAPR01, author = {Marlene Wan and Hui Zhang and George Varghese and Martin Benes and Arthur Abnous and Vandana Prabhu and Jan M. Rabaey}, title = {Design Methodology of a Low-Energy Reconfigurable Single-Chip {DSP} System}, journal = {J. {VLSI} Signal Process.}, volume = {28}, number = {1-2}, pages = {47--61}, year = {2001}, url = {https://doi.org/10.1023/A:1008159121620}, doi = {10.1023/A:1008159121620}, timestamp = {Tue, 12 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/WanZGBAPR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SgroiSMKMRS01, author = {Marco Sgroi and Michael Sheets and Andrew Mihal and Kurt Keutzer and Sharad Malik and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {Addressing the System-on-a-Chip Interconnect Woes Through Communication-Based Design}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {667--672}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379045}, doi = {10.1145/378239.379045}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/SgroiSMKMRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SilvaSAGLSTSRNSW01, author = {Julio Leao da Silva Jr. and J. Shamberger and M. Josie Ammer and Chunlong Guo and Suet{-}Fei Li and Rahul C. Shah and Tim Tuan and Michael Sheets and Jan M. Rabaey and Borivoje Nikolic and Alberto L. Sangiovanni{-}Vincentelli and Paul K. Wright}, editor = {Wolfgang Nebel and Ahmed Jerraya}, title = {Design methodology for PicoRadio networks}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2001, Munich, Germany, March 12-16, 2001}, pages = {314--325}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DATE.2001.915043}, doi = {10.1109/DATE.2001.915043}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SilvaSAGLSTSRNSW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/GuoZR01, author = {Chunlong Guo and Lizhi Charlie Zhong and Jan M. Rabaey}, title = {Low power distributed {MAC} for ad hoc sensor radio networks}, booktitle = {Proceedings of the Global Telecommunications Conference, 2001. {GLOBECOM} '01, San Antonio, TX, USA, 25-29 November, 2001}, pages = {2944--2948}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/GLOCOM.2001.965967}, doi = {10.1109/GLOCOM.2001.965967}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/GuoZR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TuanLR01, author = {Tim Tuan and Suet{-}Fei Li and Jan M. Rabaey}, title = {Reconfigurable platform design for wireless protocol processors}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, pages = {893--896}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICASSP.2001.941059}, doi = {10.1109/ICASSP.2001.941059}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/TuanLR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SavareseRB01, author = {Chris Savarese and Jan M. Rabaey and Jan Beutel}, title = {Location in distributed ad-hoc wireless sensor networks}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, pages = {2037--2040}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICASSP.2001.940391}, doi = {10.1109/ICASSP.2001.940391}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SavareseRB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Rabaey01, author = {Jan M. Rabaey}, editor = {Enrico Macii and Vivek De and Mary Jane Irwin}, title = {Wireless beyond the third generation wireless beyond the third generation: facing the energy challenge}, booktitle = {Proceedings of the 2001 International Symposium on Low Power Electronics and Design, 2001, Huntington Beach, California, USA, 2001}, pages = {1--3}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/383082.383084}, doi = {10.1145/383082.383084}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/Rabaey01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/RabaeyASPR00, author = {Jan M. Rabaey and M. Josie Ammer and Julio Leao da Silva Jr. and Danny Patel and Shad Roundy}, title = {PicoRadio Supports Ad Hoc Ultra-Low Power Wireless Networking}, journal = {Computer}, volume = {33}, number = {7}, pages = {42--48}, year = {2000}, url = {https://doi.org/10.1109/2.869369}, doi = {10.1109/2.869369}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computer/RabaeyASPR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhangPVWBAR00, author = {Hui Zhang and Vandana Prabhu and George Varghese and Marlene Wan and Martin Benes and Arthur Abnous and Jan M. Rabaey}, title = {A 1-V heterogeneous reconfigurable {DSP} {IC} for wireless baseband digital signal processing}, journal = {{IEEE} J. Solid State Circuits}, volume = {35}, number = {11}, pages = {1697--1704}, year = {2000}, url = {https://doi.org/10.1109/4.881217}, doi = {10.1109/4.881217}, timestamp = {Tue, 12 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhangPVWBAR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PotkonjakR00, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Maximally and arbitrarily fast implementation of linear andfeedback linear computations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {1}, pages = {30--43}, year = {2000}, url = {https://doi.org/10.1109/43.822618}, doi = {10.1109/43.822618}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PotkonjakR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KeutzerNRS00, author = {Kurt Keutzer and A. Richard Newton and Jan M. Rabaey and Alberto L. Sangiovanni{-}Vincentelli}, title = {System-level design: orthogonalization of concerns andplatform-based design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {19}, number = {12}, pages = {1523--1543}, year = {2000}, url = {https://doi.org/10.1109/43.898830}, doi = {10.1109/43.898830}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/KeutzerNRS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangGR00, author = {Hui Zhang and George Varghese and Jan M. Rabaey}, title = {Low-swing on-chip signaling techniques: effectiveness and robustness}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {8}, number = {3}, pages = {264--272}, year = {2000}, url = {https://doi.org/10.1109/92.845893}, doi = {10.1109/92.845893}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangGR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/Rabaey00, author = {Jan M. Rabaey}, title = {Low-power silicon architecture for wireless communications: embedded tutorial}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {377--380}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368691}, doi = {10.1145/368434.368691}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/Rabaey00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/GhazalNR00, author = {Naji Ghazal and A. Richard Newton and Jan M. Rabaey}, title = {Retargetable estimation scheme for {DSP} architecture selection}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {485--490}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368766}, doi = {10.1145/368434.368766}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/GhazalNR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/SilvaSBLSR00, author = {Julio Leao da Silva Jr. and Marco Sgroi and Fernando De Bernardinis and Suet{-}Fei Li and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, editor = {Frank Vahid and Jan Madsen}, title = {Wireless protocols design: challenges and opportunities}, booktitle = {Proceedings of the Eighth International Workshop on Hardware/Software Codesign, {CODES} 2000, San Diego, California, USA, 2000}, pages = {147--151}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/334012.334044}, doi = {10.1145/334012.334044}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/SilvaSBLSR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhazalNR00, author = {Naji Ghazal and A. Richard Newton and Jan M. Rabaey}, editor = {Giovanni De Micheli}, title = {Predicting performance potential of modern DSPs}, booktitle = {Proceedings of the 37th Conference on Design Automation, Los Angeles, CA, USA, June 5-9, 2000}, pages = {332--335}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/337292.337431}, doi = {10.1145/337292.337431}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhazalNR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/Rabaey00, author = {Jan M. Rabaey}, editor = {Reiner W. Hartenstein and Herbert Gr{\"{u}}nbacher}, title = {Silicon Platforms for the Next Generation Wireless Systems - What Role Does Reconfigurable Hardware Play?}, booktitle = {Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop, {FPL} 2000, Villach, Austria, August 27-30, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1896}, pages = {277--285}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44614-1\_31}, doi = {10.1007/3-540-44614-1\_31}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/Rabaey00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SgroiSBBSR00, author = {Marco Sgroi and Julio Leao da Silva Jr. and Fernando De Bernardinis and Fred L. Burghardt and Alberto L. Sangiovanni{-}Vincentelli and Jan M. Rabaey}, title = {Designing wireless protocols: methodology and applications}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing. {ICASSP} 2000, 5-9 June, 2000, Hilton Hotel and Convention Center, Istanbul, Turkey}, pages = {3726--3729}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICASSP.2000.860212}, doi = {10.1109/ICASSP.2000.860212}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/SgroiSBBSR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RabaeyPKLT00, author = {Jan M. Rabaey and Miodrag Potkonjak and Farinaz Koushanfar and Suet{-}Fei Li and Tim Tuan}, editor = {Ellen Sentovich}, title = {Challenges and Opportunities in Broadband and Wireless Communication Designs}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {76--82}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896454}, doi = {10.1109/ICCAD.2000.896454}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RabaeyPKLT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KoushanfarPPR00, author = {Farinaz Koushanfar and Miodrag Potkonjak and Vandana Prabhu and Jan M. Rabaey}, title = {Processors for Mobile Applications}, booktitle = {Proceedings of the {IEEE} International Conference On Computer Design: {VLSI} In Computers {\&} Processors, {ICCD} '00, Austin, Texas, USA, September 17-20, 2000}, pages = {603--608}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCD.2000.878354}, doi = {10.1109/ICCD.2000.878354}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KoushanfarPPR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/MusicerR00, author = {Jason M. Musicer and Jan M. Rabaey}, editor = {David T. Blaauw and Christian C. Enz and Thaddeus Gabara and Enrico Macii}, title = {{MOS} current mode logic for low power, low noise {CORDIC} computation in mixed-signal environments}, booktitle = {Proceedings of the 2000 International Symposium on Low Power Electronics and Design, 2000, Rapallo, Italy, July 25-27, 2000}, pages = {102--107}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/344166.344532}, doi = {10.1145/344166.344532}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/MusicerR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PotkonjakR99, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Algorithm selection: a quantitative optimization-intensive approach}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {18}, number = {5}, pages = {524--532}, year = {1999}, url = {https://doi.org/10.1109/43.759065}, doi = {10.1109/43.759065}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PotkonjakR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/GeorgeZR99, author = {George Varghese and Hui Zhang and Jan M. Rabaey}, editor = {Farid N. Najm and Jason Cong and David T. Blaauw}, title = {The design of a low energy {FPGA}}, booktitle = {Proceedings of the 1999 International Symposium on Low Power Electronics and Design, 1999, San Diego, California, USA, August 16-17, 1999}, pages = {188--193}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/313817.313920}, doi = {10.1145/313817.313920}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/GeorgeZR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/RabaeyGBNC98, author = {Jan M. Rabaey and Wanda Gass and Robert W. Brodersen and Takeo Nishitani and Tsuhan Chen}, title = {{VLSI} design and implementation fuels the signal-processing revolution}, journal = {{IEEE} Signal Process. Mag.}, volume = {15}, number = {1}, pages = {22--37}, year = {1998}, url = {https://doi.org/10.1109/79.647040}, doi = {10.1109/79.647040}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spm/RabaeyGBNC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/GuerraPR98, author = {Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, title = {Behavioral-level synthesis of heterogeneous {BISR} reconfigurable ASIC's}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {6}, number = {1}, pages = {158--167}, year = {1998}, url = {https://doi.org/10.1109/92.661258}, doi = {10.1109/92.661258}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/GuerraPR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LidskyR98, author = {David Lidsky and Jan M. Rabaey}, title = {The Conceptual-Level Design Approach to Complex Systems}, journal = {J. {VLSI} Signal Process.}, volume = {18}, number = {1}, pages = {11--24}, year = {1998}, url = {https://doi.org/10.1023/A:1007985108367}, doi = {10.1023/A:1007985108367}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LidskyR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WanILR98, author = {Marlene Wan and Yuji Ichikawa and David Lidsky and Jan M. Rabaey}, title = {An energy conscious methodology for early design exploration of heterogeneous DSPs}, booktitle = {Proceedings of the {IEEE} 1998 Custom Integrated Circuits Conference, {CICC} 1998, Santa Clara, CA, USA, May 11-14, 1998}, pages = {111--117}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/CICC.1998.694918}, doi = {10.1109/CICC.1998.694918}, timestamp = {Fri, 07 Jul 2023 11:00:51 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WanILR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuttonSR98, author = {Roy A. Sutton and Vason P. Srini and Jan M. Rabaey}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {A Multiprocessor {DSP} System Using {PADDI-2}}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {62--65}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277056}, doi = {10.1145/277044.277056}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuttonSR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuerraPR98, author = {Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {A Methodology for Guided Behavioral-Level Optimization}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {309--314}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277134}, doi = {10.1145/277044.277134}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuerraPR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RabaeyW98, author = {Jan M. Rabaey and Marlene Wan}, editor = {Patrick M. Dewilde and Franz J. Rammig and Gerry Musgrave}, title = {An Energy-Conscious Exploration Methodology for Reconfigurable DSPs}, booktitle = {1998 Design, Automation and Test in Europe {(DATE} '98), February 23-26, 1998, Le Palais des Congr{\`{e}}s de Paris, Paris, France}, pages = {341--342}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/DATE.1998.655879}, doi = {10.1109/DATE.1998.655879}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RabaeyW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AbnousSIWR98, author = {Arthur Abnous and Katsunori Seno and Yuji Ichikawa and Marlene Wan and Jan M. Rabaey}, editor = {Jos{\'{e}} D. P. Rolim}, title = {Evaluation of a Low-Power Reconfigurable {DSP} Architecture}, booktitle = {Parallel and Distributed Processing, 10 IPPS/SPDP'98 Workshops Held in Conjunction with the 12th International Parallel Processing Symposium and 9th Symposium on Parallel and Distributed Processing, Orlando, Florida, USA, March 30 - April 3, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1388}, pages = {55--60}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-64359-1\_673}, doi = {10.1007/3-540-64359-1\_673}, timestamp = {Sat, 03 Aug 2019 19:28:45 +0200}, biburl = {https://dblp.org/rec/conf/ipps/AbnousSIWR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/KusseR98, author = {Eric Kusse and Jan M. Rabaey}, editor = {Anantha P. Chandrakasan and Sayfe Kiaei}, title = {Low-energy embedded {FPGA} structures}, booktitle = {Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998}, pages = {155--160}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/280756.280873}, doi = {10.1145/280756.280873}, timestamp = {Mon, 27 Sep 2021 11:47:11 +0200}, biburl = {https://dblp.org/rec/conf/islped/KusseR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ZhangR98, author = {Hui Zhang and Jan M. Rabaey}, editor = {Anantha P. Chandrakasan and Sayfe Kiaei}, title = {Low-swing interconnect interface circuits}, booktitle = {Proceedings of the 1998 International Symposium on Low Power Electronics and Design, 1998, Monterey, California, USA, August 10-12, 1998}, pages = {161--166}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/280756.280876}, doi = {10.1145/280756.280876}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/ZhangR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/Rabaey98, author = {Jan M. Rabaey}, title = {Invited Address: Hybrid Reconfigurable Processors - The Road to Low-Power Consumption}, booktitle = {11th International Conference on {VLSI} Design {(VLSI} Design 1991), 4-7 January 1998, Chennai, India}, pages = {300--303}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICVD.1998.646622}, doi = {10.1109/ICVD.1998.646622}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/Rabaey98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1998, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, publisher = {{ACM} Press}, year = {1998}, url = {http://dl.acm.org/citation.cfm?id=277044}, isbn = {0-89791-964-5}, timestamp = {Wed, 30 Nov 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/1998.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/MehraGR97, author = {Renu Mehra and Lisa M. Guerra and Jan M. Rabaey}, title = {A partitioning scheme for optimizing interconnect power}, journal = {{IEEE} J. Solid State Circuits}, volume = {32}, number = {3}, pages = {433--443}, year = {1997}, url = {https://doi.org/10.1109/4.557644}, doi = {10.1109/4.557644}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/MehraGR97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BentzRL97, author = {Ole Bentz and Jan M. Rabaey and David Lidsky}, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {A Dynamic Design Estimation and Exploration Environment}, booktitle = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, pages = {190--195}, publisher = {{ACM} Press}, year = {1997}, url = {https://doi.org/10.1145/266021.266063}, doi = {10.1145/266021.266063}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BentzRL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Rabaey97, author = {Jan M. Rabaey}, title = {Reconfigurable processing: the solution to low-power programmable {DSP}}, booktitle = {1997 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '97, Munich, Germany, April 21-24, 1997}, pages = {275--278}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICASSP.1997.599622}, doi = {10.1109/ICASSP.1997.599622}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/Rabaey97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/Rabaey97, author = {Jan M. Rabaey}, editor = {Brock Barton and Massoud Pedram and Anantha P. Chandrakasan and Sayfe Kiaei}, title = {System-level power estimation and optimization - challenges and perspectives}, booktitle = {Proceedings of the 1997 International Symposium on Low Power Electronics and Design, 1997, Monterey, California, USA, August 18-20, 1997}, pages = {158--160}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/263272.263314}, doi = {10.1145/263272.263314}, timestamp = {Mon, 27 Sep 2021 11:47:11 +0200}, biburl = {https://dblp.org/rec/conf/islped/Rabaey97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/1997, editor = {Ellen J. Yoffa and Giovanni De Micheli and Jan M. Rabaey}, title = {Proceedings of the 34st Conference on Design Automation, Anaheim, California, USA, Anaheim Convention Center, June 9-13, 1997}, publisher = {{ACM} Press}, year = {1997}, url = {http://dl.acm.org/citation.cfm?id=266021}, isbn = {0-89791-920-3}, timestamp = {Wed, 30 Nov 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/1997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LandmanMR96, author = {Paul E. Landman and Renu Mehra and Jan M. Rabaey}, title = {An Integrated {CAD} Environment for Low-Power Design}, journal = {{IEEE} Des. Test Comput.}, volume = {13}, number = {2}, pages = {72--82}, year = {1996}, url = {https://doi.org/10.1109/54.500202}, doi = {10.1109/54.500202}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LandmanMR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LandmanR96, author = {Paul E. Landman and Jan M. Rabaey}, title = {Activity-sensitive architectural power analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {6}, pages = {571--587}, year = {1996}, url = {https://doi.org/10.1109/43.503928}, doi = {10.1109/43.503928}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LandmanR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/CorazaoKGPR96, author = {Miguel R. Corazao and Marwan A. Khalaf and Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, title = {Performance optimization using template mapping for datapath-intensive high-level synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {15}, number = {8}, pages = {877--888}, year = {1996}, url = {https://doi.org/10.1109/43.511568}, doi = {10.1109/43.511568}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/CorazaoKGPR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/VerbauwhedeSR96, author = {Ingrid Verbauwhede and Chris J. Scheers and Jan M. Rabaey}, title = {Analysis of multidimensional {DSP} specifications}, journal = {{IEEE} Trans. Signal Process.}, volume = {44}, number = {12}, pages = {3169--3174}, year = {1996}, url = {https://doi.org/10.1109/78.553544}, doi = {10.1109/78.553544}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/VerbauwhedeSR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/MehraGR96, author = {Renu Mehra and Lisa M. Guerra and Jan M. Rabaey}, title = {Low-power architectural synthesis and the impact of exploiting locality}, journal = {J. {VLSI} Signal Process.}, volume = {13}, number = {2-3}, pages = {239--258}, year = {1996}, url = {https://doi.org/10.1007/BF01130408}, doi = {10.1007/BF01130408}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/MehraGR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/NarayanaswamySABBBBCFGHKLMR96, author = {Shankar Narayanaswamy and Srinivasan Seshan and Elan Amir and Eric A. Brewer and Robert W. Brodersen and Fred L. Burghardt and Andrew J. Burstein and Yuan{-}Chi Chang and Armando Fox and Jeffrey M. Gilbert and Richard Han and Randy H. Katz and Allan Christian Long Jr. and David G. Messerschmitt and Jan M. Rabaey}, title = {A low-power, lightweight unit to provide ubiquitous information access application and network support for InfoPad}, journal = {{IEEE} Wirel. Commun.}, volume = {3}, number = {2}, pages = {4--17}, year = {1996}, url = {https://doi.org/10.1109/98.490749}, doi = {10.1109/98.490749}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/NarayanaswamySABBBBCFGHKLMR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LidskyR96, author = {David Lidsky and Jan M. Rabaey}, editor = {Thomas Pennino and Ellen J. Yoffa}, title = {Early Power Exploration - {A} World Wide Web Application}, booktitle = {Proceedings of the 33st Conference on Design Automation, Las Vegas, Nevada, USA, Las Vegas Convention Center, June 3-7, 1996}, pages = {27--32}, publisher = {{ACM} Press}, year = {1996}, url = {https://doi.org/10.1145/240518.240523}, doi = {10.1145/240518.240523}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LidskyR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MehraR96, author = {Renu Mehra and Jan M. Rabaey}, editor = {Rob A. Rutenbar and Ralph H. J. M. Otten}, title = {Exploiting regularity for low-power design}, booktitle = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996}, pages = {166--172}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1996}, url = {https://doi.org/10.1109/ICCAD.1996.569540}, doi = {10.1109/ICCAD.1996.569540}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MehraR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip/LeR96, author = {My T. Le and Jan M. Rabaey}, editor = {Jos{\'{e}} L. Encarna{\c{c}}{\~{a}}o and Jan M. Rabaey}, title = {A Global QoS Management for Wireless Network}, booktitle = {Mobile Communications: Technology, Tools Applications, Authentication and Security}, series = {{IFIP} Conference Proceedings}, volume = {66}, pages = {205--218}, publisher = {Chapman {\&} Hall}, year = {1996}, timestamp = {Sat, 07 Sep 2019 11:59:24 +0200}, biburl = {https://dblp.org/rec/conf/ifip/LeR96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/BurrGHRW96, author = {James Burr and Laszlo Gal and Ramsey W. Haddad and Jan M. Rabaey and Bruce Wooley}, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {Which has greater potential power impact: high-level design and algorithms or innovative low power technology? (panel)}, booktitle = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, pages = {175}, publisher = {{IEEE}}, year = {1996}, url = {https://dl.acm.org/citation.cfm?id=252597}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/BurrGHRW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ifip/1996-2, editor = {Jos{\'{e}} L. Encarna{\c{c}}{\~{a}}o and Jan M. Rabaey}, title = {Mobile Communications: Technology, Tools Applications, Authentication and Security}, series = {{IFIP} Conference Proceedings}, volume = {66}, publisher = {Chapman {\&} Hall}, year = {1996}, isbn = {0-412-75580-7}, timestamp = {Sat, 07 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip/1996-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/islped/1996, editor = {Mark Horowitz and Jan M. Rabaey and Brock Barton and Massoud Pedram}, title = {Proceedings of the 1996 International Symposium on Low Power Electronics and Design, 1996, Monterey, California, USA, August 12-14, 1996}, publisher = {{IEEE}}, year = {1996}, url = {https://ieeexplore.ieee.org/xpl/conhome/3976/proceeding}, isbn = {0-7803-3571-6}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/1996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/SinghRPCRSM95, author = {Deo Singh and Jan M. Rabaey and Massoud Pedram and Francky Catthoor and Suresh Rajgopal and Naresh Sehgal and Thomas J. Mozdzen}, title = {Power conscious {CAD} tools and methodologies: a perspective}, journal = {Proc. {IEEE}}, volume = {83}, number = {4}, pages = {570--594}, year = {1995}, url = {https://doi.org/10.1109/5.371967}, doi = {10.1109/5.371967}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/SinghRPCRSM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChandrakasanPMRB95, author = {Anantha P. Chandrakasan and Miodrag Potkonjak and Renu Mehra and Jan M. Rabaey and Robert W. Brodersen}, title = {Optimizing power using transformations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {14}, number = {1}, pages = {12--31}, year = {1995}, url = {https://doi.org/10.1109/43.363126}, doi = {10.1109/43.363126}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChandrakasanPMRB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LandmanR95, author = {Paul E. Landman and Jan M. Rabaey}, title = {Architectural power analysis: The dual bit type method}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {3}, number = {2}, pages = {173--187}, year = {1995}, url = {https://doi.org/10.1109/92.386219}, doi = {10.1109/92.386219}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LandmanR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/VerbauwhedeR95, author = {Ingrid Verbauwhede and Jan M. Rabaey}, title = {Guest editor's introduction design environments for {DSP}}, journal = {J. {VLSI} Signal Process.}, volume = {9}, number = {1-2}, pages = {5--6}, year = {1995}, url = {https://doi.org/10.1007/BF02406467}, doi = {10.1007/BF02406467}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/VerbauwhedeR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/VerbauwhedeR95a, author = {Ingrid Verbauwhede and Jan M. Rabaey}, title = {Synthesis for real time systems: Solutions and challenges}, journal = {J. {VLSI} Signal Process.}, volume = {9}, number = {1-2}, pages = {67--88}, year = {1995}, url = {https://doi.org/10.1007/BF02406471}, doi = {10.1007/BF02406471}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/VerbauwhedeR95a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LongNBHLRSBR95, author = {Allan Christian Long Jr. and Shankar Narayanaswamy and Andrew J. Burstein and Richard Han and Ken Lutz and Brian C. Richards and Samuel Sheng and Robert W. Brodersen and Jan M. Rabaey}, editor = {Jim Miller and Irvin R. Katz and Robert L. Mack and Linn Marks}, title = {A prototype user interface for a mobile multimedia terminal}, booktitle = {Human Factors in Computing Systems, {CHI} '95 Conference Companion: Mosaic of Creativity, Denver, Colorado, USA, May 7-11, 1995}, pages = {81--82}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/223355.223439}, doi = {10.1145/223355.223439}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/LongNBHLRSBR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compcon/LeBSR95, author = {My T. Le and Frederick L. Burghard and Srinivasan Seshan and Jan M. Rabaey}, title = {InfoNet: the Networking Infrastructure of InfoPad}, booktitle = {{COMPCON} '95: Technologies for the Information Superhighway, Digest of Papers, San Francisco, California, USA, March 5-9, 1995}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/CMPCON.1995.512381}, doi = {10.1109/CMPCON.1995.512381}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compcon/LeBSR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compcon/BrewerBBBDLNPRTKRB95, author = {Eric A. Brewer and Thomas D. Burd and Frederick L. Burghard and Andrew J. Burstein and Roger Doering and Ken Lutz and Shankar Narayanaswamy and Trevor Pering and Brian C. Richards and Thomas E. Truman and Randy H. Katz and Jan M. Rabaey and Robert W. Brodersen}, title = {Design of Wireless Portable Systems}, booktitle = {{COMPCON} '95: Technologies for the Information Superhighway, Digest of Papers, San Francisco, California, USA, March 5-9, 1995}, pages = {169--176}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/CMPCON.1995.512382}, doi = {10.1109/CMPCON.1995.512382}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compcon/BrewerBBBDLNPRTKRB95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/PotkonjakR95, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Power minimization in {DSP} application specific systems using algorithm selection}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {2639--2642}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICASSP.1995.480103}, doi = {10.1109/ICASSP.1995.480103}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/PotkonjakR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/RabaeyPW95, author = {Jan M. Rabaey and Miodrag Potkonjak and Kazutoshi Wakabayashi}, title = {Efficient throughput optimization of feedback linear computations using generalized Horner's scheme}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {2659--2662}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICASSP.1995.480108}, doi = {10.1109/ICASSP.1995.480108}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/RabaeyPW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/RabaeyGM95, author = {Jan M. Rabaey and Lisa M. Guerra and Renu Mehra}, title = {Design guidance in the power dimension}, booktitle = {1995 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '95, Detroit, Michigan, USA, May 08-12, 1995}, pages = {2837--2840}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/ICASSP.1995.479435}, doi = {10.1109/ICASSP.1995.479435}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/RabaeyGM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/LandmanR95, author = {Paul E. Landman and Jan M. Rabaey}, editor = {Massoud Pedram and Robert W. Brodersen and Kurt Keutzer}, title = {Activity-sensitive architectural power analysis for the control path}, booktitle = {Proceedings of the 1995 International Symposium on Low Power Design 1995, Dana Point, California, USA, April 23-26, 1995}, pages = {93--98}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224081.224098}, doi = {10.1145/224081.224098}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/LandmanR95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/PotkonjakR94, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Optimizing resource utilization using transformations}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {3}, pages = {277--292}, year = {1994}, url = {https://doi.org/10.1109/43.265670}, doi = {10.1109/43.265670}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/PotkonjakR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RabaeyP94, author = {Jan M. Rabaey and Miodrag Potkonjak}, title = {Estimating implementation bounds for real time {DSP} application specific circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {13}, number = {6}, pages = {669--683}, year = {1994}, url = {https://doi.org/10.1109/43.285240}, doi = {10.1109/43.285240}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RabaeyP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/PotkonjakR94, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Optimizing throughput and resource utilization using pipelining: Transformation based approach}, journal = {J. {VLSI} Signal Process.}, volume = {8}, number = {2}, pages = {117--130}, year = {1994}, url = {https://doi.org/10.1007/BF02109380}, doi = {10.1007/BF02109380}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/PotkonjakR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/HoangR94, author = {Phu Hoang and Jan M. Rabaey}, title = {A {CAD} environment for Real-time {DSP} implementations on multiprocessors}, journal = {J. {VLSI} Signal Process.}, volume = {8}, number = {2}, pages = {131--150}, year = {1994}, url = {https://doi.org/10.1007/BF02109381}, doi = {10.1007/BF02109381}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/HoangR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VerbauwhedeSR94, author = {Ingrid Verbauwhede and Chris J. Scheers and Jan M. Rabaey}, editor = {Michael J. Lorenzetti}, title = {Memory Estimation for High Level Synthesis}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {143--148}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196313}, doi = {10.1145/196244.196313}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VerbauwhedeSR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/HuangR94, author = {Shan{-}Hsi Huang and Jan M. Rabaey}, editor = {Robert Werner}, title = {Maximizing the Throughput of High Performance {DSP} Applications Using Behavioral Transformations}, booktitle = {{EDAC} - The European Conference on Design Automation, {ETC} - European Test Conference, {EUROASIC} - The European Event in {ASIC} Design, Proceedings, February 28 - March 3, 1994, Paris, France}, pages = {25--30}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/EDTC.1994.326903}, doi = {10.1109/EDTC.1994.326903}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/HuangR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GuerraPR94, author = {Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, title = {Concurrency characteristics in {DSP} programs}, booktitle = {Proceedings of {ICASSP} '94: {IEEE} International Conference on Acoustics, Speech and Signal Processing, Adelaide, South Australia, Australia, April 19-22, 1994}, pages = {433--436}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICASSP.1994.389628}, doi = {10.1109/ICASSP.1994.389628}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/GuerraPR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/VerbauwhedeSR94, author = {Ingrid Verbauwhede and Chris J. Scheers and Jan M. Rabaey}, title = {Specification and support for multidimensional {DSP} in the {SILAGE} language}, booktitle = {Proceedings of {ICASSP} '94: {IEEE} International Conference on Acoustics, Speech and Signal Processing, Adelaide, South Australia, Australia, April 19-22, 1994}, pages = {473--476}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICASSP.1994.389622}, doi = {10.1109/ICASSP.1994.389622}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/VerbauwhedeSR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PotkonjakR94, author = {Miodrag Potkonjak and Jan M. Rabaey}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Algorithm selection: a quantitative computation-intensive optimization approach}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {90--95}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629749}, doi = {10.1109/ICCAD.1994.629749}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PotkonjakR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CatthoorDHRMT94, author = {Francky Catthoor and Ed F. Deprettere and Yu Hen Hu and Jan M. Rabaey and Heinrich Meyr and Lothar Thiele}, title = {Is it Possible to achieve a Teraflop/s on a chip? From High Performance Algorithms to Architectures}, booktitle = {1994 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1994, London, England, UK, May 30 - June 2, 1994}, pages = {129--136}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ISCAS.1994.408922}, doi = {10.1109/ISCAS.1994.408922}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CatthoorDHRMT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/BrodersenBBBCDN94, author = {Robert W. Brodersen and Thomas D. Burd and Fred L. Burghardt and Andrew J. Burstein and Anantha P. Chandrakasan and Roger Doering and Shankar Narayanaswamy and Trevor Pering and Brian C. Richards and Thomas E. Truman and Jan M. Rabaey}, title = {Research challenges in wireless multimedia}, booktitle = {The 5th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1994, The Hague, The Netherlands, September 18-23, 1994}, pages = {1--5}, year = {1994}, url = {https://doi.org/10.1109/WNCMF.1994.530755}, doi = {10.1109/WNCMF.1994.530755}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/BrodersenBBBCDN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/HoangR93, author = {Phu Hoang and Jan M. Rabaey}, title = {Scheduling of {DSP} programs onto multiprocessors for maximum throughput}, journal = {{IEEE} Trans. Signal Process.}, volume = {41}, number = {6}, pages = {2225--2235}, year = {1993}, url = {https://doi.org/10.1109/78.218149}, doi = {10.1109/78.218149}, timestamp = {Mon, 30 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/HoangR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/PotkonjakGR93, author = {Miodrag Potkonjak and Lisa M. Guerra and Jan M. Rabaey}, title = {Heterogeneous {BISR} techniques for yield and reliability enhancement using high level synthesis transformations}, booktitle = {International Conference on Application-Specific Array Processors, {ASAP} 1993, Proceedings, Venice, Italy, 25-27 October, 1993}, pages = {454--465}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/ASAP.1993.397166}, doi = {10.1109/ASAP.1993.397166}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asap/PotkonjakGR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/GuerraPR93, author = {Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, editor = {Fabrizio Lombardi and Mariagiovanna Sami and Yvon Savaria and Renato Stefanelli}, title = {High Level Synthesis Techniques for Efficient Built-In-Self Repair}, booktitle = {The {IEEE} International Workshop on Defect and Fault Tolerance in {VLSI} Systems, October 27-29, 1993, Venice, Italy, Proceedings}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {1993}, timestamp = {Thu, 29 Jan 2009 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/GuerraPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/PotkonjakR93, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {On unlimited parallelism of {DSP} arithmetic computations}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '93, Minneapolis, Minnesota, USA, April 27-30, 1993}, pages = {381--384}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.ieeecomputersociety.org/10.1109/ICASSP.1993.319135}, doi = {10.1109/ICASSP.1993.319135}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/PotkonjakR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuerraPR93, author = {Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {High level synthesis for reconfigurable datapath structures}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {26--29}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580026}, doi = {10.1109/ICCAD.1993.580026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuerraPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CorazaoKGPR93, author = {Miguel R. Corazao and Marwan A. Khalaf and Lisa M. Guerra and Miodrag Potkonjak and Jan M. Rabaey}, editor = {Michael R. Lightner and Jochen A. G. Jess}, title = {Instruction set mapping for performance optimization}, booktitle = {Proceedings of the 1993 {IEEE/ACM} International Conference on Computer-Aided Design, 1993, Santa Clara, California, USA, November 7-11, 1993}, pages = {518--521}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1993}, url = {https://doi.org/10.1109/ICCAD.1993.580107}, doi = {10.1109/ICCAD.1993.580107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CorazaoKGPR93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PotkonjakR92, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Scheduling Algorithms For Hierarchical Data Control Flow Graphs}, journal = {Int. J. Circuit Theory Appl.}, volume = {20}, number = {3}, pages = {217--233}, year = {1992}, url = {https://doi.org/10.1002/cta.4490200303}, doi = {10.1002/CTA.4490200303}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PotkonjakR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/HoangR92, author = {Phu Hoang and Jan M. Rabaey}, title = {Hierarchical scheduling of {DSP} programs onto multiprocessors for maximum throughput}, booktitle = {Application Specific Array Processors, {ASAP} 1992, Proceedings of the International Conference on, Berkeley, CA, USA, 4-7 August, 1992}, pages = {21--36}, publisher = {{IEEE}}, year = {1992}, url = {https://doi.org/10.1109/ASAP.1992.218584}, doi = {10.1109/ASAP.1992.218584}, timestamp = {Sun, 08 Aug 2021 01:40:48 +0200}, biburl = {https://dblp.org/rec/conf/asap/HoangR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/ChenGNPSR92, author = {D. C. Chen and Lisa M. Guerra and E. H. Ng and Miodrag Potkonjak and D. P. Schultz and Jan M. Rabaey}, title = {An integrated system for rapid prototyping of high performance algorithm specific data paths}, booktitle = {Application Specific Array Processors, {ASAP} 1992, Proceedings of the International Conference on, Berkeley, CA, USA, 4-7 August, 1992}, pages = {134--148}, publisher = {{IEEE}}, year = {1992}, url = {https://doi.org/10.1109/ASAP.1992.218576}, doi = {10.1109/ASAP.1992.218576}, timestamp = {Mon, 30 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asap/ChenGNPSR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/PotkonjakR92, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Pipelining: just another transformation}, booktitle = {Application Specific Array Processors, {ASAP} 1992, Proceedings of the International Conference on, Berkeley, CA, USA, 4-7 August, 1992}, pages = {163--175}, publisher = {{IEEE}}, year = {1992}, url = {https://doi.org/10.1109/ASAP.1992.218574}, doi = {10.1109/ASAP.1992.218574}, timestamp = {Mon, 30 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asap/PotkonjakR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/PotkonjakR92, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Fast implementation of recursive programs using transformations}, booktitle = {1992 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '92, San Francisco, California, USA, March 23-26, 1992}, pages = {569--572}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICASSP.1992.226556}, doi = {10.1109/ICASSP.1992.226556}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/PotkonjakR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HoangR92, author = {Phu Hoang and Jan M. Rabaey}, title = {A compiler for multiprocessor {DSP} implementation}, booktitle = {1992 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '92, San Francisco, California, USA, March 23-26, 1992}, pages = {581--584}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ICASSP.1992.226553}, doi = {10.1109/ICASSP.1992.226553}, timestamp = {Mon, 29 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HoangR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChandrakasanPRB92, author = {Anantha P. Chandrakasan and Miodrag Potkonjak and Jan M. Rabaey and Robert W. Brodersen}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {{HYPER-LP:} a system for power minimization using architectural transformations}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {300--303}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279357}, doi = {10.1109/ICCAD.1992.279357}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChandrakasanPRB92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PotkonjakR92, author = {Miodrag Potkonjak and Jan M. Rabaey}, editor = {Louise Trevillyan and Michael R. Lightner}, title = {Maximally fast and arbitrarily fast implementation of linear computations}, booktitle = {1992 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1992, Santa Clara, CA, USA, November 8-12, 1992. Digest of Technical Papers}, pages = {304--308}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1992}, url = {https://doi.org/10.1109/ICCAD.1992.279356}, doi = {10.1109/ICCAD.1992.279356}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PotkonjakR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/RabaeyCHP91, author = {Jan M. Rabaey and Chi{-}Min Chu and Phu Hoang and Miodrag Potkonjak}, title = {Fast Prototyping of Datapath-Intensive Architectures}, journal = {{IEEE} Des. Test Comput.}, volume = {8}, number = {2}, pages = {40--51}, year = {1991}, url = {https://doi.org/10.1109/54.82037}, doi = {10.1109/54.82037}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/RabaeyCHP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShungJRWSRLATHRB91, author = {C. Bernard Shung and Rajeev Jain and Ken Rimey and Edward Wang and Mani B. Srivastava and Brian C. Richards and Erik Lettang and Syed Khalid Azim and Lars E. Thon and Paul N. Hilfinger and Jan M. Rabaey and Robert W. Brodersen}, title = {An integrated {CAD} system for algorithm-specific {IC} design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {10}, number = {4}, pages = {447--463}, year = {1991}, url = {https://doi.org/10.1109/43.75628}, doi = {10.1109/43.75628}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShungJRWSRLATHRB91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PotkonjakR91, author = {Miodrag Potkonjak and Jan M. Rabaey}, title = {Optimizing Resource Utilization Using Transformations}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {88--91}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185199}, doi = {10.1109/ICCAD.1991.185199}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PotkonjakR91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/GoossensRVM90, author = {Gert Goossens and Jan M. Rabaey and Joos Vandewalle and Hugo De Man}, title = {An efficient microcode compiler for application specific {DSP} processors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {9}, number = {9}, pages = {925--937}, year = {1990}, url = {https://doi.org/10.1109/43.59069}, doi = {10.1109/43.59069}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/GoossensRVM90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/ZegersSRM90, author = {J. Zegers and Paul Six and Jan M. Rabaey and Hugo De Man}, editor = {Gordon Adshead and Jochen A. G. Jess}, title = {{CGE:} automatic generation of controllers in the {CATHEDRAL-II} silicon compiler}, booktitle = {European Design Automation Conference, {EURO-DAC} 1990, Glasgow, Scotland, UK, March 12-15, 1990}, pages = {617--621}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/EDAC.1990.136720}, doi = {10.1109/EDAC.1990.136720}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/ZegersSRM90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/GeninHRSM90, author = {Dominique Genin and Paul N. Hilfinger and Jan M. Rabaey and Chris J. Scheers and Hugo De Man}, title = {{DSP} specification using the Silage language}, booktitle = {1990 International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '90, Albuquerque, New Mexico, USA, April 3-6, 1990}, pages = {1056--1060}, publisher = {{IEEE}}, year = {1990}, url = {https://doi.org/10.1109/ICASSP.1990.116097}, doi = {10.1109/ICASSP.1990.116097}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/GeninHRSM90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/WeintraubCMMSNS90, author = {Mitch Weintraub and G. Chen and J. Mankoski and Hy Murveit and A. St{\"{o}}lzle and Shankar Narayanaswamy and P. Schrupp and Brian C. Richards and Jan M. Rabaey and Robert W. Brodersen}, title = {Hardware for Hidden Markov-Model-Based, Large-Vocabulary Real-Time Speech Recognition}, booktitle = {Speech and Natural Language: Proceedings of a Workshop Held at Hidden Valley, Pennsylvania, USA, June 24-27, 1990}, publisher = {Morgan Kaufmann}, year = {1990}, url = {https://aclanthology.org/H90-1018/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/WeintraubCMMSNS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/DecaluweRMM89, author = {Jan Decaluwe and Jan M. Rabaey and Jef L. van Meerbergen and Hugo J. De Man}, title = {Interprocessor communication in synchronous multiprocessor digital signal processing chips}, journal = {{IEEE} Trans. Acoust. Speech Signal Process.}, volume = {37}, number = {12}, pages = {1816--1828}, year = {1989}, url = {https://doi.org/10.1109/29.45530}, doi = {10.1109/29.45530}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/DecaluweRMM89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PotkonjackR89, author = {Miodrag Potkonjak and Jan M. Rabaey}, editor = {Donald E. Thomas}, title = {A Scheduling and Resource Allocation Algorithm for Hierarchical Signal Flow Graphs}, booktitle = {Proceedings of the 26th {ACM/IEEE} Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989}, pages = {7--12}, publisher = {{ACM} Press}, year = {1989}, url = {https://doi.org/10.1145/74382.74385}, doi = {10.1145/74382.74385}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PotkonjackR89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/MurveitMRBSCNYS89, author = {Hy Murveit and J. Mankoski and Jan M. Rabaey and Robert W. Brodersen and T. Stoelzle and D. Chen and Shankar Narayanaswamy and R. Yu and P. Schrupp and R. Schwartz and A. Santos}, title = {A large-vocabulary real-time continuous-speech recognition system}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '89, Glasgow, Scotland, May 23-26, 1989}, pages = {789--792}, publisher = {{IEEE}}, year = {1989}, url = {https://doi.org/10.1109/ICASSP.1989.266546}, doi = {10.1109/ICASSP.1989.266546}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/MurveitMRBSCNYS89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChuPTR89, author = {Chi{-}Min Chu and Miodrag Potkonjak and Markus Thaler and Jan M. Rabaey}, title = {{HYPER:} an interactive synthesis environment for high performance real time applications}, booktitle = {Computer Design: {VLSI} in Computers and Processors, {ICCD} 1989. Proceedings., 1989 {IEEE} International Conference on, Cambridge, MA, USA, October 2-4, 1989}, pages = {432--435}, publisher = {{IEEE}}, year = {1989}, url = {https://doi.org/10.1109/ICCD.1989.63403}, doi = {10.1109/ICCD.1989.63403}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChuPTR89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/CatthoorRGMJMV88, author = {Francky Catthoor and Jan M. Rabaey and Gert Goossens and Jef L. van Meerbergen and Rajeev Jain and Hugo J. De Man and Joos Vandewalle}, title = {Architectural strategies for an application-specific synchronous multiprocessor environment}, journal = {{IEEE} Trans. Acoust. Speech Signal Process.}, volume = {36}, number = {2}, pages = {265--284}, year = {1988}, url = {https://doi.org/10.1109/29.1518}, doi = {10.1109/29.1518}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/CatthoorRGMJMV88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ManRSC86, author = {Hugo De Man and Jan M. Rabaey and Paul Six and Luc J. M. Claesen}, title = {Cathedral-II: {A} Silicon Compiler for Digital Signal Processing}, journal = {{IEEE} Des. Test}, volume = {3}, number = {6}, pages = {13--25}, year = {1986}, url = {https://doi.org/10.1109/MDT.1986.295047}, doi = {10.1109/MDT.1986.295047}, timestamp = {Fri, 05 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/ManRSC86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SixCRM86, author = {Paul Six and Luc J. M. Claesen and Jan M. Rabaey and Hugo De Man}, editor = {Don Thomas}, title = {An intelligent module generator environment}, booktitle = {Proceedings of the 23rd {ACM/IEEE} Design Automation Conference. Las Vegas, NV, USA, June, 1986}, pages = {730--735}, publisher = {{IEEE} Computer Society Press}, year = {1986}, url = {https://doi.org/10.1145/318013.318151}, doi = {10.1145/318013.318151}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SixCRM86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/RabaeyB86, author = {Jan M. Rabaey and Robert W. Brodersen}, title = {Experiences with automatic generation of audio band digital signal processing circuits}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 1986, Tokyo, Japan, April 7-11, 1986}, pages = {1541--1544}, publisher = {{IEEE}}, year = {1986}, url = {https://doi.org/10.1109/ICASSP.1986.1168943}, doi = {10.1109/ICASSP.1986.1168943}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/RabaeyB86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/RabaeyPB85, author = {Jan M. Rabaey and Stephen P. Pope and Robert W. Brodersen}, title = {An Integrated Automated Layout Generation System for {DSP} Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {4}, number = {3}, pages = {285--296}, year = {1985}, url = {https://doi.org/10.1109/TCAD.1985.1270124}, doi = {10.1109/TCAD.1985.1270124}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/RabaeyPB85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.