Stop the war!
Остановите войну!
for scientists:
default search action
BibTeX records: Takashi Sato
@inproceedings{DBLP:conf/siguccs/OzakiMS24, author = {Takuro Ozaki and Kazuhiro Mishima and Takashi Sato}, editor = {Eric Handler and Becky Klein and Ella Tschopik}, title = {Operation and Support of Mandatory Multiple Types of Multi-Factor Authentication for Members}, booktitle = {Proceedings of the 2024 {ACM} {SIGUCCS} Annual Conference, {SIGUCCS} 2024, Chicago, IL, USA, April 8-10, 2024}, pages = {62--67}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3599732.3641326}, doi = {10.1145/3599732.3641326}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siguccs/OzakiMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HaraSOA23, author = {Takumi Hara and Takashi Sato and Tetsuya Ogata and Hiromitsu Awano}, title = {Uncertainty-Aware Haptic Shared Control With Humanoid Robots for Flexible Object Manipulation}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {10}, pages = {6435--6442}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3306668}, doi = {10.1109/LRA.2023.3306668}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HaraSOA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/IkedaAS23, author = {Sosei Ikeda and Hiromitsu Awano and Takashi Sato}, title = {Modular {DFR:} Digital Delayed Feedback Reservoir Model for Enhancing Design Flexibility}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {110:1--110:20}, year = {2023}, url = {https://doi.org/10.1145/3609105}, doi = {10.1145/3609105}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/IkedaAS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SatoWCH23, author = {Takashi Sato and Chun{-}Yao Wang and Yu{-}Guang Chen and Tsung{-}Wei Huang}, title = {Invited Paper: Overview of 2023 {CAD} Contest at {ICCAD}}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323648}, doi = {10.1109/ICCAD57390.2023.10323648}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SatoWCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EikiNKISS23, author = {Makoto Eiki and Tomoki Nakamura and Masuo Kajiyama and Michiko Inoue and Takashi Sato and Michihiro Shintani}, title = {Improving Efficiency and Robustness of Gaussian Process Based Outlier Detection via Ensemble Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {132--140}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00029}, doi = {10.1109/ITC51656.2023.00029}, timestamp = {Tue, 09 Jan 2024 17:03:11 +0100}, biburl = {https://dblp.org/rec/conf/itc/EikiNKISS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/OzakiOSM23, author = {Takuro Ozaki and Yuichi Ohkawa and Takashi Sato and Takashi Mitsuishi}, title = {Consideration of On-Demand Online Exam-Taking Methods that Promote Continuous Learning}, booktitle = {{IEEE} International Conference on Teaching, Assessment and Learning for Engineering, {TALE} 2023, Auckland, New Zealand, November 28 - Dec. 1, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/TALE56641.2023.10398399}, doi = {10.1109/TALE56641.2023.10398399}, timestamp = {Fri, 16 Feb 2024 14:11:19 +0100}, biburl = {https://dblp.org/rec/conf/tale/OzakiOSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-11094, author = {Sosei Ikeda and Hiromitsu Awano and Takashi Sato}, title = {Modular {DFR:} Digital Delayed Feedback Reservoir Model for Enhancing Design Flexibility}, journal = {CoRR}, volume = {abs/2307.11094}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.11094}, doi = {10.48550/ARXIV.2307.11094}, eprinttype = {arXiv}, eprint = {2307.11094}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-11094.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ShuYYYSS22, author = {Liming Shu and Ko Yamamoto and Reina Yoshizaki and Jiang Yao and Takashi Sato and Naohiko Sugita}, title = {Multiscale finite element musculoskeletal model for intact knee dynamics}, journal = {Comput. Biol. Medicine}, volume = {141}, pages = {105023}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2021.105023}, doi = {10.1016/J.COMPBIOMED.2021.105023}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/ShuYYYSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WangZXBYHZSXS22, author = {Tianchen Wang and Jiawei Zhang and Jinjun Xiong and Song Bian and Zheyu Yan and Meiping Huang and Jian Zhuang and Takashi Sato and Xiaowei Xu and Yiyu Shi}, title = {VisualNet: An End-to-End Human Visual System Inspired Framework to Reduce Inference Latency of Deep Neural Networks}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {11}, pages = {2717--2727}, year = {2022}, url = {https://doi.org/10.1109/TC.2022.3188211}, doi = {10.1109/TC.2022.3188211}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/WangZXBYHZSXS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/IkedaAS22, author = {Sosei Ikeda and Hiromitsu Awano and Takashi Sato}, title = {Hardware-Friendly Delayed-Feedback Reservoir for Multivariate Time-Series Classification}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {11}, pages = {3650--3660}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3197488}, doi = {10.1109/TCAD.2022.3197488}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/IkedaAS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MoritaBSS22, author = {Shumpei Morita and Song Bian and Michihiro Shintani and Takashi Sato}, title = {Efficient Analysis for Mitigation of Workload-Dependent Aging Degradation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {12}, pages = {5515--5525}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3149856}, doi = {10.1109/TCAD.2022.3149856}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/MoritaBSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KandaSAKY22, author = {Takamochi Kanda and Takashi Sato and Hiromitsu Awano and Sota Kondo and Koji Yamamoto}, title = {Respiratory Rate Estimation Based on WiFi Frame Capture}, booktitle = {19th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2022, Las Vegas, NV, USA, January 8-11, 2022}, pages = {881--884}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCNC49033.2022.9700721}, doi = {10.1109/CCNC49033.2022.9700721}, timestamp = {Mon, 28 Aug 2023 21:17:27 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/KandaSAKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenWHS22, author = {Yu{-}Guang Chen and Chun{-}Yao Wang and Tsung{-}Wei Huang and Takashi Sato}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {Overview of 2022 {CAD} Contest at {ICCAD}}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {92:1--92:3}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3561106}, doi = {10.1145/3508352.3561106}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenWHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ShiozakiS22, author = {Masato Shiozaki and Takashi Sato}, title = {Characteristic Degradation of Power MOSFETs by X-Ray Irradiation and Their Recovery}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {64--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764536}, doi = {10.1109/IRPS48227.2022.9764536}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/ShiozakiS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-10126, author = {Kyohei Shimozato and Michihiro Shintani and Takashi Sato}, title = {Adaptive Outlier Detection for Power MOSFETs Based on Gaussian Process Regression}, journal = {CoRR}, volume = {abs/2201.10126}, year = {2022}, url = {https://arxiv.org/abs/2201.10126}, eprinttype = {arXiv}, eprint = {2201.10126}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-10126.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/MasumiS21, author = {Akira Masumi and Takashi Sato}, title = {Model-based analysis of learning latent structures in probabilistic reversal learning task}, journal = {Artif. Life Robotics}, volume = {26}, number = {3}, pages = {275--282}, year = {2021}, url = {https://doi.org/10.1007/s10015-020-00674-8}, doi = {10.1007/S10015-020-00674-8}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/alr/MasumiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ShuYYSS21, author = {Liming Shu and Jiang Yao and Ko Yamamoto and Takashi Sato and Naohiko Sugita}, title = {In vivo kinematical validated knee model for preclinical testing of total knee replacement}, journal = {Comput. Biol. Medicine}, volume = {132}, pages = {104311}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104311}, doi = {10.1016/J.COMPBIOMED.2021.104311}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ShuYYSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/BianKHLS21, author = {Song Bian and Dur{-}e{-}Shahwar Kundi and Kazuma Hirozawa and Weiqiang Liu and Takashi Sato}, title = {{APAS:} Application-Specific Accelerators for RLWE-Based Homomorphic Linear Transformations}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {16}, pages = {4663--4678}, year = {2021}, url = {https://doi.org/10.1109/TIFS.2021.3114032}, doi = {10.1109/TIFS.2021.3114032}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/BianKHLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/ShirakamiS21, author = {Itsuki Shirakami and Takashi Sato}, title = {Heart Rate Variability Extraction using Commodity Wi-Fi Devices via Time Domain Signal Processing}, booktitle = {{IEEE} {EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2021, Athens, Greece, July 27-30, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BHI50953.2021.9508523}, doi = {10.1109/BHI50953.2021.9508523}, timestamp = {Tue, 17 Aug 2021 21:08:34 +0200}, biburl = {https://dblp.org/rec/conf/bhi/ShirakamiS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/HebbarS21, author = {Suraj Hebbar and Takashi Sato}, editor = {Roland Thewes}, title = {Motion Robust Remote Photoplethysmography via Frequency Domain Motion Artifact Reduction}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2021, Berlin, Germany, October 7-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BioCAS49922.2021.9644650}, doi = {10.1109/BIOCAS49922.2021.9644650}, timestamp = {Thu, 06 Jan 2022 15:46:39 +0100}, biburl = {https://dblp.org/rec/conf/biocas/HebbarS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/MatsuokaHS021, author = {Kotaro Matsuoka and Yusuke Hoshizuki and Takashi Sato and Song Bian}, title = {Towards Better Standard Cell Library: Optimizing Compound Logic Gates for {TFHE}}, booktitle = {{WAHC} '21: Proceedings of the 9th on Workshop on Encrypted Computing {\&} Applied Homomorphic Cryptography, Virtual Event, Korea, 15 November 2021}, pages = {63--68}, publisher = {WAHC@ACM}, year = {2021}, url = {https://doi.org/10.1145/3474366.3486927}, doi = {10.1145/3474366.3486927}, timestamp = {Mon, 08 Nov 2021 11:18:38 +0100}, biburl = {https://dblp.org/rec/conf/ccs/MatsuokaHS021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/0001JS21, author = {Song Bian and Weiwen Jiang and Takashi Sato}, title = {Privacy-Preserving Medical Image Segmentation via Hybrid Trusted Execution Environment}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1347--1350}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586198}, doi = {10.1109/DAC18074.2021.9586198}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/0001JS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangCWS21, author = {Tsung{-}Wei Huang and Yu{-}Guang Chen and Chun{-}Yao Wang and Takashi Sato}, title = {Overview of 2021 {CAD} Contest at {ICCAD}}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643523}, doi = {10.1109/ICCAD51958.2021.9643523}, timestamp = {Tue, 28 Dec 2021 12:29:05 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuangCWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OnoBS21, author = {Tatsuki Ono and Song Bian and Takashi Sato}, title = {Automatic Parallelism Tuning for Module Learning with Errors Based Post-Quantum Key Exchanges on GPUs}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401575}, doi = {10.1109/ISCAS51556.2021.9401575}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OnoBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatoTB21, author = {Takashi Sato and Yuki Tanaka and Song Bian}, title = {Clonable {PUF:} on the Design of PUFs That Share Equivalent Responses}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401345}, doi = {10.1109/ISCAS51556.2021.9401345}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SatoTB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/MatsuokaBMS021, author = {Kotaro Matsuoka and Ryotaro Banno and Naoki Matsumoto and Takashi Sato and Song Bian}, editor = {Michael D. Bailey and Rachel Greenstadt}, title = {Virtual Secure Platform: {A} Five-Stage Pipeline Processor over {TFHE}}, booktitle = {30th {USENIX} Security Symposium, {USENIX} Security 2021, August 11-13, 2021}, pages = {4007--4024}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/usenixsecurity21/presentation/matsuoka}, timestamp = {Mon, 20 Nov 2023 08:57:49 +0100}, biburl = {https://dblp.org/rec/conf/uss/MatsuokaBMS021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-15048, author = {Michihiro Shintani and Aoi Ueda and Takashi Sato}, title = {Accelerating Parameter Extraction of Power {MOSFET} Models Using Automatic Differentiation}, journal = {CoRR}, volume = {abs/2110.15048}, year = {2021}, url = {https://arxiv.org/abs/2110.15048}, eprinttype = {arXiv}, eprint = {2110.15048}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-15048.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/OnoBS21, author = {Tatsuki Ono and Song Bian and Takashi Sato}, title = {Automatic Parallelism Tuning for Module Learning with Errors Based Post-Quantum Key Exchanges on GPUs}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {198}, year = {2021}, url = {https://eprint.iacr.org/2021/198}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/OnoBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/SatoTB21, author = {Takashi Sato and Yuki Tanaka and Song Bian}, title = {Clonable {PUF:} On the Design of PUFs That Share Equivalent Responses}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {341}, year = {2021}, url = {https://eprint.iacr.org/2021/341}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/SatoTB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BianKHLS21, author = {Song Bian and Dur{-}e{-}Shahwar Kundi and Kazuma Hirozawa and Weiqiang Liu and Takashi Sato}, title = {{APAS:} Application-Specific Accelerators for RLWE-based Homomorphic Linear Transformations}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1284}, year = {2021}, url = {https://eprint.iacr.org/2021/1284}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/BianKHLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/ZhengZSCC20, author = {Yue Zheng and Xiaojin Zhao and Takashi Sato and Yuan Cao and Chip{-}Hong Chang}, title = {Ed-PUF: Event-Driven Physical Unclonable Function for Camera Authentication in Reactive Monitoring System}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {15}, pages = {2824--2839}, year = {2020}, url = {https://doi.org/10.1109/TIFS.2020.2977597}, doi = {10.1109/TIFS.2020.2977597}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/ZhengZSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KumeBS20, author = {Yuki Kume and Song Bian and Takashi Sato}, title = {A Tuning-Free Hardware Reservoir Based on {MOSFET} Crossbar Array for Practical Echo State Network Implementation}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {458--463}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045694}, doi = {10.1109/ASP-DAC47756.2020.9045694}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KumeBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/NakamuraKYNSS20, author = {Yohei Nakamura and Naotaka Kuroda and Atsushi Yamaguchi and Ken Nakahara and Michihiro Shintani and Takashi Sato}, title = {Influence of Device Parameter Variability on Current Sharing of Parallel-Connected SiC MOSFETs}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301592}, doi = {10.1109/ATS49688.2020.9301592}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/NakamuraKYNSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/UedaSIS20, author = {Aoi Ueda and Michihiro Shintani and Michiko Inoue and Takashi Sato}, title = {Measurement of BTI-induced Threshold Voltage Shift for Power MOSFETs under Switching Operation}, booktitle = {29th {IEEE} Asian Test Symposium, {ATS} 2020, Penang, Malaysia, November 23-26, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ATS49688.2020.9301598}, doi = {10.1109/ATS49688.2020.9301598}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/UedaSIS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BianWHSS20, author = {Song Bian and Tianchen Wang and Masayuki Hiromoto and Yiyu Shi and Takashi Sato}, title = {{ENSEI:} Efficient Secure Inference via Frequency-Domain Homomorphic Convolution for Privacy-Preserving Visual Recognition}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {9400--9409}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Bian\_ENSEI\_Efficient\_Secure\_Inference\_via\_Frequency-Domain\_Homomorphic\_Convolution\_for\_Privacy-Preserving\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00942}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/BianWHSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DanSNBS20, author = {Akira Dan and Riu Shimizu and Takeshi Nishikawa and Song Bian and Takashi Sato}, title = {Clustering Approach for Solving Traveling Salesman Problems via Ising Model Based Solver}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218695}, doi = {10.1109/DAC18072.2020.9218695}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DanSNBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/BianJLSS20, author = {Song Bian and Weiwen Jiang and Qing Lu and Yiyu Shi and Takashi Sato}, editor = {Giuseppe De Giacomo and Alejandro Catal{\'{a}} and Bistra Dilkina and Michela Milano and Sen{\'{e}}n Barro and Alberto Bugar{\'{\i}}n and J{\'{e}}r{\^{o}}me Lang}, title = {{NASS:} Optimizing Secure Inference via Neural Architecture Search}, booktitle = {{ECAI} 2020 - 24th European Conference on Artificial Intelligence, 29 August-8 September 2020, Santiago de Compostela, Spain, August 29 - September 8, 2020 - Including 10th Conference on Prestigious Applications of Artificial Intelligence {(PAIS} 2020)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {325}, pages = {1746--1753}, publisher = {{IOS} Press}, year = {2020}, url = {https://doi.org/10.3233/FAIA200288}, doi = {10.3233/FAIA200288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecai/BianJLSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/BianXJSS20, author = {Song Bian and Xiaowei Xu and Weiwen Jiang and Yiyu Shi and Takashi Sato}, editor = {Anne L. Martel and Purang Abolmaesumi and Danail Stoyanov and Diana Mateus and Maria A. Zuluaga and S. Kevin Zhou and Daniel Racoceanu and Leo Joskowicz}, title = {{BUNET:} Blind Medical Image Segmentation Based on Secure {UNET}}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2020 - 23rd International Conference, Lima, Peru, October 4-8, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12262}, pages = {612--622}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59713-9\_59}, doi = {10.1007/978-3-030-59713-9\_59}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/BianXJSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sice/TakagiSNS20, author = {Yasuo Takagi and Kanami Sakamoto and Ryo Nakamichi and Takashi Sato}, title = {The Characteristic Analysis of {A-CAES} plant with the Virtual Synchronous Generator Function}, booktitle = {59th Annual Conference of the Society of Instrument and Control Engineers of Japan, {SICE} 2020, Chiang Mai, Thailand, September 23-26, 2020}, pages = {1862--1867}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9240440}, timestamp = {Tue, 10 Nov 2020 11:26:21 +0100}, biburl = {https://dblp.org/rec/conf/sice/TakagiSNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-11854, author = {Song Bian and Weiwen Jiang and Qing Lu and Yiyu Shi and Takashi Sato}, title = {{NASS:} Optimizing Secure Inference via Neural Architecture Search}, journal = {CoRR}, volume = {abs/2001.11854}, year = {2020}, url = {https://arxiv.org/abs/2001.11854}, eprinttype = {arXiv}, eprint = {2001.11854}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-11854.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-05328, author = {Song Bian and Tianchen Wang and Masayuki Hiromoto and Yiyu Shi and Takashi Sato}, title = {{ENSEI:} Efficient Secure Inference via Frequency-Domain Homomorphic Convolution for Privacy-Preserving Visual Recognition}, journal = {CoRR}, volume = {abs/2003.05328}, year = {2020}, url = {https://arxiv.org/abs/2003.05328}, eprinttype = {arXiv}, eprint = {2003.05328}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-05328.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-06855, author = {Song Bian and Xiaowei Xu and Weiwen Jiang and Yiyu Shi and Takashi Sato}, title = {{BUNET:} Blind Medical Image Segmentation Based on Secure {UNET}}, journal = {CoRR}, volume = {abs/2007.06855}, year = {2020}, url = {https://arxiv.org/abs/2007.06855}, eprinttype = {arXiv}, eprint = {2007.06855}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-06855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-04538, author = {Kenta Nagura and Song Bian and Takashi Sato}, title = {FedNNNN: Norm-Normalized Neural Network Aggregation for Fast and Accurate Federated Learning}, journal = {CoRR}, volume = {abs/2008.04538}, year = {2020}, url = {https://arxiv.org/abs/2008.04538}, eprinttype = {arXiv}, eprint = {2008.04538}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-04538.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-09410, author = {Kotaro Matsuoka and Ryotaro Banno and Naoki Matsumoto and Takashi Sato and Song Bian}, title = {Virtual Secure Platform: {A} Five-Stage Pipeline Processor over {TFHE}}, journal = {CoRR}, volume = {abs/2010.09410}, year = {2020}, url = {https://arxiv.org/abs/2010.09410}, eprinttype = {arXiv}, eprint = {2010.09410}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-09410.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BianHS19, author = {Song Bian and Masayuki Hiromoto and Takashi Sato}, title = {Hardware-Accelerated Secured Na{\"{\i}}ve Bayesian Filter Based on Partially Homomorphic Encryption}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {102-A}, number = {2}, pages = {430--439}, year = {2019}, url = {https://doi.org/10.1587/transfun.E102.A.430}, doi = {10.1587/TRANSFUN.E102.A.430}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/BianHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/igtr/MoucheS19, author = {Pierre von Mouche and Takashi Sato}, title = {Cournot Equilibrium Uniqueness: At 0 Discontinuous Industry Revenue and Decreasing Price Flexibility}, journal = {{IGTR}}, volume = {21}, number = {2}, pages = {1940010:1--1940010:19}, year = {2019}, url = {https://doi.org/10.1142/S0219198919400103}, doi = {10.1142/S0219198919400103}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/igtr/MoucheS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/CookZSHT19, author = {Chase Cook and Hengyang Zhao and Takashi Sato and Masayuki Hiromoto and Sheldon X.{-}D. Tan}, title = {GPU-based Ising computing for solving max-cut combinatorial optimization problems}, journal = {Integr.}, volume = {69}, pages = {335--344}, year = {2019}, url = {https://doi.org/10.1016/j.vlsi.2019.07.003}, doi = {10.1016/J.VLSI.2019.07.003}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/integration/CookZSHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/BianHS19, author = {Song Bian and Masayuki Hiromoto and Takashi Sato}, editor = {Toshiyuki Shibuya}, title = {Towards practical homomorphic email filtering: a hardware-accelerated secure na{\"{\i}}ve bayesian filter}, booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019}, pages = {621--626}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3287624.3287699}, doi = {10.1145/3287624.3287699}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/BianHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/NakamuraS19, author = {Masaki Nakamura and Takashi Sato}, title = {Heart Rate Estimation during Exercise from Photoplethysmographic Signals Using Convolutional Neural Network}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8919185}, doi = {10.1109/BIOCAS.2019.8919185}, timestamp = {Mon, 16 Dec 2019 13:05:43 +0100}, biburl = {https://dblp.org/rec/conf/biocas/NakamuraS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/MasumiS19, author = {Akira Masumi and Takashi Sato}, editor = {Ashok K. Goel and Colleen M. Seifert and Christian Freksa}, title = {Analysis on learning a latent structure in a probabilistic reversal learning task}, booktitle = {Proceedings of the 41th Annual Meeting of the Cognitive Science Society, CogSci 2019: Creativity + Cognition + Computation, Montreal, Canada, July 24-27, 2019}, pages = {3526}, publisher = {cognitivesciencesociety.org}, year = {2019}, url = {https://mindmodeling.org/cogsci2019/papers/0834/index.html}, timestamp = {Wed, 17 Apr 2024 12:43:09 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/MasumiS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BianHS19, author = {Song Bian and Masayuki Hiromoto and Takashi Sato}, title = {Filianore: Better Multiplier Architectures for LWE-based Post-Quantum Key Exchange}, booktitle = {Proceedings of the 56th Annual Design Automation Conference 2019, {DAC} 2019, Las Vegas, NV, USA, June 02-06, 2019}, pages = {113}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3316781.3317850}, doi = {10.1145/3316781.3317850}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BianHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BianHS19, author = {Song Bian and Masayuki Hiromoto and Takashi Sato}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {DArL: Dynamic Parameter Adjustment for LWE-based Secure Inference}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {1739--1744}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715110}, doi = {10.23919/DATE.2019.8715110}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BianHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-00613, author = {Kiri Sakahara and Takashi Sato}, title = {An Alternative Set Model of Cognitive Jump}, journal = {CoRR}, volume = {abs/1904.00613}, year = {2019}, url = {http://arxiv.org/abs/1904.00613}, eprinttype = {arXiv}, eprint = {1904.00613}, timestamp = {Wed, 24 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-00613.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/GyotenHS18, author = {Hidenori Gyoten and Masayuki Hiromoto and Takashi Sato}, title = {Area Efficient Annealing Processor for Ising Model without Random Number Generator}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {101-D}, number = {2}, pages = {314--323}, year = {2018}, url = {https://doi.org/10.1587/transinf.2017RCP0015}, doi = {10.1587/TRANSINF.2017RCP0015}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/GyotenHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HiromotoYS18, author = {Masayuki Hiromoto and Motoki Yoshinaga and Takashi Sato}, title = {{MRO-PUF:} Physically Unclonable Function with Enhanced Resistance against Machine Learning Attacks Utilizing Instantaneous Output of Ring Oscillator}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {101-A}, number = {7}, pages = {1035--1044}, year = {2018}, url = {https://doi.org/10.1587/transfun.E101.A.1035}, doi = {10.1587/TRANSFUN.E101.A.1035}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HiromotoYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YamamoriHS18, author = {Satoshi Yamamori and Masayuki Hiromoto and Takashi Sato}, title = {Efficient Mini-Batch Training on Memristor Neural Network Integrating Gradient Calculation and Weight Update}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {101-A}, number = {7}, pages = {1092--1100}, year = {2018}, url = {https://doi.org/10.1587/transfun.E101.A.1092}, doi = {10.1587/TRANSFUN.E101.A.1092}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YamamoriHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/FujitaHS18, author = {Yuya Fujita and Masayuki Hiromoto and Takashi Sato}, title = {{PARHELIA:} Particle Filter-Based Heart Rate Estimation From Photoplethysmographic Signals During Physical Exercise}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {65}, number = {1}, pages = {189--198}, year = {2018}, url = {https://doi.org/10.1109/TBME.2017.2697911}, doi = {10.1109/TBME.2017.2697911}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/FujitaHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TanakaBHS18, author = {Yuki Tanaka and Song Bian and Masayuki Hiromoto and Takashi Sato}, title = {Coin Flipping {PUF:} {A} Novel {PUF} With Improved Resistance Against Machine Learning Attacks}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {5}, pages = {602--606}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2018.2821267}, doi = {10.1109/TCSII.2018.2821267}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TanakaBHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MoritaBSHS18, author = {Shumpei Morita and Song Bian and Michihiro Shintani and Masayuki Hiromoto and Takashi Sato}, editor = {Youngsoo Shin}, title = {Efficient worst-case timing analysis of critical-path delay under workload-dependent aging degradation}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {631--636}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297393}, doi = {10.1109/ASPDAC.2018.8297393}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/MoritaBSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/UjiieHS18, author = {Takayuki Ujiie and Masayuki Hiromoto and Takashi Sato}, title = {Interpolation-Based Object Detection Using Motion Vectors for Embedded Real-Time Tracking Systems}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {616--624}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018\_workshops/w12/html/Ujiie\_Interpolation-Based\_Object\_Detection\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPRW.2018.00104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/UjiieHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BianHS18, author = {Song Bian and Masayuki Hiromoto and Takashi Sato}, title = {{DWE:} decrypting learning with errors with errors}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {3:1--3:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3196032}, doi = {10.1145/3195970.3196032}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BianHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwanoS18, author = {Hiromitsu Awano and Takashi Sato}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Ising-PUF: {A} machine learning attack resistant {PUF} featuring lattice like arrangement of Arbiter-PUFs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1447--1452}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342239}, doi = {10.23919/DATE.2018.8342239}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwanoS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/FujitaHS18, author = {Yuya Fujita and Masayuki Hiromoto and Takashi Sato}, title = {Fast And Robust Heart Rate Estimation From Videos Through Dynamic Region Selection}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {3024--3027}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513020}, doi = {10.1109/EMBC.2018.8513020}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/FujitaHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/FunabashiSSSS18, author = {Satoshi Funabashi and Alexander Schmitz and Takashi Sato and Sophon Somlor and Shigeki Sugano}, title = {Versatile In-Hand Manipulation of Objects with Different Sizes and Shapes Using Neural Networks}, booktitle = {18th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2018, Beijing, China, November 6-9, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HUMANOIDS.2018.8624961}, doi = {10.1109/HUMANOIDS.2018.8624961}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/FunabashiSSSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GyotenHS18, author = {Hidenori Gyoten and Masayuki Hiromoto and Takashi Sato}, editor = {Iris Bahar}, title = {Enhancing the solution quality of hardware ising-model solver via parallel tempering}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {70}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240806}, doi = {10.1145/3240765.3240806}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iccad/GyotenHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ShinMBSHS18, author = {Zuitoku Shin and Shumpei Morita and Song Bian and Michihiro Shintani and Masayuki Hiromoto and Takashi Sato}, title = {A study on NBTI-induced delay degradation considering stress frequency dependence}, booktitle = {19th International Symposium on Quality Electronic Design, {ISQED} 2018, Santa Clara, CA, USA, March 13-14, 2018}, pages = {251--256}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISQED.2018.8357296}, doi = {10.1109/ISQED.2018.8357296}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ShinMBSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aml/SatoY17, author = {Takashi Sato and Takeshi Yamazaki}, title = {Reverse mathematics and order theoretic fixed point theorems}, journal = {Arch. Math. Log.}, volume = {56}, number = {3-4}, pages = {385--396}, year = {2017}, url = {https://doi.org/10.1007/s00153-017-0526-y}, doi = {10.1007/S00153-017-0526-Y}, timestamp = {Wed, 26 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aml/SatoY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MoritaBSHS17, author = {Shumpei Morita and Song Bian and Michihiro Shintani and Masayuki Hiromoto and Takashi Sato}, title = {Utilization of Path-Clustering in Efficient Stress-Control Gate Replacement for {NBTI} Mitigation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {7}, pages = {1464--1472}, year = {2017}, url = {https://doi.org/10.1587/transfun.E100.A.1464}, doi = {10.1587/TRANSFUN.E100.A.1464}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/MoritaBSHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BianMSAHS17, author = {Song Bian and Shumpei Morita and Michihiro Shintani and Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, title = {Identification and Application of Invariant Critical Paths under {NBTI} Degradation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {12}, pages = {2797--2806}, year = {2017}, url = {https://doi.org/10.1587/transfun.E100.A.2797}, doi = {10.1587/TRANSFUN.E100.A.2797}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/BianMSAHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AwanoS17, author = {Hiromitsu Awano and Takashi Sato}, title = {Efficient Aging-Aware Failure Probability Estimation Using Augmented Reliability and Subset Simulation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {100-A}, number = {12}, pages = {2807--2815}, year = {2017}, url = {https://doi.org/10.1587/transfun.E100.A.2807}, doi = {10.1587/TRANSFUN.E100.A.2807}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AwanoS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/AwanoMS17, author = {Hiromitsu Awano and Shumpei Morita and Takashi Sato}, title = {Scalable Device Array for Statistical Characterization of BTI-Related Parameters}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {4}, pages = {1455--1466}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2638021}, doi = {10.1109/TVLSI.2016.2638021}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/AwanoMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MohantySASC17, author = {Abinash Mohanty and Ketul B. Sutaria and Hiromitsu Awano and Takashi Sato and Yu Cao}, title = {{RTN} in Scaled Transistors for On-Chip Random Seed Generation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {8}, pages = {2248--2257}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2687762}, doi = {10.1109/TVLSI.2017.2687762}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/MohantySASC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AwanoHS17, author = {Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, title = {Efficient circuit failure probability calculation along product lifetime considering device aging}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {93--98}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858302}, doi = {10.1109/ASPDAC.2017.7858302}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AwanoHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenSSSC17, author = {Yu{-}Guang Chen and Michihiro Shintani and Takashi Sato and Yiyu Shi and Shih{-}Chieh Chang}, title = {Pattern based runtime voltage emergency prediction: An instruction-aware block sparse compressed sensing approach}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {543--548}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858380}, doi = {10.1109/ASPDAC.2017.7858380}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenSSSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BianSHS17, author = {Song Bian and Michihiro Shintani and Masayuki Hiromoto and Takashi Sato}, title = {{LSTA:} Learning-Based Static Timing Analysis for High-Dimensional Correlated On-Chip Variations}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {66:1--66:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062280}, doi = {10.1145/3061639.3062280}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BianSHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BianHS17, author = {Song Bian and Masayuki Hiromoto and Takashi Sato}, editor = {David Atienza and Giorgio Di Natale}, title = {{SCAM:} Secured content addressable memory based on homomorphic encryption}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {984--989}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927134}, doi = {10.23919/DATE.2017.7927134}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BianHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/MoritaBSHS17, author = {Shumpei Morita and Song Bian and Michihiro Shintani and Masayuki Hiromoto and Takashi Sato}, title = {Comparative study of path selection and objective function in replacing {NBTI} mitigation logic}, booktitle = {18th International Symposium on Quality Electronic Design, {ISQED} 2017, Santa Clara, CA, USA, March 14-15, 2017}, pages = {426--431}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISQED.2017.7918353}, doi = {10.1109/ISQED.2017.7918353}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/MoritaBSHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/Sato17, author = {Takashi Sato}, title = {{OKSAT} at {NTCIR-13} OpenLiveQ Task}, booktitle = {The 13th {NTCIR} Conference, Evaluation of Information Access Technologies, National Center of Sciences, Tokyo, Japan, December 5-8, 2017}, publisher = {National Institute of Informatics {(NII)}}, year = {2017}, url = {https://research.nii.ac.jp/ntcir/workshop/OnlineProceedings13/pdf/ntcir/06-NTCIR13-OPENLIVEQ-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/Sato17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/ShintaniUHMS16, author = {Michihiro Shintani and Takumi Uezono and Kazumi Hatayama and Kazuya Masu and Takashi Sato}, title = {Path Clustering for Test Pattern Reduction of Variation-Aware Adaptive Path Delay Testing}, journal = {J. Electron. Test.}, volume = {32}, number = {5}, pages = {601--609}, year = {2016}, url = {https://doi.org/10.1007/s10836-016-5614-0}, doi = {10.1007/S10836-016-5614-0}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/et/ShintaniUHMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/i-jep/OkaAYNINSTS16, author = {Tetsuo Oka and Kazuhisa Abe and Takeshi Yamauchi and Takatsune Narumi and Nozomu Ishii and Shin{-}ya Nishimura and Takashi Sato and Yuji Tanabe and Masakazu Sengoku}, title = {Roles and Effects of Human Network of Supporting Experts out of Niigata University to Practical Engineering Education}, journal = {Int. J. Eng. Pedagog.}, volume = {6}, number = {1}, pages = {44--49}, year = {2016}, url = {https://doi.org/10.3991/ijep.v6i1.5360}, doi = {10.3991/IJEP.V6I1.5360}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/i-jep/OkaAYNINSTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AwanoHS16, author = {Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, title = {Efficient Aging-Aware {SRAM} Failure Probability Calculation via Particle Filter-Based Importance Sampling}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {7}, pages = {1390--1399}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.1390}, doi = {10.1587/TRANSFUN.E99.A.1390}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AwanoHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BianSHS16, author = {Song Bian and Michihiro Shintani and Masayuki Hiromoto and Takashi Sato}, title = {Fast Estimation of NBTI-Induced Delay Degradation Based on Signal Probability}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {7}, pages = {1400--1409}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.1400}, doi = {10.1587/TRANSFUN.E99.A.1400}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/BianSHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/BianS0HCS16, author = {Song Bian and Michihiro Shintani and Zheng Wang and Masayuki Hiromoto and Anupam Chattopadhyay and Takashi Sato}, title = {Runtime {NBTI} Mitigation for Processor Lifespan Extension via Selective Node Control}, booktitle = {25th {IEEE} Asian Test Symposium, {ATS} 2016, Hiroshima, Japan, November 21-24, 2016}, pages = {234--239}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ATS.2016.31}, doi = {10.1109/ATS.2016.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/BianS0HCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/UjiieHS16, author = {Takayuki Ujiie and Masayuki Hiromoto and Takashi Sato}, title = {Approximated Prediction Strategy for Reducing Power Consumption of Convolutional Neural Network Processor}, booktitle = {2016 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2016, Las Vegas, NV, USA, June 26 - July 1, 2016}, pages = {870--876}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CVPRW.2016.113}, doi = {10.1109/CVPRW.2016.113}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/UjiieHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AwanoS16, author = {Hiromitsu Awano and Takashi Sato}, title = {Efficient transistor-level timing yield estimation via line sampling}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {115:1--115:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898016}, doi = {10.1145/2897937.2898016}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AwanoS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BianSMAHS16, author = {Song Bian and Michihiro Shintani and Shumpei Morita and Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {Workload-Aware Worst Path Analysis of Processor-Scale {NBTI} Degradation}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {203--208}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2903013}, doi = {10.1145/2902961.2903013}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BianSMAHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoshinagaAHS16, author = {Motoki Yoshinaga and Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, title = {Physically unclonable function using RTN-induced delay fluctuation in ring oscillators}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {2619--2622}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7539130}, doi = {10.1109/ISCAS.2016.7539130}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YoshinagaAHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/BianSMHS16, author = {Song Bian and Michihiro Shintani and Shumpei Morita and Masayuki Hiromoto and Takashi Sato}, title = {Nonlinear delay-table approach for full-chip {NBTI} degradation prediction}, booktitle = {17th International Symposium on Quality Electronic Design, {ISQED} 2016, Santa Clara, CA, USA, March 15-16, 2016}, pages = {307--312}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISQED.2016.7479219}, doi = {10.1109/ISQED.2016.7479219}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/BianSMHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoMS16, author = {Takashi Sato and Yuta Morishita and Shota Shibukawa}, editor = {Noriko Kando and Tetsuya Sakai and Mark Sanderson}, title = {{OKSAT} at {NTCIR-12} Short Text Conversation Task: Priority to Short Comments, Filtering by Characteristic Words and Topic Classification}, booktitle = {Proceedings of the 12th {NTCIR} Conference on Evaluation of Information Access Technologies, National Center of Sciences, Tokyo, Japan, June 7-10, 2016}, publisher = {National Institute of Informatics {(NII)}}, year = {2016}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings12/pdf/ntcir/STC/08-NTCIR12-STC-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/SatoMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ImagawaHOS15, author = {Takashi Imagawa and Masayuki Hiromoto and Hiroyuki Ochi and Takashi Sato}, title = {An Error Correction Scheme through Time Redundancy for Enhancing Persistent Soft-Error Tolerance of CGRAs}, journal = {{IEICE} Trans. Electron.}, volume = {98-C}, number = {7}, pages = {741--750}, year = {2015}, url = {https://doi.org/10.1587/transele.E98.C.741}, doi = {10.1587/TRANSELE.E98.C.741}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ImagawaHOS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/ShiS15, author = {Yiyu Shi and Takashi Sato}, title = {Introduction to: Special Issue on Cross-Layer System Design}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {12}, number = {3}, pages = {20:1--20:2}, year = {2015}, url = {https://doi.org/10.1145/2767131}, doi = {10.1145/2767131}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/ShiS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mss/KawasakiSM15, author = {Ryo Kawasaki and Takashi Sato and Shigeo Muto}, title = {Farsightedly stable tariffs}, journal = {Math. Soc. Sci.}, volume = {76}, pages = {118--124}, year = {2015}, url = {https://doi.org/10.1016/j.mathsocsci.2015.05.001}, doi = {10.1016/J.MATHSOCSCI.2015.05.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mss/KawasakiSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwanoHS15, author = {Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, editor = {Wolfgang Nebel and David Atienza}, title = {{ECRIPSE:} an efficient method for calculating RTN-induced failure probability of an {SRAM} cell}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {549--554}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755877}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwanoHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/FunabashiSSSS15, author = {Satoshi Funabashi and Alexander Schmitz and Takashi Sato and Sophon Somlor and Shigeki Sugano}, title = {Robust in-hand manipulation of variously sized and shaped objects}, booktitle = {2015 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2015, Hamburg, Germany, September 28 - October 2, 2015}, pages = {257--263}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IROS.2015.7353383}, doi = {10.1109/IROS.2015.7353383}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/FunabashiSSSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YamanagaHTMS14, author = {Koh Yamanaga and Shiho Hagiwara and Ryo Takahashi and Kazuya Masu and Takashi Sato}, title = {State-Dependence of On-Chip Power Distribution Network Capacitance}, journal = {{IEICE} Trans. Electron.}, volume = {97-C}, number = {1}, pages = {77--84}, year = {2014}, url = {https://doi.org/10.1587/transele.E97.C.77}, doi = {10.1587/TRANSELE.E97.C.77}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YamanagaHTMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HagiwaraDMS14, author = {Shiho Hagiwara and Takanori Date and Kazuya Masu and Takashi Sato}, title = {Hypersphere Sampling for Accelerating High-Dimension and Low-Failure Probability Circuit-Yield Analysis}, journal = {{IEICE} Trans. Electron.}, volume = {97-C}, number = {4}, pages = {280--288}, year = {2014}, url = {https://doi.org/10.1587/transele.E97.C.280}, doi = {10.1587/TRANSELE.E97.C.280}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HagiwaraDMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ShintaniS14, author = {Michihiro Shintani and Takashi Sato}, title = {{IDDQ} Outlier Screening through Two-Phase Approach: Clustering-Based Filtering and Estimation-Based Current-Threshold Determination}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {97-D}, number = {8}, pages = {2095--2104}, year = {2014}, url = {https://doi.org/10.1587/transinf.E97.D.2095}, doi = {10.1587/TRANSINF.E97.D.2095}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ShintaniS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ShimizuAHS14, author = {Hirofumi Shimizu and Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, title = {Automation of Model Parameter Estimation for Random Telegraph Noise}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {12}, pages = {2383--2392}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.2383}, doi = {10.1587/TRANSFUN.E97.A.2383}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ShimizuAHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlq/Sato14a, author = {Takashi Sato}, title = {Reverse mathematics and Isbell's zig-zag theorem}, journal = {Math. Log. Q.}, volume = {60}, number = {4-5}, pages = {348--353}, year = {2014}, url = {https://doi.org/10.1002/malq.201300071}, doi = {10.1002/MALQ.201300071}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlq/Sato14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShintaniUTHAMS14, author = {Michihiro Shintani and Takumi Uezono and Tomoyuki Takahashi and Kazumi Hatayama and Takashi Aikyo and Kazuya Masu and Takashi Sato}, title = {A Variability-Aware Adaptive Test Flow for Test Quality Improvement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {7}, pages = {1056--1066}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2305835}, doi = {10.1109/TCAD.2014.2305835}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShintaniUTHAMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/AwanoHS14, author = {Hiromitsu Awano and Masayuki Hiromoto and Takashi Sato}, title = {Variability in device degradations: Statistical observation of {NBTI} for 3996 transistors}, booktitle = {44th European Solid State Device Research Conference, {ESSDERC} 2014, Venice Lido, Italy, September 22-26, 2014}, pages = {218--221}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESSDERC.2014.6948799}, doi = {10.1109/ESSDERC.2014.6948799}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/essderc/AwanoHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShintaniS14, author = {Michihiro Shintani and Takashi Sato}, editor = {Yao{-}Wen Chang}, title = {Sensorless estimation of global device-parameters based on F\({}_{\mbox{max}}\) testing}, booktitle = {The {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014}, pages = {498--503}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCAD.2014.7001397}, doi = {10.1109/ICCAD.2014.7001397}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShintaniS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfp/OhoriUHNSMI14, author = {Atsushi Ohori and Katsuhiro Ueno and Kazunori Hoshi and Shinji Nozaki and Takashi Sato and Tasuku Makabe and Yuki Ito}, editor = {Johan Jeuring and Manuel M. T. Chakravarty}, title = {SML{\#} in industry: a practical {ERP} system development}, booktitle = {Proceedings of the 19th {ACM} {SIGPLAN} international conference on Functional programming, Gothenburg, Sweden, September 1-3, 2014}, pages = {167--173}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2628136.2628164}, doi = {10.1145/2628136.2628164}, timestamp = {Thu, 24 Jun 2021 16:19:30 +0200}, biburl = {https://dblp.org/rec/conf/icfp/OhoriUHNSMI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SatoKTO14, author = {Takashi Sato and Junya Kawashima and Hiroshi Tsutsui and Hiroyuki Ochi}, title = {Experimental validation of minimum operating-voltage-estimation for low supply voltage circuits}, booktitle = {Fifteenth International Symposium on Quality Electronic Design, {ISQED} 2014, Santa Clara, CA, USA, March 3-5, 2014}, pages = {428--433}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISQED.2014.6783356}, doi = {10.1109/ISQED.2014.6783356}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SatoKTO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoA14, author = {Takashi Sato and Shingo Aoki}, editor = {Noriko Kando and Hideo Joho and Kazuaki Kishida}, title = {{OKSAT} at {NTCIR-11} Temporalia: Plural Sets of Search Terms for a Topic}, booktitle = {Proceedings of the 11th {NTCIR} Conference on Evaluation of Information Access Technologies, NTCIR-11, National Center of Sciences, Tokyo, Japan, December 9-12, 2014}, publisher = {National Institute of Informatics {(NII)}}, year = {2014}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings11/pdf/NTCIR/Temporalia/08-NTCIR11-TEMPORALIA-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/SatoA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoAM14, author = {Takashi Sato and Shingo Aoki and Yuta Morishita}, editor = {Noriko Kando and Hideo Joho and Kazuaki Kishida}, title = {{OKSAT} at {NTCIR-11} RecipeSearch: Categorization and Expansion of Search Terms in Topics}, booktitle = {Proceedings of the 11th {NTCIR} Conference on Evaluation of Information Access Technologies, NTCIR-11, National Center of Sciences, Tokyo, Japan, December 9-12, 2014}, publisher = {National Institute of Informatics {(NII)}}, year = {2014}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings11/pdf/NTCIR/RecipeSearch/03-NTCIR11-RecipeSearch-SatoT.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ntcir/SatoAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/somet/YokoiOISK14, author = {Takeru Yokoi and Gouki Oikawa and Mitsuru Iwata and Takashi Sato and Michihiro Kobayakawa}, editor = {Hamido Fujita and Ali Selamat and Habibollah Haron}, title = {Sentence-based Plagiarism Detection focusing on Nouns and Part-of-Speech Structure}, booktitle = {New Trends in Software Methodologies, Tools and Techniques - Proceedings of the Thirteenth SoMeT '14, Langkawi, Malaysia, September 22-24, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {265}, pages = {1006--1015}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-434-3-1006}, doi = {10.3233/978-1-61499-434-3-1006}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/somet/YokoiOISK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ShintaniS13, author = {Michihiro Shintani and Takashi Sato}, title = {Device-Parameter Estimation through {IDDQ} Signatures}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {2}, pages = {303--313}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.303}, doi = {10.1587/TRANSINF.E96.D.303}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ShintaniS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ImagawaTOS13, author = {Takashi Imagawa and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {A Cost-Effective Selective {TMR} for Coarse-Grained Reconfigurable Architectures Based on DFG-Level Vulnerability Analysis}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {4}, pages = {454--462}, year = {2013}, url = {https://doi.org/10.1587/transele.E96.C.454}, doi = {10.1587/TRANSELE.E96.C.454}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ImagawaTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YuasaTOS13, author = {Hiroshi Yuasa and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {Parallel Acceleration Scheme for Monte Carlo Based {SSTA} Using Generalized {STA} Processing Element}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {4}, pages = {473--481}, year = {2013}, url = {https://doi.org/10.1587/transele.E96.C.473}, doi = {10.1587/TRANSELE.E96.C.473}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YuasaTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/Sato13, author = {Takashi Sato}, title = {Statistical simulation methods for circuit performance analysis}, booktitle = {{IEEE} 10th International Conference on ASIC, {ASICON} 2013, Shenzhen, China, October 28-31, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASICON.2013.6811844}, doi = {10.1109/ASICON.2013.6811844}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/Sato13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MiyakawaTOS13, author = {Tetsuro Miyakawa and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {Realization of frequency-domain circuit analysis through random walk}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {169--174}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509591}, doi = {10.1109/ASPDAC.2013.6509591}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MiyakawaTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShintaniS13, author = {Michihiro Shintani and Takashi Sato}, title = {An adaptive current-threshold determination for {IDDQ} testing based on Bayesian process parameter estimation}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {614--619}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509666}, doi = {10.1109/ASPDAC.2013.6509666}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ShintaniS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RakossyHTSNO13, author = {Zolt{\'{a}}n Endre R{\'{a}}kossy and Masayuki Hiromoto and Hiroshi Tsutsui and Takashi Sato and Yukihiro Nakamura and Hiroyuki Ochi}, editor = {Enrico Macii}, title = {Hot-swapping architecture with back-biased testing for mitigation of permanent faults in functional unit array}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {535--540}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.120}, doi = {10.7873/DATE.2013.120}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RakossyHTSNO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImagawaTOS13, author = {Takashi Imagawa and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, editor = {Enrico Macii}, title = {A cost-effective selective {TMR} for heterogeneous coarse-grained reconfigurable architectures based on DFG-level vulnerability analysis}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {701--706}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.151}, doi = {10.7873/DATE.2013.151}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ImagawaTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MorishitaTOS13, author = {Takumi Morishita and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, editor = {Jos{\'{e}} Luis Ayala and Alex K. Jones and Patrick H. Madden and Ayse K. Coskun}, title = {Fast and memory-efficient {GPU} implementations of krylov subspace methods for efficient power grid analysis}, booktitle = {Great Lakes Symposium on {VLSI} 2013 (part of ECRC), GLSVLSI'13, Paris, France, May 2-4, 2013}, pages = {95--100}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2483028.2483069}, doi = {10.1145/2483028.2483069}, timestamp = {Tue, 23 Jul 2019 15:03:09 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MorishitaTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic-nc/SatoT13, author = {Takashi Sato and Yoshikatsu Tada}, editor = {Juan E. Guerrero}, title = {Unitron: Loadable Kernel Module for Adding Real-Time Functionality of uITRON to {UNIX} Kernel}, booktitle = {The First International Symposium on Computing and Networking - Across Practical Development and Theoretical Research, Dogo {SPA} Resort, Matsuyama, Japan, December 4-6, 2013}, pages = {373--377}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CANDAR.2013.66}, doi = {10.1109/CANDAR.2013.66}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ic-nc/SatoT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KojimaSSAIS13, author = {Kohei Kojima and Takashi Sato and Alexander Schmitz and Hiroaki Arie and Hiroyasu Iwata and Shigeki Sugano}, title = {Sensor prediction and grasp stability evaluation for in-hand manipulation}, booktitle = {2013 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2013, Tokyo, Japan, November 3-7, 2013}, pages = {2479--2484}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IROS.2013.6696705}, doi = {10.1109/IROS.2013.6696705}, timestamp = {Tue, 05 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/iros/KojimaSSAIS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ImagawaTOS13, author = {Takashi Imagawa and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {High-speed DFG-level {SEU} vulnerability analysis for applying selective {TMR} to resource-constrained {CGRA}}, booktitle = {International Symposium on Quality Electronic Design, {ISQED} 2013, Santa Clara, CA, USA, March 4-6, 2013}, pages = {538--545}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISQED.2013.6523663}, doi = {10.1109/ISQED.2013.6523663}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ImagawaTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/AwanoTOS13, author = {Hiromitsu Awano and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {Multi-trap {RTN} parameter extraction based on Bayesian inference}, booktitle = {International Symposium on Quality Electronic Design, {ISQED} 2013, Santa Clara, CA, USA, March 4-6, 2013}, pages = {597--602}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISQED.2013.6523672}, doi = {10.1109/ISQED.2013.6523672}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/AwanoTOS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/Sato13, author = {Takashi Sato}, editor = {Noriko Kando and Tsuneaki Kato}, title = {Osaka Kyoiku University at {NTCIR-10} CrossLink-2: Link Filtering by Title Tag of Corpus as a Dictionary}, booktitle = {Proceedings of the 10th {NTCIR} Conference on Evaluation of Information Access Technologies, NTCIR-10, National Center of Sciences, Tokyo, Japan, June 18-21, 2013}, publisher = {National Institute of Informatics {(NII)}}, year = {2013}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings10/pdf/NTCIR/CrossLink-2/03-NTCIR10-CROSSLINK2-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/Sato13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/Sato12a, author = {Takashi Sato}, title = {Effect of interaction between rules on rule dynamics in a multi-group minority game}, journal = {Artif. Life Robotics}, volume = {16}, number = {4}, pages = {493--496}, year = {2012}, url = {https://doi.org/10.1007/s10015-011-0971-3}, doi = {10.1007/S10015-011-0971-3}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/Sato12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/Sato12, author = {Takashi Sato}, title = {Dynamics of rules internalized in dynamic cognitive agents playing a multi-game}, journal = {Artif. Life Robotics}, volume = {17}, number = {2}, pages = {306--311}, year = {2012}, url = {https://doi.org/10.1007/s10015-012-0063-z}, doi = {10.1007/S10015-012-0063-Z}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/Sato12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KawashimaTOS12, author = {Junya Kawashima and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {A Variability-Aware Energy-Minimization Strategy for Subthreshold Circuits}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {95-A}, number = {12}, pages = {2242--2250}, year = {2012}, url = {https://doi.org/10.1587/transfun.E95.A.2242}, doi = {10.1587/TRANSFUN.E95.A.2242}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KawashimaTOS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/EnamiSH12, author = {Takashi Enami and Takashi Sato and Masanori Hashimoto}, title = {Power Distribution Network Optimization for Timing Improvement with Statistical Noise Model and Timing Analysis}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {95-A}, number = {12}, pages = {2261--2271}, year = {2012}, url = {https://doi.org/10.1587/transfun.E95.A.2261}, doi = {10.1587/TRANSFUN.E95.A.2261}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/EnamiSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AwanoTOS12, author = {Hiromitsu Awano and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {Bayesian Estimation of Multi-Trap {RTN} Parameters Using Markov Chain Monte Carlo Method}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {95-A}, number = {12}, pages = {2272--2283}, year = {2012}, url = {https://doi.org/10.1587/transfun.E95.A.2272}, doi = {10.1587/TRANSFUN.E95.A.2272}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AwanoTOS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/SekiguchiSS12, author = {Yohei Sekiguchi and Kiri Sakahara and Takashi Sato}, title = {Existence of equilibria in quantum Bertrand-Edgeworth duopoly game}, journal = {Quantum Inf. Process.}, volume = {11}, number = {6}, pages = {1371--1379}, year = {2012}, url = {https://doi.org/10.1007/s11128-011-0276-4}, doi = {10.1007/S11128-011-0276-4}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/SekiguchiSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/VelamalaSSASC12, author = {Jyothi Velamala and Ketul Sutaria and Hirofumi Shimizu and Hiromitsu Awano and Takashi Sato and Yu Cao}, title = {Statistical aging under dynamic voltage scaling: {A} logarithmic model approach}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330572}, doi = {10.1109/CICC.2012.6330572}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/VelamalaSSASC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VelamalaSSC12, author = {Jyothi Bhaskarr Velamala and Ketul Sutaria and Takashi Sato and Yu Cao}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {Physics matters: statistical aging prediction under trapping/detrapping}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {139--144}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228388}, doi = {10.1145/2228360.2228388}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VelamalaSSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SatoASTO12, author = {Takashi Sato and Hiromitsu Awano and Hirofttmi Shimizu and Hiroshi Tsutsui and Hiroyuki Ochi}, editor = {Keith A. Bowman and Kamesh V. Gadepally and Pallab Chatterjee and Mark M. Budnik and Lalitha Immaneni}, title = {Statistical observations of NBTI-induced threshold voltage shifts on small channel-area devices}, booktitle = {Thirteenth International Symposium on Quality Electronic Design, {ISQED} 2012, Santa Clara, CA, USA, March 19-21, 2012}, pages = {306--311}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISQED.2012.6187510}, doi = {10.1109/ISQED.2012.6187510}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SatoASTO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secrypt/EmuraS12, author = {Keita Emura and Takashi Sato}, editor = {Pierangela Samarati and Wenjing Lou and Jianying Zhou}, title = {Flexible Group Key Exchange with On-demand Computation of Subgroup Keys Supporting Subgroup Key Randomization}, booktitle = {{SECRYPT} 2012 - Proceedings of the International Conference on Security and Cryptography, Rome, Italy, 24-27 July, 2012, {SECRYPT} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {353--357}, publisher = {SciTePress}, year = {2012}, timestamp = {Tue, 07 Nov 2017 12:02:58 +0100}, biburl = {https://dblp.org/rec/conf/secrypt/EmuraS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ShintaniS12, author = {Michihiro Shintani and Takashi Sato}, title = {A Bayesian-based process parameter estimation using {IDDQ} current signature}, booktitle = {30th {IEEE} {VLSI} Test Symposium, {VTS} 2012, Maui, Hawaii, USA, 23-26 April 2012}, pages = {86--91}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/VTS.2012.6231085}, doi = {10.1109/VTS.2012.6231085}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/ShintaniS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/TauraSFKSSMOK11, author = {Manabu Taura and Mary Ann Suico and Ryosuke Fukuda and Tomoaki Koga and Tsuyoshi Shuto and Takashi Sato and Saori Morino{-}Koga and Seiji Okada and Hirofumi Kai}, title = {{MEF/ELF4} transactivation by {E2F1} is inhibited by p53}, journal = {Nucleic Acids Res.}, volume = {39}, number = {1}, pages = {76--88}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq762}, doi = {10.1093/NAR/GKQ762}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/TauraSFKSSMOK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/HatanoMS11, author = {Tetsuya Hatano and Atsuko Miyaji and Takashi Sato}, editor = {Udaya Parampalli and Philip Hawkes}, title = {\emph{T}-Robust Scalable Group Key Exchange Protocol with \emph{O}(log\emph{n}) Complexity}, booktitle = {Information Security and Privacy - 16th Australasian Conference, {ACISP} 2011, Melbourne, Australia, July 11-13, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6812}, pages = {189--207}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22497-3\_13}, doi = {10.1007/978-3-642-22497-3\_13}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/acisp/HatanoMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MiyakawaYTOS11, author = {Tetsuro Miyakawa and Koh Yamanaga and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, editor = {David Atienza and Yuan Xie and Jos{\'{e}} L. Ayala and Ken S. Stevens}, title = {Acceleration of random-walk-based linear circuit analysis using importance sampling}, booktitle = {Proceedings of the 21st {ACM} Great Lakes Symposium on {VLSI} 2010, Lausanne, Switzerland, May 2-6, 2011}, pages = {211--216}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1973009.1973051}, doi = {10.1145/1973009.1973051}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MiyakawaYTOS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/YuasaTOS11, author = {Hiroshi Yuasa and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, title = {A fully pipelined implementation of Monte Carlo based {SSTA} on FPGAs}, booktitle = {Proceedings of the 12th International Symposium on Quality Electronic Design, {ISQED} 2011, Santa Clara, California, USA, 14-16 March 2011}, pages = {785--790}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISQED.2011.5770818}, doi = {10.1109/ISQED.2011.5770818}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/YuasaTOS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/Sato11, author = {Takashi Sato}, editor = {Noriko Kando and Daisuke Ishikawa and Miho Sugimoto}, title = {{NTCIR-9} GeoTime at Osaka Kyoiku University - Toward Automatic Extraction of Place/Time Terms -}, booktitle = {Proceedings of the 9th {NTCIR} Workshop Meeting on Evaluation of Information Access Technologies: Information Retrieval, Question Answering and Cross-Lingual Information Access, NTCIR-9, National Center of Sciences, Tokyo, Japan, December 6-9, 2011}, publisher = {National Institute of Informatics {(NII)}}, year = {2011}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings9/NTCIR/09-NTCIR9-GEOTIME-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/Sato11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KawashimaOTS11, author = {Junya Kawashima and Hiroyuki Ochi and Hiroshi Tsutsui and Takashi Sato}, title = {A design strategy for sub-threshold circuits considering energy-minimization and yield-maximization}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {57--62}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085076}, doi = {10.1109/SOCC.2011.6085076}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/KawashimaOTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/UezonoMS10, author = {Takumi Uezono and Kazuya Masu and Takashi Sato}, title = {A Time-Slicing Ring Oscillator for Capturing Time-Dependent Delay Degradation and Power Supply Voltage Fluctuation}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {3}, pages = {324--331}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.324}, doi = {10.1587/TRANSELE.E93.C.324}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/UezonoMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YamanagaAMS10, author = {Koh Yamanaga and Shuhei Amakawa and Kazuya Masu and Takashi Sato}, title = {A Universal Equivalent Circuit Model for Ceramic Capacitors}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {3}, pages = {347--354}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.347}, doi = {10.1587/TRANSELE.E93.C.347}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YamanagaAMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KanamotoOFTKHSTNMSH10, author = {Toshiki Kanamoto and Takaaki Okumura and Katsuhiro Furukawa and Hiroshi Takafuji and Atsushi Kurokawa and Koutaro Hachiya and Tsuyoshi Sakata and Masakazu Tanaka and Hidenari Nakashima and Hiroo Masuda and Takashi Sato and Masanori Hashimoto}, title = {Impact of Self-Heating in Wire Interconnection on Timing}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {3}, pages = {388--392}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.388}, doi = {10.1587/TRANSELE.E93.C.388}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/KanamotoOFTKHSTNMSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SatoKKGSSM10, author = {Takashi Sato and Toshiki Kanamoto and Saiko Kobayashi and Nobuhiko Goto and Takao Sato and Hitoshi Sugihara and Hiroo Masuda}, title = {A New {LDMOS} Transistor Macro-Modeling for Accurately Predicting Bias Dependence of Gate-Overlap Capacitance}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {9}, pages = {1605--1611}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.1605}, doi = {10.1587/TRANSFUN.E93.A.1605}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SatoKKGSSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HagiwaraYTMS10, author = {Shiho Hagiwara and Koh Yamanaga and Ryo Takahashi and Kazuya Masu and Takashi Sato}, title = {Linear Time Calculation of On-Chip Power Distribution Network Capacitance Considering State-Dependence}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {12}, pages = {2409--2416}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.2409}, doi = {10.1587/TRANSFUN.E93.A.2409}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HagiwaraYTMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ImagawaHOS10, author = {Takashi Imagawa and Masayuki Hiromoto and Hiroyuki Ochi and Takashi Sato}, title = {Reliability Evaluation Environment for Exploring Design Space of Coarse-Grained Reconfigurable Architectures}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {12}, pages = {2524--2532}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.2524}, doi = {10.1587/TRANSFUN.E93.A.2524}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ImagawaHOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuangKHSJI10, author = {Zhangcai Huang and Atsushi Kurokawa and Masanori Hashimoto and Takashi Sato and Minglu Jiang and Yasuaki Inoue}, title = {Modeling the Overshooting Effect for {CMOS} Inverter Delay Analysis in Nanometer Technologies}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {2}, pages = {250--260}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2009.2035539}, doi = {10.1109/TCAD.2009.2035539}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuangKHSJI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KatayamaHTOS10, author = {Kentaro Katayama and Shiho Hagiwara and Hiroshi Tsutsui and Hiroyuki Ochi and Takashi Sato}, editor = {Louis Scheffer and Joel R. Phillips and Alan J. Hu}, title = {Sequential importance sampling for low-probability and high-dimensional {SRAM} yield analysis}, booktitle = {2010 International Conference on Computer-Aided Design, {ICCAD} 2010, San Jose, CA, USA, November 7-11, 2010}, pages = {703--708}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICCAD.2010.5654259}, doi = {10.1109/ICCAD.2010.5654259}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KatayamaHTOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatoUNM10, author = {Takashi Sato and Takumi Uezono and Noriaki Nakayama and Kazuya Masu}, title = {Decomposition of drain-current variation into gain-factor and threshold voltage variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {1053--1056}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537354}, doi = {10.1109/ISCAS.2010.5537354}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SatoUNM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UezonoTSHMOS10, author = {Takumi Uezono and Tomoyuki Takahashi and Michihiro Shintani and Kazumi Hatayama and Kazuya Masu and Hiroyuki Ochi and Takashi Sato}, title = {Scan based process parameter estimation through path-delay inequalities}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3553--3556}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537813}, doi = {10.1109/ISCAS.2010.5537813}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/UezonoTSHMOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/DateHMS10, author = {Takanori Date and Shiho Hagiwara and Kazuya Masu and Takashi Sato}, title = {Robust importance sampling for efficient {SRAM} yield analysis}, booktitle = {11th International Symposium on Quality of Electronic Design {(ISQED} 2010), 22-24 March 2010, San Jose, CA, {USA}}, pages = {15--21}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISQED.2010.5450410}, doi = {10.1109/ISQED.2010.5450410}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/DateHMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HagiwaraYTMS10, author = {Shiho Hagiwara and Koh Yamanaga and Ryo Takahashi and Kazuya Masu and Takashi Sato}, title = {Linear time calculation of state-dependent power distribution network capacitance}, booktitle = {11th International Symposium on Quality of Electronic Design {(ISQED} 2010), 22-24 March 2010, San Jose, CA, {USA}}, pages = {75--80}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISQED.2010.5450399}, doi = {10.1109/ISQED.2010.5450399}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HagiwaraYTMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoF10, author = {Takashi Sato and Yuu Fukuzawa}, editor = {Noriko Kando and Kazuaki Kishida and Miho Sugimoto}, title = {{NTCIR-8} GeoTime at Osaka Kyoiku University: Hierarchical Index for Geographic Retrieval}, booktitle = {Proceedings of the 8th {NTCIR} Workshop Meeting on Evaluation of Information Access Technologies: Information Retrieval, Question Answering and Cross-Lingual Information Access, NTCIR-8, National Center of Sciences, Tokyo, Japan, June 15-18, 2010}, pages = {199--202}, publisher = {National Institute of Informatics {(NII)}}, year = {2010}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings8/NTCIR/10-NTCIR8-GeoTime-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/SatoF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/YamanagaMS10, author = {Koh Yamanaga and Kazuya Masu and Takashi Sato}, editor = {Sherief Reda and Janet Meiling Wang}, title = {Application of generalized scattering matrix for prediction of power supply noise}, booktitle = {International Workshop on System Level Interconnect Prediction Workshop, {SLIP} 2010, Anaheim, CA, USA, June 13, 2010}, pages = {83--90}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1811100.1811120}, doi = {10.1145/1811100.1811120}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/YamanagaMS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ImagawaHOS10, author = {Takashi Imagawa and Masayuki Hiromoto and Hiroyuki Ochi and Takashi Sato}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A routing architecture exploration for coarse-grained reconfigurable architecture with automated seu-tolerance evaluation}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {248--253}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784754}, doi = {10.1109/SOCC.2010.5784754}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ImagawaHOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/UezonoTSHMOS10, author = {Takumi Uezono and Tomoyuki Takahashi and Michihiro Shintani and Kazumi Hatayama and Kazuya Masu and Hiroyuki Ochi and Takashi Sato}, title = {Path clustering for adaptive test}, booktitle = {28th {IEEE} {VLSI} Test Symposium, {VTS} 2010, April 19-22, 2010, Santa Cruz, California, {USA}}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/VTS.2010.5469626}, doi = {10.1109/VTS.2010.5469626}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/UezonoTSHMOS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MasuINSA09, author = {Kazuya Masu and Noboru Ishihara and Noriaki Nakayama and Takashi Sato and Shuhei Amakawa}, title = {Physical design challenges to nano-CMOS circuits}, journal = {{IEICE} Electron. Express}, volume = {6}, number = {11}, pages = {703--720}, year = {2009}, url = {https://doi.org/10.1587/elex.6.703}, doi = {10.1587/ELEX.6.703}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MasuINSA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YamanagaSM09, author = {Koh Yamanaga and Takashi Sato and Kazuya Masu}, title = {2-Port Modeling Technique for Surface-Mount Passive Components Using Partial Inductance Concept}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {4}, pages = {976--982}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.976}, doi = {10.1587/TRANSFUN.E92.A.976}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YamanagaSM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OkumuraKMKHTNOSS09, author = {Takaaki Okumura and Atsushi Kurokawa and Hiroo Masuda and Toshiki Kanamoto and Masanori Hashimoto and Hiroshi Takafuji and Hidenari Nakashima and Nobuto Ono and Tsuyoshi Sakata and Takashi Sato}, title = {Improvement in Computational Accuracy of Output Transition Time Variation Considering Threshold Voltage Variations}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {4}, pages = {990--997}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.990}, doi = {10.1587/TRANSFUN.E92.A.990}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/OkumuraKMKHTNOSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/UezonoSM09, author = {Takumi Uezono and Takashi Sato and Kazuya Masu}, title = {One-Shot Voltage-Measurement Circuit Utilizing Process Variation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {4}, pages = {1024--1030}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.1024}, doi = {10.1587/TRANSFUN.E92.A.1024}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/UezonoSM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HagiwaraSM09, author = {Shiho Hagiwara and Takashi Sato and Kazuya Masu}, title = {Analytical Estimation of Path-Delay Variation for Multi-Threshold {CMOS} Circuits}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {4}, pages = {1031--1038}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.1031}, doi = {10.1587/TRANSFUN.E92.A.1031}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HagiwaraSM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SakataOKNMSHHFTTK09, author = {Tsuyoshi Sakata and Takaaki Okumura and Atsushi Kurokawa and Hidenari Nakashima and Hiroo Masuda and Takashi Sato and Masanori Hashimoto and Koutaro Hachiya and Katsuhiro Furukawa and Masakazu Tanaka and Hiroshi Takafuji and Toshiki Kanamoto}, title = {An Approach for Reducing Leakage Current Variation due to Manufacturing Variability}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {12}, pages = {3016--3023}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.3016}, doi = {10.1587/TRANSFUN.E92.A.3016}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/SakataOKNMSHHFTTK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SatoUNM09, author = {Takashi Sato and Hiroyuki Ueyama and Noriaki Nakayama and Kazuya Masu}, title = {Accurate Array-Based Measurement for Subthreshold-Current of {MOS} Transistors}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {2977--2986}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2028944}, doi = {10.1109/JSSC.2009.2028944}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SatoUNM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/ShintaniUTUSHAM09, author = {Michihiro Shintani and Takumi Uezono and Tomoyuki Takahashi and Hiroyuki Ueyama and Takashi Sato and Kazumi Hatayama and Takashi Aikyo and Kazuya Masu}, title = {An Adaptive Test for Parametric Faults Based on Statistical Timing Information}, booktitle = {Proceedings of the Eighteentgh Asian Test Symposium, {ATS} 2009, 23-26 November 2009, Taichung, Taiwan}, pages = {151--156}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ATS.2009.90}, doi = {10.1109/ATS.2009.90}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/ShintaniUTUSHAM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/SatoUD08, author = {Takashi Sato and Eiji Uchibe and Kenji Doya}, title = {Learning how, what, and whether to communicate: emergence of protocommunication in reinforcement learning agents}, journal = {Artif. Life Robotics}, volume = {12}, number = {1-2}, pages = {70--74}, year = {2008}, url = {https://doi.org/10.1007/s10015-007-0444-x}, doi = {10.1007/S10015-007-0444-X}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/SatoUD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HashimotoYSO08, author = {Masanori Hashimoto and Junji Yamaguchi and Takashi Sato and Hidetoshi Onodera}, title = {Timing Analysis Considering Temporal Supply Voltage Fluctuation}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {91-D}, number = {3}, pages = {655--660}, year = {2008}, url = {https://doi.org/10.1093/ietisy/e91-d.3.655}, doi = {10.1093/IETISY/E91-D.3.655}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/HashimotoYSO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HagiwaraUSM08, author = {Shiho Hagiwara and Takumi Uezono and Takashi Sato and Kazuya Masu}, title = {Application of Correlation-Based Regression Analysis for Improvement of Power Distribution Network}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {91-A}, number = {4}, pages = {951--956}, year = {2008}, url = {https://doi.org/10.1093/ietfec/e91-a.4.951}, doi = {10.1093/IETFEC/E91-A.4.951}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HagiwaraUSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ImaiSNM08, author = {Masanori Imai and Takashi Sato and Noriaki Nakayama and Kazuya Masu}, title = {An Evaluation Method of the Number of Monte Carlo {STA} Trials for Statistical Path Delay Analysis}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {91-A}, number = {4}, pages = {957--964}, year = {2008}, url = {https://doi.org/10.1093/ietfec/e91-a.4.957}, doi = {10.1093/IETFEC/E91-A.4.957}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ImaiSNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YamadaSANMK08, author = {Kenta Yamada and Takashi Sato and Shuhei Amakawa and Noriaki Nakayama and Kazuya Masu and Shigetaka Kumashiro}, title = {Layout-Aware Compact Model of {MOSFET} Characteristics Variations Induced by {STI} Stress}, journal = {{IEICE} Trans. Electron.}, volume = {91-C}, number = {7}, pages = {1142--1150}, year = {2008}, url = {https://doi.org/10.1093/ietele/e91-c.7.1142}, doi = {10.1093/IETELE/E91-C.7.1142}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YamadaSANMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SatoUNM08, author = {Takashi Sato and Hiroyuki Ueyama and Noriaki Nakayama and Kazuya Masu}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {Determination of optimal polynomial regression function to decompose on-die systematic and random variations}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {518--523}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484006}, doi = {10.1109/ASPDAC.2008.4484006}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SatoUNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ImaiSNM08, author = {Masanori Imai and Takashi Sato and Noriaki Nakayama and Kazuya Masu}, editor = {Limor Fix}, title = {Non-parametric statistical static timing analysis: an {SSTA} framework for arbitrary distribution}, booktitle = {Proceedings of the 45th Design Automation Conference, {DAC} 2008, Anaheim, CA, USA, June 8-13, 2008}, pages = {698--701}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1391469.1391649}, doi = {10.1145/1391469.1391649}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ImaiSNM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/Sato08, author = {Takashi Sato}, title = {Electrodynamic Suspension and Stability of a Charged Droplet in Quadrupole Electrode}, booktitle = {Industry Applications Society Annual Meeting, {IAS} 2008, Edmonton, Alberta, Canada, 5-9 Octobert, 2008}, pages = {1--4}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/08IAS.2008.125}, doi = {10.1109/08IAS.2008.125}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/iasam/Sato08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EnamiHS08, author = {Takashi Enami and Masanori Hashimoto and Takashi Sato}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Decoupling capacitance allocation for timing with statistical noise model and timing analysis}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {420--425}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681609}, doi = {10.1109/ICCAD.2008.4681609}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/EnamiHS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KobayashiOSINOH07, author = {Hiroyuki Kobayashi and Nobuto Ono and Takashi Sato and Jiro Iwai and Hidenari Nakashima and Takaaki Okumura and Masanori Hashimoto}, title = {Proposal of Metrics for {SSTA} Accuracy Evaluation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {90-A}, number = {4}, pages = {808--814}, year = {2007}, url = {https://doi.org/10.1093/ietfec/e90-a.4.808}, doi = {10.1093/IETFEC/E90-A.4.808}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/KobayashiOSINOH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/OgasaharaEHSO07, author = {Yasuhiro Ogasahara and Takashi Enami and Masanori Hashimoto and Takashi Sato and Takao Onoye}, title = {Validation of a Full-Chip Simulation Model for Supply Noise and Delay Dependence on Average Voltage Drop With On-Chip Delay Measurement}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {54-II}, number = {10}, pages = {868--872}, year = {2007}, url = {https://doi.org/10.1109/TCSII.2007.901574}, doi = {10.1109/TCSII.2007.901574}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/OgasaharaEHSO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SeitaIOSM07, author = {Junki Seita and Hiroyuki Ito and Kenichi Okada and Takashi Sato and Kazuya Masu}, title = {A Multi-Drop Transmission-Line Interconnect in Si {LSI}}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {118--119}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.357969}, doi = {10.1109/ASPDAC.2007.357969}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SeitaIOSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HagiwaraUSM07, author = {Shiho Hagiwara and Takumi Uezono and Takashi Sato and Kazuya Masu}, editor = {Hai Zhou and Enrico Macii and Zhiyuan Yan and Yehia Massoud}, title = {Improvement of power distribution network using correlation-based regression analysis}, booktitle = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007}, pages = {513--516}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1228784.1228906}, doi = {10.1145/1228784.1228906}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/HagiwaraUSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SatoUHOANM07, author = {Takashi Sato and Takumi Uezono and Shiho Hagiwara and Kenichi Okada and Shuhei Amakawa and Noriaki Nakayama and Kazuya Masu}, title = {A {MOS} Transistor-Array for Accurate Measurement of Subthreshold Leakage Variation}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {21--26}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.17}, doi = {10.1109/ISQED.2007.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/SatoUHOANM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/Sato07, author = {Takashi Sato}, editor = {Noriko Kando}, title = {{NTCIR-6} {CLIR} Experiments at Osaka Kyoiku University - Term Expansion Using Online Dictionaries and Weighting Score by Term Variety}, booktitle = {Proceedings of the 6th {NTCIR} Workshop Meeting on Evaluation of Information Access Technologies: Information Retrieval, Question Answering and Cross-Lingual Information Access, NTCIR-6, National Center of Sciences, Tokyo, Japan, May 15-18, 2007}, publisher = {National Institute of Informatics {(NII)}}, year = {2007}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings6/NTCIR/43.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/Sato07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/SatoHUM07, author = {Takashi Sato and Shiho Hagiwara and Takumi Uezono and Kazuya Masu}, editor = {Nadine Az{\'{e}}mard and Lars J. Svensson}, title = {Weakness Identification for Effective Repair of Power Distribution Network}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 17th International Workshop, {PATMOS} 2007, Gothenburg, Sweden, September 3-5, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4644}, pages = {222--231}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74442-9\_22}, doi = {10.1007/978-3-540-74442-9\_22}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/SatoHUM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/AmakawaUSOM07, author = {Shuhei Amakawa and Takumi Uezono and Takashi Sato and Kenichi Okada and Kazuya Masu}, editor = {Andrew A. Kennings and Ion I. Mandoiu}, title = {Adaptable wire-length distribution with tunable occupation probability}, booktitle = {The Ninth International Workshop on System-Level Interconnect Prediction {(SLIP} 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings}, pages = {1--8}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1231956.1231958}, doi = {10.1145/1231956.1231958}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/AmakawaUSOM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HachiyaKOSO06, author = {Koutaro Hachiya and Hiroyuki Kobayashi and Takaaki Okumura and Takashi Sato and Hiroki Oka}, title = {A Method to Derive {SSO} Design Rule Considering Jitter Constraint}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {4}, pages = {865--872}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.4.865}, doi = {10.1093/IETFEC/E89-A.4.865}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HachiyaKOSO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SatoIOH06, author = {Takashi Sato and Junji Ichimiya and Nobuto Ono and Masanori Hashimoto}, title = {On-Chip Thermal Gradient Analysis Considering Interdependence between Leakage Power and Temperature}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {12}, pages = {3491--3499}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.12.3491}, doi = {10.1093/IETFEC/E89-A.12.3491}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/SatoIOH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/UsuiHSFO06, author = {Jun Usui and Hirotaka Hatayama and Takashi Sato and Yumi Furuoka and Naohito Okude}, editor = {Hiroshi Ishii and Newton Lee and St{\'{e}}phane Natkin and Katsuhide Tsushima}, title = {Paravie: dance entertainment system for everyone to express oneself with movement}, booktitle = {Proceedings of the International Conference on Advances in Computer Entertainment Technology, {ACE} 2006, Hollywood, California, USA, June 14-16, 2006}, pages = {30}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1178823.1178861}, doi = {10.1145/1178823.1178861}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/UsuiHSFO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/OkadaKSF06, author = {Norihide Okada and Chikaaki Kodama and Takashi Sato and Kunihiro Fujiyoshi}, title = {Thermal Driven Module Placement Using Sequence-pair}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {1871--1874}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342204}, doi = {10.1109/APCCAS.2006.342204}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apccas/OkadaKSF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SatoMHKM06, author = {Takashi Sato and Yu Matsumoto and Koji Hirakimoto and Michio Komoda and Junichi Mano}, title = {A Time-Slicing Ring Oscillator for Capturing Instantaneous Delay Degradation and Power Supply Voltage Drop}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {563--566}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.320990}, doi = {10.1109/CICC.2006.320990}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SatoMHKM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/OgasaharaEHSO06, author = {Yasuhiro Ogasahara and Takashi Enami and Masanori Hashimoto and Takashi Sato and Takao Onoye}, title = {Measurement results of delay degradation due to power supply noise well correlated with full-chip simulation}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {861--864}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.320930}, doi = {10.1109/CICC.2006.320930}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/OgasaharaEHSO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KikuchiKNISSTN05, author = {Norihiro Kikuchi and Akihiko Kameyama and Shuuichi Nakaya and Hiromi Ito and Takashi Sato and Toshihide Shikanai and Yoriko Takahashi and Hisashi Narimatsu}, title = {The carbohydrate sequence markup language (CabosML): an {XML} description of carbohydrate structures}, journal = {Bioinform.}, volume = {21}, number = {8}, pages = {1717--1718}, year = {2005}, url = {https://doi.org/10.1093/bioinformatics/bti152}, doi = {10.1093/BIOINFORMATICS/BTI152}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KikuchiKNISSTN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SatoIOHH05, author = {Takashi Sato and Junji Ichimiya and Nobuto Ono and Koutaro Hachiya and Masanori Hashimoto}, title = {On-Chip Thermal Gradient Analysis and Temperature Flattening for SoC Design}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {12}, pages = {3382--3389}, year = {2005}, url = {https://doi.org/10.1093/ietfec/e88-a.12.3382}, doi = {10.1093/IETFEC/E88-A.12.3382}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/SatoIOHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SatoHO05, author = {Takashi Sato and Masanori Hashimoto and Hidetoshi Onodera}, title = {Successive Pad Assignment for Minimizing Supply Voltage Drop}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {12}, pages = {3429--3436}, year = {2005}, url = {https://doi.org/10.1093/ietfec/e88-a.12.3429}, doi = {10.1093/IETFEC/E88-A.12.3429}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/SatoHO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SatoHO05, author = {Takashi Sato and Masanori Hashimoto and Hidetoshi Onodera}, editor = {Tingao Tang}, title = {Successive pad assignment algorithm to optimize number and location of power supply pad using incremental matrix inversion}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {723--728}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1121003}, doi = {10.1145/1120725.1121003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SatoHO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SatoIOHH05, author = {Takashi Sato and Junji Ichimiya and Nobuto Ono and Koutaro Hachiya and Masanori Hashimoto}, editor = {Tingao Tang}, title = {On-chip thermal gradient analysis and temperature flattening for SoC design}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {1074--1077}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120827}, doi = {10.1145/1120725.1120827}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/SatoIOHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HashimotoYSO05, author = {Masanori Hashimoto and Junji Yamaguchi and Takashi Sato and Hidetoshi Onodera}, editor = {Tingao Tang}, title = {Timing analysis considering temporal supply voltage fluctuation}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {1098--1101}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120833}, doi = {10.1145/1120725.1120833}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HashimotoYSO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/NakakuboS05, author = {Hitoshi Nakakubo and Takashi Sato}, title = {Static and Dynamic Scoring by Web Page Grouping}, booktitle = {Proceedings of the 21st International Conference on Data Engineering Workshops, {ICDE} 2005, 5-8 April 2005, Tokyo, Japan}, pages = {1258}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICDE.2005.290}, doi = {10.1109/ICDE.2005.290}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/NakakuboS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YamanobeFMAWKSH05, author = {Natsuki Yamanobe and Hiromitsu Fujii and Yusuke Maeda and Tamio Arai and Atsushi Watanabe and Tetsuaki Kato and Takashi Sato and Kokoro Hatanaka}, title = {Optimization of damping control parameters for cycle time reduction in clutch assembly}, booktitle = {2005 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Edmonton, Alberta, Canada, August 2-6, 2005}, pages = {3251--3256}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IROS.2005.1545358}, doi = {10.1109/IROS.2005.1545358}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/YamanobeFMAWKSH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoN05, author = {Takashi Sato and Hitoshi Nakakubo}, editor = {Noriko Kando}, title = {{NTCIR-5} {WEB} Navi-2 Experiments at Osaka Kyoiku University - Page, Anchor and Title Indexing, and In-link Count, Inter Page and Inter Site Link Analyses}, booktitle = {Proceedings of the Fifth {NTCIR} Workshop Meeting on Evaluation of Information Access Technologies: Information Retrieval, Question Answering and Cross-Lingual Information Access, NTCIR-5, National Center of Sciences, Tokyo, Japan, December 6-9, 2005}, publisher = {National Institute of Informatics {(NII)}}, year = {2005}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings5/data/WEB/NTCIR5-WEB-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/SatoN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TakeuchiYSSH04, author = {Kan Takeuchi and Kazumasa Yanagisawa and Takashi Sato and Kazuko Sakamoto and Saburo Hojo}, title = {Probabilistic crosstalk delay estimation for ASICs}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {9}, pages = {1377--1383}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.833605}, doi = {10.1109/TCAD.2004.833605}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TakeuchiYSSH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YamanobeMAKSH04, author = {Natsuki Yamanobe and Yusuke Maeda and Tamio Arai and Tetsuaki Kato and Takashi Sato and Kokoro Hatanaka}, title = {Design of damping control parameters for peg-in-hole by industrial manipulator considering cycle time}, booktitle = {2004 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Sendai, Japan, September 28 - October 2, 2004}, pages = {3351--3356}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IROS.2004.1389934}, doi = {10.1109/IROS.2004.1389934}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/YamanobeMAKSH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsai/SatoH04, author = {Takashi Sato and Takashi Hashimoto}, editor = {Akito Sakurai and K{\^{o}}iti Hasida and Katsumi Nitta}, title = {Dynamic Social Simulation with Multi-agents Having Internal Dynamics}, booktitle = {New Frontiers in Artificial Intelligence - {JSAI} 2003 and {JSAI} 2004 Conferences and Workshops, Niigata, Japan, June 23-27, 2003 and Kanazawa, Japan, May 31 - June 4, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3609}, pages = {237--251}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-71009-7\_21}, doi = {10.1007/978-3-540-71009-7\_21}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/jsai/SatoH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/NakakuboZS04, author = {Hitoshi Nakakubo and Peng Zhang and Takashi Sato}, editor = {Noriko Kando and Haruko Ishikawa}, title = {{NTCIR-4} {WEB} Experiments at Osaka Kyoiku University - Static/Dynamic Scoring Using Link Structure Analysis and Web Page Grouping}, booktitle = {Proceedings of the Fourth {NTCIR} Workshop on Research in Information Access Technologies Information Retrieval, Question Answering and Summarization, NTCIR-4, National Center of Sciences, Tokyo, Japan, June 2-4, 2004}, publisher = {National Institute of Informatics {(NII)}}, year = {2004}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings4/WEB/NTCIR4-WEB-NakakuboH.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/NakakuboZS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoH04, author = {Takashi Sato and Nao Hatta}, editor = {Noriko Kando and Haruko Ishikawa}, title = {{NTCIR-4} {PATENT} Experiments at Osaka Kyoiku University - Gram-Based Passage Index and Essential Words}, booktitle = {Proceedings of the Fourth {NTCIR} Workshop on Research in Information Access Technologies Information Retrieval, Question Answering and Summarization, NTCIR-4, National Center of Sciences, Tokyo, Japan, June 2-4, 2004}, publisher = {National Institute of Informatics {(NII)}}, year = {2004}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings4/PATENT/NTCIR4-PATENT-SatoT.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ntcir/SatoH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/KurokawaHSTM03, author = {Atsushi Kurokawa and Kotaro Hachiya and Takashi Sato and Kazuya Tokumasu and Hiroo Masuda}, title = {Fast On-Chip Inductance Extraction of {VLSI} Including Angled Interconnects}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {86-A}, number = {4}, pages = {841--845}, year = {2003}, url = {http://search.ieice.org/bin/summary.php?id=e86-a\_4\_841}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/KurokawaHSTM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceta/KurokawaSM03, author = {Atsushi Kurokawa and Takashi Sato and Hiroo Masuda}, title = {Approximation Formula Approach for the Efficient Extraction of On-Chip Mutual Inductances}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {86-A}, number = {12}, pages = {2933--2941}, year = {2003}, url = {http://search.ieice.org/bin/summary.php?id=e86-a\_12\_2933}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceta/KurokawaSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SatoCASH03, author = {Takashi Sato and Yu Cao and Kanak Agarwal and Dennis Sylvester and Chenming Hu}, title = {Bidirectional closed-form transformation between on-chip coupling noise waveforms and interconnect delay-change curves}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {22}, number = {5}, pages = {560--572}, year = {2003}, url = {https://doi.org/10.1109/TCAD.2003.810750}, doi = {10.1109/TCAD.2003.810750}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SatoCASH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KurokawaSM03, author = {Atsushi Kurokawa and Takashi Sato and Hiroo Masuda}, editor = {Hiroto Yasuura}, title = {Approximate formulae approach for efficient inductance extraction}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {143--148}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119801}, doi = {10.1145/1119772.1119801}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KurokawaSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/SatoKKKOKKH03, author = {Takashi Sato and Toshiki Kanamoto and Atsushi Kurokawa and Yoshiyuki Kawakami and Hiroki Oka and Tomoyasu Kitaura and Hiroyuki Kobayashi and Masanori Hashimoto}, editor = {Hiroto Yasuura}, title = {Accurate prediction of the impact of on-chip inductance on interconnect delay using electrical and physical parameter-based {RSF}}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {149--155}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119802}, doi = {10.1145/1119772.1119802}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/SatoKKKOKKH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/SatoM03, author = {Takashi Sato and Hiroo Masuda}, title = {Design and Measurement of an Inductance-Oscillator for Analyzing Inductance Impact on On-Chip Interconnect Delay}, booktitle = {4th International Symposium on Quality of Electronic Design {(ISQED} 2003), 24-26 March 2003, San Jose, CA, {USA}}, pages = {395--400}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISQED.2003.1194765}, doi = {10.1109/ISQED.2003.1194765}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/SatoM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoH02, author = {Takashi Sato and Koto Han}, editor = {Keizo Oyama and Emi Ishida and Noriko Kando}, title = {{NTCIR-3} {CLIR} Experiments at Osaka Kyoiku University - Comparison of Gram-based Indices}, booktitle = {Proceedings of the Third {NTCIR} Workshop on Research in Information Retrieval, Automatic Text Summarization and Question Answering, NTCIR-3, Tokyo, Japan, October 8-10, 2002}, publisher = {National Institute of Informatics {(NII)}}, year = {2002}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings3/NTCIR3-CLIR-SatoT.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/SatoH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoKK02, author = {Takashi Sato and Yukikazu Kyo and Kihei Kobata}, editor = {Keizo Oyama and Emi Ishida and Noriko Kando}, title = {{NTCIR-3} {WEB} Experiments at Osaka Kyoiku University - Towards Index Partitioning and Parallel Retrieval}, booktitle = {Proceedings of the Third {NTCIR} Workshop on Research in Information Retrieval, Automatic Text Summarization and Question Answering, NTCIR-3, Tokyo, Japan, October 8-10, 2002}, publisher = {National Institute of Informatics {(NII)}}, year = {2002}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings3/NTCIR3-WEB-SatoT.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ntcir/SatoKK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoSH02, author = {Takashi Sato and Tomohiko Satomoto and Koto Han}, editor = {Keizo Oyama and Emi Ishida and Noriko Kando}, title = {{NTCIR-3} {PAT} Experiments at Osaka Kyoiku University: Long Gram-based Index and Essential Words}, booktitle = {Proceedings of the Third {NTCIR} Workshop on Research in Information Retrieval, Automatic Text Summarization and Question Answering, NTCIR-3, Tokyo, Japan, October 8-10, 2002}, publisher = {National Institute of Informatics {(NII)}}, year = {2002}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings3/NTCIR3-PATENT-SatoT.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ntcir/SatoSH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/AgarwalCSSH02, author = {Kanak Agarwal and Yu Cao and Takashi Sato and Dennis Sylvester and Chenming Hu}, title = {Efficient Generation of Delay Change Curves for Noise-Aware Static Timing Analysis}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {77}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994889}, doi = {10.1109/ASPDAC.2002.994889}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/AgarwalCSSH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsys/MizutaSLIS01, author = {Satoshi Mizuta and Takashi Sato and Demelo Madrazo Lao and Masami Ikeda and Toshio Shimizu}, title = {Structure Design of Neural Networks Using Genetic Algorithms}, journal = {Complex Syst.}, volume = {13}, number = {2}, year = {2001}, url = {http://www.complex-systems.com/abstracts/v13\_i02\_a04.html}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsys/MizutaSLIS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SatoSCH01, author = {Takashi Sato and Dennis Sylvester and Yu Cao and Chenming Hu}, title = {Accurate in situ measurement of peak noise and delay change induced by interconnect coupling}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {10}, pages = {1587--1591}, year = {2001}, url = {https://doi.org/10.1109/4.953489}, doi = {10.1109/4.953489}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SatoSCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/SatoYKAK01, author = {Takashi Sato and Eiji Yoshida and Yasunori Kakebayashi and Joji Asakura and Norihisa Komoda}, title = {Application of {IEC61131-3} for semiconductor processing equipment}, booktitle = {Proceedings of 8th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2001, October 15-18, 2001, Antibes/Juan les Pins, France - Volume 2}, pages = {47--50}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ETFA.2001.997669}, doi = {10.1109/ETFA.2001.997669}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/SatoYKAK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/SatoHHKFH01, author = {Takashi Sato and Nao Hatta and Koji Hiraiwa and Kihei Kobata and Akihiro Furusho and Koto Han}, title = {{NTCIR-2} Experiments Using Long Gram Based Indices}, booktitle = {Proceedings of the Third Second Workshop Meeting on Evaluation of Chinese {\&} Japanese Text Retrieval and Text Summarization, NTCIR-2, Tokyo, Japan, March 7-9, 2001}, publisher = {National Institute of Informatics {(NII)}}, year = {2001}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings2/sato.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/SatoHHKFH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/CaoSOSH00, author = {Yu Cao and Takashi Sato and Michael Orshansky and Dennis Sylvester and Chenming Hu}, title = {New paradigm of predictive {MOSFET} and interconnect modeling for early circuit simulation}, booktitle = {Proceedings of the {IEEE} 2000 Custom Integrated Circuits Conference, {CICC} 2000, Orlando, FL, USA, May 21-24, 2000}, pages = {201--204}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CICC.2000.852648}, doi = {10.1109/CICC.2000.852648}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/CaoSOSH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SatoNSN99, author = {Takashi Sato and Yoji Nishio and Toshio Sugano and Yoshinobu Nakagome}, title = {A 5-GByte/s data-transfer scheme with bit-to-bit skew control for synchronous {DRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {34}, number = {5}, pages = {653--660}, year = {1999}, url = {https://doi.org/10.1109/4.760375}, doi = {10.1109/4.760375}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SatoNSN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codas/Sato96, author = {Takashi Sato}, editor = {Yahiko Kambayashi and Kazumasa Yokota}, title = {ChangFast Arbitrary String Search from Large Data}, booktitle = {Proceedings of the International Symposium on Cooperative Database Systems for Advanced Applications, Kyoto, Japan December 5-7, 1996}, pages = {530--537}, publisher = {World Scientific}, year = {1996}, timestamp = {Fri, 02 Aug 2019 08:43:55 +0200}, biburl = {https://dblp.org/rec/conf/codas/Sato96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stringology/Sato96, author = {Takashi Sato}, title = {Fast Full Text Search Using Tree Structured[TS] File}, booktitle = {Proceedings of the Prague Stringology Club Workshop 1996, Prague, Czech Republic, August 14, 1996}, pages = {42--63}, publisher = {Department of Computer Science and Engineering, Faculty of Electrical Engineering, Czech Technical University}, year = {1996}, url = {http://www.stringology.org/event/1996/p6.html}, timestamp = {Wed, 10 Feb 2021 08:42:25 +0100}, biburl = {https://dblp.org/rec/conf/stringology/Sato96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/AoeMS92, author = {Jun{-}Ichi Aoe and Katsushi Morimoto and Takashi Sato}, title = {An Efficient Implementation of Trie Structures}, journal = {Softw. Pract. Exp.}, volume = {22}, number = {9}, pages = {695--721}, year = {1992}, url = {https://doi.org/10.1002/spe.4380220902}, doi = {10.1002/SPE.4380220902}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/AoeMS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/Sato91, author = {Takashi Sato}, title = {Order preserving code having a search tree}, journal = {Syst. Comput. Jpn.}, volume = {22}, number = {9}, pages = {23--32}, year = {1991}, url = {https://doi.org/10.1002/scj.4690220903}, doi = {10.1002/SCJ.4690220903}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/Sato91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/Sato89, author = {Takashi Sato}, title = {Numerical computation of large partial differential equations on memory hierarchy}, journal = {Syst. Comput. Jpn.}, volume = {20}, number = {6}, pages = {52--59}, year = {1989}, url = {https://doi.org/10.1002/scj.4690200606}, doi = {10.1002/SCJ.4690200606}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/Sato89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OkudaSOT89, author = {R. Okuda and Takashi Sato and Hidetoshi Onodera and K. Tamariu}, title = {An efficient algorithm for layout compaction problem with symmetry constraints}, booktitle = {1989 {IEEE} International Conference on Computer-Aided Design, {ICCAD} 1989, Santa Clara, CA, USA, November 5-9, 1989. Digest of Technical Papers}, pages = {148--151}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICCAD.1989.76924}, doi = {10.1109/ICCAD.1989.76924}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OkudaSOT89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/SatoAY88, author = {Takashi Sato and Jun{-}Ichi Aoe and Seigo Yasutome}, title = {Contextual knowledge for summarizing Japanese texts and generating English sentences}, booktitle = {Twelfth International Computer Software and Applications Conference, {COMPSAC} 1988, Proceedings, Chicago, IL, USA, 5-7 October, 1988}, pages = {470--471}, publisher = {{IEEE}}, year = {1988}, url = {https://doi.org/10.1109/CMPSAC.1988.17221}, doi = {10.1109/CMPSAC.1988.17221}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/compsac/SatoAY88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/AoeYS88, author = {Jun{-}Ichi Aoe and Seigo Yasutome and Takashi Sato}, title = {An efficient digital search algorithm by using a double-array structure}, booktitle = {Twelfth International Computer Software and Applications Conference, {COMPSAC} 1988, Proceedings, Chicago, IL, USA, 5-7 October, 1988}, pages = {472--479}, publisher = {{IEEE}}, year = {1988}, url = {https://doi.org/10.1109/CMPSAC.1988.17222}, doi = {10.1109/CMPSAC.1988.17222}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/AoeYS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/acta/TsudaS83, author = {Takao Tsuda and Takashi Sato}, title = {Transposition of Large Tabular Data Structures with Applications to Physical Database Organization}, journal = {Acta Informatica}, volume = {19}, pages = {13--33}, year = {1983}, url = {https://doi.org/10.1007/BF00263926}, doi = {10.1007/BF00263926}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/acta/TsudaS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/acta/TsudaUS83, author = {Takao Tsuda and Akira Urano and Takashi Sato}, title = {Transposition of Large Tabular Data Structures with Applications to Physical Database Organization}, journal = {Acta Informatica}, volume = {19}, pages = {167--182}, year = {1983}, url = {https://doi.org/10.1007/BF00264474}, doi = {10.1007/BF00264474}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/acta/TsudaUS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/TsudaST83, author = {Takao Tsuda and Takashi Sato and Takaaki Tatsumi}, title = {Generalization of Floyd's Model on Permuting Information in Idealized Two-Level Storage}, journal = {Inf. Process. Lett.}, volume = {16}, number = {4}, pages = {183--188}, year = {1983}, url = {https://doi.org/10.1016/0020-0190(83)90121-7}, doi = {10.1016/0020-0190(83)90121-7}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/TsudaST83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/HoshinoKSHYISS83, author = {Tsutomu Hoshino and Toshio Kawai and Tomonori Shirakawa and Jun'ichi Higashino and Akira Yamaoka and Hachidai Ito and Takashi Sato and Kazuo Sawada}, title = {{PACS:} {A} Parallel Microprocessor Array for Scientific Calculations}, journal = {{ACM} Trans. Comput. Syst.}, volume = {1}, number = {3}, pages = {195--221}, year = {1983}, url = {https://doi.org/10.1145/357369.357370}, doi = {10.1145/357369.357370}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/HoshinoKSHYISS83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/afips/MoriTS80, author = {Fumihiko Mori and Hiroshi Tsuji and Takashi Sato}, title = {A conversational decision support system for resource allocation without explicit objective function}, booktitle = {American Federation of Information Processing Societies: 1980 National Computer Conference, 19-22 May 1980, Anaheim, California, {USA}}, series = {{AFIPS} Conference Proceedings}, volume = {49}, pages = {1--6}, publisher = {{AFIPS} Press}, year = {1980}, url = {https://doi.org/10.1145/1500518.1500520}, doi = {10.1145/1500518.1500520}, timestamp = {Wed, 14 Apr 2021 16:50:07 +0200}, biburl = {https://dblp.org/rec/conf/afips/MoriTS80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.