default search action
Stephen W. Keckler
Steve Keckler 0001
Person information
- affiliation: NVIDIA
- affiliation: University of Texas at Austin, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
showing all ?? records
2020 – today
- 2024
- [c118]Neal Clayton Crago, Sana Damani, Karthikeyan Sankaralingam, Stephen W. Keckler:
WASP: Exploiting GPU Pipeline Parallelism with Hardware-Accelerated Automatic Warp Specialization. HPCA 2024: 1-16 - [c117]Aamer Jaleel, Gururaj Saileshwar, Stephen W. Keckler, Moinuddin K. Qureshi:
PrIDE: Achieving Secure Rowhammer Mitigation with Low-Cost In-DRAM Trackers. ISCA 2024: 1157-1172 - [c116]Kavya Sreedhar, Jason Clemons, Rangharajan Venkatesan, Stephen W. Keckler, Mark Horowitz:
Vision Transformer Computation and Resilience for Dynamic Inference. ISPASS 2024: 192-204 - [i18]Geonhwa Jeong, Po-An Tsai, Abhimanyu Rajeshkumar Bambhaniya, Stephen W. Keckler, Tushar Krishna:
Abstracting Sparse DNN Acceleration via Structured Sparse Tensor Decomposition. CoRR abs/2403.07953 (2024) - [i17]Aamer Jaleel, Stephen W. Keckler, Gururaj Saileshwar:
Probabilistic Tracker Management Policies for Low-Cost and Scalable Rowhammer Mitigation. CoRR abs/2404.16256 (2024) - [i16]Geonhwa Jeong, Po-An Tsai, Stephen W. Keckler, Tushar Krishna:
SDQ: Sparse Decomposed Quantization for LLM Inference. CoRR abs/2406.13868 (2024) - 2023
- [j39]Mohamed Tarek Ibn Ziad, Sana Damani, Aamer Jaleel, Stephen W. Keckler, Mark Stephenson:
cuCatch: A Debugging Tool for Efficiently Catching Memory Safety Violations in CUDA Applications. Proc. ACM Program. Lang. 7(PLDI): 124-147 (2023) - [j38]Michael Pellauer, Jason Clemons, Vignesh Balaji, Neal Clayton Crago, Aamer Jaleel, Donghyuk Lee, Mike O'Connor, Anghsuman Parashar, Sean Treichler, Po-An Tsai, Stephen W. Keckler, Joel S. Emer:
Symphony: Orchestrating Sparse and Dense Tensors with Hierarchical Heterogeneous Processing. ACM Trans. Comput. Syst. 41: 4:1-4:30 (2023) - [c115]Yu-Shun Hsiao, Siva Kumar Sastry Hari, Balakumar Sundaralingam, Jason Yik, Thierry Tambe, Charbel Sakr, Stephen W. Keckler, Vijay Janapa Reddi:
VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning. IROS 2023: 6304-6309 - [c114]Michael B. Sullivan, Mohamed Tarek Ibn Ziad, Aamer Jaleel, Stephen W. Keckler:
Implicit Memory Tagging: No-Overhead Memory Safety Using Alias-Free Tagged ECC. ISCA 2023: 67:1-67:13 - [c113]Vignesh Balaji, Neal Clayton Crago, Aamer Jaleel, Stephen W. Keckler:
Community-based Matrix Reordering for Sparse Linear Algebra Optimization. ISPASS 2023: 214-223 - [c112]Jason Clemons, Iuri Frosio, Maying Shen, José M. Álvarez, Stephen W. Keckler:
Augmenting Legacy Networks for Flexible Inference. IV 2023: 1-8 - [i15]Yu-Shun Hsiao, Siva Kumar Sastry Hari, Balakumar Sundaralingam, Jason Yik, Thierry Tambe, Charbel Sakr, Stephen W. Keckler, Vijay Janapa Reddi:
VaPr: Variable-Precision Tensors to Accelerate Robot Motion Planning. CoRR abs/2310.07854 (2023) - 2022
- [j37]Steve Keckler, Dejan S. Milojicic:
Accelerators. Computer 55(1): 108-112 (2022) - [j36]Michael B. Sullivan, Nirmal R. Saxena, Mike O'Connor, Donghyuk Lee, Paul Racunas, Saurabh Hukerikar, Timothy Tsai, Siva Kumar Sastry Hari, Stephen W. Keckler:
Characterizing and Mitigating Soft Errors in GPU DRAM. IEEE Micro 42(4): 69-77 (2022) - [j35]Yaosheng Fu, Evgeny Bolotin, Niladrish Chatterjee, David W. Nellans, Stephen W. Keckler:
GPU Domain Specialization via Composable On-Package Architecture. ACM Trans. Archit. Code Optim. 19(1): 4:1-4:23 (2022) - [j34]Siva Kumar Sastry Hari, Michael B. Sullivan, Timothy Tsai, Stephen W. Keckler:
Making Convolutions Resilient Via Algorithm-Based Error Detection Techniques. IEEE Trans. Dependable Secur. Comput. 19(4): 2546-2558 (2022) - [c111]Yu-Shun Hsiao, Siva Kumar Sastry Hari, Michal Filipiuk, Timothy Tsai, Michael B. Sullivan, Vijay Janapa Reddi, Vasu Singh, Stephen W. Keckler:
Zhuyi: perception processing rate estimation for safety in autonomous vehicles. DAC 2022: 289-294 - [c110]Saurabh Jha, Shengkun Cui, Timothy Tsai, Siva Kumar Sastry Hari, Michael B. Sullivan, Zbigniew T. Kalbarczyk, Stephen W. Keckler, Ravishankar K. Iyer:
Exploiting Temporal Data Diversity for Detecting Safety-critical Faults in AV Compute Systems. DSN 2022: 88-100 - [c109]Jason Clemons, Iuri Frosio, Maying Shen, José M. Álvarez, Stephen W. Keckler:
Augmenting Legacy Networks for Flexible Inference. ECCV Workshops (7) 2022: 84-98 - [c108]Mike O'Connor, Donghyuk Lee, Niladrish Chatterjee, Michael B. Sullivan, Stephen W. Keckler:
Saving PAM4 Bus Energy with SMOREs: Sparse Multi-level Opportunistic Restricted Encodings. HPCA 2022: 1001-1013 - [c107]Sana Damani, Mark Stephenson, Ram Rangan, Daniel R. Johnson, Rishkul Kulkami, Stephen W. Keckler:
GPU Subwarp Interleaving. HPCA 2022: 1184-1197 - [i14]Yu-Shun Hsiao, Siva Kumar Sastry Hari, Michal Filipiuk, Timothy Tsai, Michael B. Sullivan, Vijay Janapa Reddi, Vasu Singh, Stephen W. Keckler:
Zhuyi: Perception Processing Rate Estimation for Safety in Autonomous Vehicles. CoRR abs/2205.03347 (2022) - [i13]Kavya Sreedhar, Jason Clemons, Rangharajan Venkatesan, Stephen W. Keckler, Mark Horowitz:
Enabling and Accelerating Dynamic Vision Transformer Inference for Real-Time Applications. CoRR abs/2212.02687 (2022) - 2021
- [j33]Yakun Sophia Shao, Jason Clemons, Rangharajan Venkatesan, Brian Zimmer, Matthew Fojtik, Nan Jiang, Ben Keller, Alicia Klinefelter, Nathaniel Ross Pinckney, Priyanka Raina, Stephen G. Tell, Yanqing Zhang, William J. Dally, Joel S. Emer, C. Thomas Gray, Brucek Khailany, Stephen W. Keckler:
Simba: scaling deep-learning inference with chiplet-based architecture. Commun. ACM 64(6): 107-116 (2021) - [j32]Mark Stephenson, Ram Rangan, Stephen W. Keckler:
Cooperative Profile Guided Optimizations. Comput. Graph. Forum 40(8): 71-83 (2021) - [j31]Jie-Fang Zhang, Ching-En Lee, Chester Liu, Yakun Sophia Shao, Stephen W. Keckler, Zhengya Zhang:
SNAP: An Efficient Sparse Neural Acceleration Processor for Unstructured Sparse Deep Neural Network Inference. IEEE J. Solid State Circuits 56(2): 636-647 (2021) - [j30]William J. Dally, Stephen W. Keckler, David Blair Kirk:
Evolution of the Graphics Processing Unit (GPU). IEEE Micro 41(6): 42-51 (2021) - [c106]Hengyu Zhao, Siva Kumar Sastry Hari, Timothy Tsai, Michael B. Sullivan, Stephen W. Keckler, Jishen Zhao:
Suraksha: A Quantitative AV Safety Evaluation Framework to Analyze Safety Implications of Perception Design Choices. DSN Workshops 2021: 35-38 - [c105]Timothy Tsai, Siva Kumar Sastry Hari, Michael B. Sullivan, Oreste Villa, Stephen W. Keckler:
NVBitFI: Dynamic Fault Injection for GPUs. DSN 2021: 284-291 - [c104]Abdulrahman Mahmoud, Siva Kumar Sastry Hari, Christopher W. Fletcher, Sarita V. Adve, Charbel Sakr, Naresh R. Shanbhag, Pavlo Molchanov, Michael B. Sullivan, Timothy Tsai, Stephen W. Keckler:
Optimizing Selective Protection for CNN Resilience. ISSRE 2021: 127-138 - [c103]Hengyu Zhao, Siva Kumar Sastry Hari, Timothy Tsai, Michael B. Sullivan, Stephen W. Keckler, Jishen Zhao:
Suraksha: A Framework to Analyze the Safety Implications of Perception Design Choices in AVs. ISSRE 2021: 434-445 - [c102]Zahra Ghodsi, Siva Kumar Sastry Hari, Iuri Frosio, Timothy Tsai, Alejandro J. Troccoli, Stephen W. Keckler, Siddharth Garg, Anima Anandkumar:
Generating and Characterizing Scenarios for Safety Testing of Autonomous Vehicles. IV 2021: 157-164 - [c101]Michael B. Sullivan, Nirmal R. Saxena, Mike O'Connor, Donghyuk Lee, Paul Racunas, Saurabh Hukerikar, Timothy Tsai, Siva Kumar Sastry Hari, Stephen W. Keckler:
Characterizing and Mitigating Soft Errors in GPU DRAM. MICRO 2021: 641-653 - [i12]Zahra Ghodsi, Siva Kumar Sastry Hari, Iuri Frosio, Timothy Tsai, Alejandro J. Troccoli, Stephen W. Keckler, Siddharth Garg, Anima Anandkumar:
Generating and Characterizing Scenarios for Safety Testing of Autonomous Vehicles. CoRR abs/2103.07403 (2021) - [i11]Yaosheng Fu, Evgeny Bolotin, Niladrish Chatterjee, David W. Nellans, Stephen W. Keckler:
GPU Domain Specialization via Composable On-Package Architecture. CoRR abs/2104.02188 (2021) - 2020
- [j29]Brian Zimmer, Rangharajan Venkatesan, Yakun Sophia Shao, Jason Clemons, Matthew Fojtik, Nan Jiang, Ben Keller, Alicia Klinefelter, Nathaniel Ross Pinckney, Priyanka Raina, Stephen G. Tell, Yanqing Zhang, William J. Dally, Joel S. Emer, C. Thomas Gray, Stephen W. Keckler, Brucek Khailany:
A 0.32-128 TOPS, Scalable Multi-Chip-Module-Based Deep Neural Network Inference Accelerator With Ground-Referenced Signaling in 16 nm. IEEE J. Solid State Circuits 55(4): 920-932 (2020) - [c100]Sana Damani, Daniel R. Johnson, Mark Stephenson, Stephen W. Keckler, Eddie Q. Yan, Michael McKeown, Olivier Giroux:
Speculative reconvergence for improved SIMT efficiency. CGO 2020: 121-132 - [c99]Esha Choukse, Michael B. Sullivan, Mike O'Connor, Mattan Erez, Jeff Pool, David W. Nellans, Stephen W. Keckler:
Buddy Compression: Enabling Larger Memory for Deep Learning and HPC Workloads on GPUs. ISCA 2020: 926-939 - [i10]Abdulrahman Mahmoud, Siva Kumar Sastry Hari, Christopher W. Fletcher, Sarita V. Adve, Charbel Sakr, Naresh R. Shanbhag, Pavlo Molchanov, Michael B. Sullivan, Timothy Tsai, Stephen W. Keckler:
HarDNN: Feature Map Vulnerability Evaluation in CNNs. CoRR abs/2002.09786 (2020) - [i9]Siva Kumar Sastry Hari, Paolo Rech, Timothy Tsai, Mark Stephenson, Arslan Zulfiqar, Michael B. Sullivan, Philip P. Shirvani, Paul Racunas, Joel S. Emer, Stephen W. Keckler:
Estimating Silent Data Corruption Rates Using a Two-Level Model. CoRR abs/2005.01445 (2020) - [i8]Siva Kumar Sastry Hari, Michael B. Sullivan, Timothy Tsai, Stephen W. Keckler:
Making Convolutions Resilient via Algorithm-Based Error Detection Techniques. CoRR abs/2006.04984 (2020)
2010 – 2019
- 2019
- [j28]Neal Clayton Crago, Mark Stephenson, Stephen W. Keckler:
Exposing Memory Access Patterns to Improve Instruction and Memory Efficiency in GPUs. ACM Trans. Archit. Code Optim. 15(4): 45:1-45:23 (2019) - [c98]Michael Pellauer, Yakun Sophia Shao, Jason Clemons, Neal Clayton Crago, Kartik Hegde, Rangharajan Venkatesan, Stephen W. Keckler, Christopher W. Fletcher, Joel S. Emer:
Buffets: An Efficient and Composable Storage Idiom for Explicit Decoupled Data Orchestration. ASPLOS 2019: 137-151 - [c97]Kyushick Lee, Michael B. Sullivan, Siva Kumar Sastry Hari, Timothy Tsai, Stephen W. Keckler, Mattan Erez:
On the Trend of Resilience for GPU-Dense Systems. DSN (Supplements) 2019: 29-34 - [c96]Saurabh Jha, Subho S. Banerjee, Timothy Tsai, Siva Kumar Sastry Hari, Michael B. Sullivan, Zbigniew T. Kalbarczyk, Stephen W. Keckler, Ravishankar K. Iyer:
ML-Based Fault Injection for Autonomous Vehicles: A Case for Bayesian Fault Injection. DSN 2019: 112-124 - [c95]Rangharajan Venkatesan, Yakun Sophia Shao, Brian Zimmer, Jason Clemons, Matthew Fojtik, Nan Jiang, Ben Keller, Alicia Klinefelter, Nathaniel Ross Pinckney, Priyanka Raina, Stephen G. Tell, Yanqing Zhang, William J. Dally, Joel S. Emer, C. Thomas Gray, Stephen W. Keckler, Brucek Khailany:
A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with A High-Productivity vlsi Methodology. Hot Chips Symposium 2019: 1-24 - [c94]Rangharajan Venkatesan, Yakun Sophia Shao, Miaorong Wang, Jason Clemons, Steve Dai, Matthew Fojtik, Ben Keller, Alicia Klinefelter, Nathaniel Ross Pinckney, Priyanka Raina, Yanqing Zhang, Brian Zimmer, William J. Dally, Joel S. Emer, Stephen W. Keckler, Brucek Khailany:
MAGNet: A Modular Accelerator Generator for Neural Networks. ICCAD 2019: 1-8 - [c93]Kyushick Lee, Michael B. Sullivan, Siva Kumar Sastry Hari, Timothy Tsai, Stephen W. Keckler, Mattan Erez:
GPU snapshot: checkpoint offloading for GPU-dense systems. ICS 2019: 171-183 - [c92]Angshuman Parashar, Priyanka Raina, Yakun Sophia Shao, Yu-Hsin Chen, Victor A. Ying, Anurag Mukkara, Rangharajan Venkatesan, Brucek Khailany, Stephen W. Keckler, Joel S. Emer:
Timeloop: A Systematic Approach to DNN Accelerator Evaluation. ISPASS 2019: 304-315 - [c91]Yakun Sophia Shao, Jason Clemons, Rangharajan Venkatesan, Brian Zimmer, Matthew Fojtik, Nan Jiang, Ben Keller, Alicia Klinefelter, Nathaniel Ross Pinckney, Priyanka Raina, Stephen G. Tell, Yanqing Zhang, William J. Dally, Joel S. Emer, C. Thomas Gray, Brucek Khailany, Stephen W. Keckler:
Simba: Scaling Deep-Learning Inference with Multi-Chip-Module-Based Architecture. MICRO 2019: 14-27 - [c90]Oreste Villa, Mark Stephenson, David W. Nellans, Stephen W. Keckler:
NVBit: A Dynamic Binary Instrumentation Framework for NVIDIA GPUs. MICRO 2019: 372-383 - [c89]Brian Zimmer, Rangharajan Venkatesan, Yakun Sophia Shao, Jason Clemons, Matthew Fojtik, Nan Jiang, Ben Keller, Alicia Klinefelter, Nathaniel Ross Pinckney, Priyanka Raina, Stephen G. Tell, Yanqing Zhang, William J. Dally, Joel S. Emer, C. Thomas Gray, Stephen W. Keckler, Brucek Khailany:
A 0.11 pJ/Op, 0.32-128 TOPS, Scalable Multi-Chip-Module-based Deep Neural Network Accelerator with Ground-Reference Signaling in 16nm. VLSI Circuits 2019: 300- - [c88]Jie-Fang Zhang, Ching-En Lee, Chester Liu, Yakun Sophia Shao, Stephen W. Keckler, Zhengya Zhang:
SNAP: A 1.67 - 21.55TOPS/W Sparse Neural Acceleration Processor for Unstructured Sparse Deep Neural Network Inference in 16nm CMOS. VLSI Circuits 2019: 306- - [i7]Esha Choukse, Michael B. Sullivan, Mike O'Connor, Mattan Erez, Jeff Pool, David W. Nellans, Stephen W. Keckler:
Buddy Compression: Enabling Larger Memory for Deep Learning and HPC Workloads on GPUs. CoRR abs/1903.02596 (2019) - [i6]Saurabh Jha, Timothy Tsai, Siva Kumar Sastry Hari, Michael B. Sullivan, Zbigniew Kalbarczyk, Stephen W. Keckler, Ravishankar K. Iyer:
Kayotee: A Fault Injection-based System to Assess the Safety and Reliability of Autonomous Vehicles to Faults and Errors. CoRR abs/1907.01024 (2019) - [i5]Saurabh Jha, Subho S. Banerjee, Timothy Tsai, Siva Kumar Sastry Hari, Michael B. Sullivan, Zbigniew T. Kalbarczyk, Stephen W. Keckler, Ravishankar K. Iyer:
ML-based Fault Injection for Autonomous Vehicles: A Case for Bayesian Fault Injection. CoRR abs/1907.01051 (2019) - 2018
- [j27]Dani Voitsechov, Arslan Zulfiqar, Mark Stephenson, Mark Gebhart, Stephen W. Keckler:
Software-Directed Techniques for Improved GPU Register File Utilization. ACM Trans. Archit. Code Optim. 15(3): 38:1-38:23 (2018) - [c87]Minsoo Rhu, Mike O'Connor, Niladrish Chatterjee, Jeff Pool, Youngeun Kwon, Stephen W. Keckler:
Compressing DMA Engine: Leveraging Activation Sparsity for Training Deep Neural Networks. HPCA 2018: 78-91 - [c86]Michael B. Sullivan, Siva Kumar Sastry Hari, Brian Zimmer, Timothy Tsai, Stephen W. Keckler:
SwapCodes: Error Codes for Hardware-Software Cooperative GPU Pipeline Error Detection. MICRO 2018: 762-774 - [c85]Abdulrahman Mahmoud, Siva Kumar Sastry Hari, Michael B. Sullivan, Timothy Tsai, Stephen W. Keckler:
Optimizing software-directed instruction replication for GPU error detection. SC 2018: 67:1-67:12 - [i4]Maohua Zhu, Jason Clemons, Jeff Pool, Minsoo Rhu, Stephen W. Keckler, Yuan Xie:
Structurally Sparsified Backward Propagation for Faster Long Short-Term Memory Training. CoRR abs/1806.00512 (2018) - 2017
- [c84]Niladrish Chatterjee, Mike O'Connor, Donghyuk Lee, Daniel R. Johnson, Stephen W. Keckler, Minsoo Rhu, William J. Dally:
Architecting an Energy-Efficient DRAM System for GPUs. HPCA 2017: 73-84 - [c83]Angshuman Parashar, Minsoo Rhu, Anurag Mukkara, Antonio Puglielli, Rangharajan Venkatesan, Brucek Khailany, Joel S. Emer, Stephen W. Keckler, William J. Dally:
SCNN: An Accelerator for Compressed-sparse Convolutional Neural Networks. ISCA 2017: 27-40 - [c82]Siva Kumar Sastry Hari, Timothy Tsai, Mark Stephenson, Stephen W. Keckler, Joel S. Emer:
SASSIFI: An architecture-level fault injection tool for GPU application resilience evaluation. ISPASS 2017: 249-258 - [c81]Mike O'Connor, Niladrish Chatterjee, Donghyuk Lee, John M. Wilson, Aditya Agrawal, Stephen W. Keckler, William J. Dally:
Fine-grained DRAM: energy-efficient DRAM for extreme bandwidth systems. MICRO 2017: 41-54 - [c80]Guanpeng Li, Siva Kumar Sastry Hari, Michael B. Sullivan, Timothy Tsai, Karthik Pattabiraman, Joel S. Emer, Stephen W. Keckler:
Understanding error propagation in deep learning neural network (DNN) accelerators and applications. SC 2017: 8 - [i3]Minsoo Rhu, Mike O'Connor, Niladrish Chatterjee, Jeff Pool, Stephen W. Keckler:
Compressing DMA Engine: Leveraging Activation Sparsity for Training Deep Neural Networks. CoRR abs/1705.01626 (2017) - [i2]Angshuman Parashar, Minsoo Rhu, Anurag Mukkara, Antonio Puglielli, Rangharajan Venkatesan, Brucek Khailany, Joel S. Emer, Stephen W. Keckler, William J. Dally:
SCNN: An Accelerator for Compressed-sparse Convolutional Neural Networks. CoRR abs/1708.04485 (2017) - 2016
- [c79]Injoon Hong, Jason Clemons, Rangharajan Venkatesan, Iuri Frosio, Brucek Khailany, Stephen W. Keckler:
A real-time energy-efficient superpixel hardware accelerator for mobile computer vision applications. DAC 2016: 95:1-95:6 - [c78]Gennady Pekhimenko, Evgeny Bolotin, Nandita Vijaykumar, Onur Mutlu, Todd C. Mowry, Stephen W. Keckler:
A case for toggle-aware compression for GPU systems. HPCA 2016: 188-200 - [c77]Tianhao Zheng, David W. Nellans, Arslan Zulfiqar, Mark Stephenson, Stephen W. Keckler:
Towards high performance paged memory for GPUs. HPCA 2016: 345-357 - [c76]Neha Agarwal, David W. Nellans, Eiman Ebrahimi, Thomas F. Wenisch, John Danskin, Stephen W. Keckler:
Selective GPU caches to eliminate CPU-GPU HW cache coherence. HPCA 2016: 494-506 - [c75]Kevin Hsieh, Eiman Ebrahimi, Gwangsun Kim, Niladrish Chatterjee, Mike O'Connor, Nandita Vijaykumar, Onur Mutlu, Stephen W. Keckler:
Transparent Offloading and Mapping (TOM): Enabling Programmer-Transparent Near-Data Processing in GPU Systems. ISCA 2016: 204-216 - [c74]Aditya Agrawal, Mike O'Connor, Evgeny Bolotin, Niladrish Chatterjee, Joel S. Emer, Stephen W. Keckler:
CLARA: Circular Linked-List Auto and Self Refresh Architecture. MEMSYS 2016: 338-349 - [c73]Minsoo Rhu, Natalia Gimelshein, Jason Clemons, Arslan Zulfiqar, Stephen W. Keckler:
vDNN: Virtualized deep neural networks for scalable, memory-efficient neural network design. MICRO 2016: 18:1-18:13 - [c72]Jason Clemons, Chih-Chi Cheng, Iuri Frosio, Daniel R. Johnson, Stephen W. Keckler:
A patch memory system for image processing and computer vision. MICRO 2016: 51:1-51:13 - [i1]Minsoo Rhu, Natalia Gimelshein, Jason Clemons, Arslan Zulfiqar, Stephen W. Keckler:
Virtualizing Deep Neural Networks for Memory-Efficient Neural Network Design. CoRR abs/1602.08124 (2016) - 2015
- [j26]Gennady Pekhimenko, Evgeny Bolotin, Mike O'Connor, Onur Mutlu, Todd C. Mowry, Stephen W. Keckler:
Toggle-Aware Compression for GPUs. IEEE Comput. Archit. Lett. 14(2): 164-168 (2015) - [j25]Stephen W. Keckler:
Increasing Interconnection Network Throughput with Virtual Channels. Computer 48(7): 10 (2015) - [j24]Evgeny Bolotin, David W. Nellans, Oreste Villa, Mike O'Connor, Alex Ramírez, Stephen W. Keckler:
Designing Efficient Heterogeneous Memory Architectures. IEEE Micro 35(4): 60-68 (2015) - [c71]Neha Agarwal, David W. Nellans, Mark Stephenson, Mike O'Connor, Stephen W. Keckler:
Page Placement Strategies for GPUs within Heterogeneous Memory Systems. ASPLOS 2015: 607-618 - [c70]Neha Agarwal, David W. Nellans, Mike O'Connor, Stephen W. Keckler, Thomas F. Wenisch:
Unlocking bandwidth for GPUs in CC-NUMA systems. HPCA 2015: 354-365 - [c69]Joel Hestness, Stephen W. Keckler, David A. Wood:
GPU Computing Pipeline Inefficiencies and Optimization Opportunities in Heterogeneous CPU-GPU Processors. IISWC 2015: 87-97 - [c68]Mark Stephenson, Siva Kumar Sastry Hari, Yunsup Lee, Eiman Ebrahimi, Daniel R. Johnson, David W. Nellans, Mike O'Connor, Stephen W. Keckler:
Flexible software profiling of GPU architectures. ISCA 2015: 185-197 - [c67]Timothy G. Rogers, Daniel R. Johnson, Mike O'Connor, Stephen W. Keckler:
A variable warp size architecture. ISCA 2015: 489-501 - [c66]Adwait Jog, Onur Kayiran, Tuba Kesten, Ashutosh Pattnaik, Evgeny Bolotin, Niladrish Chatterjee, Stephen W. Keckler, Mahmut T. Kandemir, Chita R. Das:
Anatomy of GPU Memory System for Multi-Application Execution. MEMSYS 2015: 223-234 - 2014
- [j23]Stephen W. Keckler:
Rethinking caches for throughput processors: technical perspective. Commun. ACM 57(12): 90 (2014) - [j22]Stephen W. Keckler, Dean M. Tullsen:
2014 International Symposium on Computer Architecture Influential Paper Award; 2014 Maurice Wilkes Award Given to Ravi Rajwar. IEEE Micro 34(6): 95-97 (2014) - [j21]Madhu Saravana Sibi Govindan, Behnam Robatmili, Dong Li, Bertrand A. Maher, Aaron Smith, Stephen W. Keckler, Doug Burger:
Scaling Power and Performance viaProcessor Composability. IEEE Trans. Computers 63(8): 2025-2038 (2014) - [c65]Adwait Jog, Evgeny Bolotin, Zvika Guz, Mike Parker, Stephen W. Keckler, Mahmut T. Kandemir, Chita R. Das:
Application-aware Memory System for Fair and Efficient Execution of Concurrent GPGPU Applications. GPGPU@ASPLOS 2014: 1 - [c64]Jaehyuk Huh, Changkyu Kim, Hazim Shafi, Lixin Zhang, Doug Burger, Stephen W. Keckler:
Author retrospective for a NUCA substrate for flexible CMP cache sharing. ICS 25th Anniversary 2014: 74-76 - [c63]Joel Hestness, Stephen W. Keckler, David A. Wood:
A comparative analysis of microarchitecture effects on CPU and GPU memory system behavior. IISWC 2014: 150-160 - [c62]Yunsup Lee, Vinod Grover, Ronny Krashinsky, Mark Stephenson, Stephen W. Keckler, Krste Asanovic:
Exploring the Design Space of SPMD Divergence Management on Data-Parallel Architectures. MICRO 2014: 101-113 - [c61]Jeffrey R. Diamond, Donald S. Fussell, Stephen W. Keckler:
Arbitrary Modulus Indexing. MICRO 2014: 140-152 - [c60]Oreste Villa, Daniel R. Johnson, Mike O'Connor, Evgeny Bolotin, David W. Nellans, Justin Luitjens, Nikolai Sakharnykh, Peng Wang, Paulius Micikevicius, Anthony Scudiero, Stephen W. Keckler, William J. Dally:
Scaling the Power Wall: A Path to Exascale. SC 2014: 830-841 - 2013
- [c59]Yunsup Lee, Ronny Krashinsky, Vinod Grover, Stephen W. Keckler, Krste Asanovic:
Convergence and scalarization for data-parallel architectures. CGO 2013: 32:1-32:11 - [c58]William J. Dally, Chris Malachowsky, Stephen W. Keckler:
21st century digital design tools. DAC 2013: 94:1-94:6 - [c57]Behnam Robatmili, Dong Li, Hadi Esmaeilzadeh, Madhu Saravana Sibi Govindan, Aaron Smith, Andrew Putnam, Doug Burger, Stephen W. Keckler:
How to implement effective prediction and forwarding for fusable dynamic multicore architectures. HPCA 2013: 460-471 - 2012
- [j20]Stephen W. Keckler, Steven K. Reinhardt:
Massively Multithreaded Computing Systems. Computer 45(8): 24-25 (2012) - [j19]Boris Grot, Joel Hestness, Stephen W. Keckler, Onur Mutlu:
A QoS-Enabled On-Die Interconnect Fabric for Kilo-Node Chips. IEEE Micro 32(3): 17-25 (2012) - [j18]Doug Burger, Stephen W. Keckler, Mark Papermaster:
Charles R. (Chuck) Moore (1961 - 2012). IEEE Micro 32(4): 3-5 (2012) - [j17]Mark Gebhart, Daniel R. Johnson, David Tarjan, Stephen W. Keckler, William J. Dally, Erik Lindholm, Kevin Skadron:
A Hierarchical Thread Scheduler and Register File for Energy-Efficient Throughput Processors. ACM Trans. Comput. Syst. 30(2): 8:1-8:38 (2012) - [c56]Premkishore Shivakumar, Stephen W. Keckler, Charles R. Moore, Doug Burger:
Exploiting microarchitectural redundancy for defect tolerance. ICCD 2012: 35-42 - [c55]Mark Gebhart, Stephen W. Keckler, Brucek Khailany, Ronny Krashinsky, William J. Dally:
Unifying Primary Cache, Scratch, and Register File Memories in a Throughput Processor. MICRO 2012: 96-106 - 2011
- [j16]Stephen W. Keckler, William J. Dally, Brucek Khailany, Michael Garland, David Glasco:
GPUs and the Future of Parallel Computing. IEEE Micro 31(5): 7-17 (2011) - [c54]Behnam Robatmili, Madhu Saravana Sibi Govindan, Doug Burger, Stephen W. Keckler:
Exploiting criticality to reduce bottlenecks in distributed uniprocessors. HPCA 2011: 431-442 - [c53]Mark Gebhart, Daniel R. Johnson, David Tarjan, Stephen W. Keckler, William J. Dally, Erik Lindholm, Kevin Skadron:
Energy-efficient mechanisms for managing thread context in throughput processors. ISCA 2011: 235-246 - [c52]Boris Grot, Joel Hestness, Stephen W. Keckler, Onur Mutlu:
Kilo-NOC: a heterogeneous network-on-chip architecture for scalability and service guarantees. ISCA 2011: 401-412 - [c51]Jeffrey R. Diamond, Martin Burtscher, John D. McCalpin, Byoung-Do Kim, Stephen W. Keckler, James C. Browne:
Evaluation and optimization of multicore performance bottlenecks in supercomputing applications. ISPASS 2011: 32-43 - [c50]Mark Gebhart, Stephen W. Keckler, William J. Dally:
A compile-time managed multi-level register file hierarchy. MICRO 2011: 465-476 - 2010
- [c49]