:facetid:toc:\"db/conf/dac/dac2013.bht\"OK:facetid:toc:db/conf/dac/dac2013.bhtKarthik Chandrasekar 0001Christian WeisBenny AkessonNorbert WehnKees GoossensTowards variation-aware system-level power estimation of DRAMs: an empirical approach.DAC23:1-23:82013Conference and Workshop Papersclosedconf/dac/0001WAWG1310.1145/2463209.2488762https://doi.org/10.1145/2463209.2488762https://dblp.org/rec/conf/dac/0001WAWG13URL#4124215Ahmed AbousamraAlex K. JonesRami G. MelhemProactive circuit allocation in multiplane NoCs.DAC35:1-35:102013Conference and Workshop Papersclosedconf/dac/AbousamraJM1310.1145/2463209.2488778https://doi.org/10.1145/2463209.2488778https://dblp.org/rec/conf/dac/AbousamraJM13URL#4124216Giovanni AgostaAlessandro BarenghiMassimo MaggiGerardo PelosiCompiler-based side channel vulnerability analysis and optimized countermeasures application.DAC81:1-81:62013Conference and Workshop Papersclosedconf/dac/AgostaBMP1310.1145/2463209.2488833https://doi.org/10.1145/2463209.2488833https://dblp.org/rec/conf/dac/AgostaBMP13URL#4124217Prashant AgrawalPraveen RaghavanMatthias HartmannNamita Sharma 0001Liesbet Van der PerreFrancky CatthoorEarly exploration for platform architecture instantiation with multi-mode application partitioning.DAC132:1-132:82013Conference and Workshop Papersclosedconf/dac/AgrawalRHSPC1310.1145/2463209.2488896https://doi.org/10.1145/2463209.2488896https://dblp.org/rec/conf/dac/AgrawalRHSPC13URL#4124218Armin AlaghiCheng LiJohn P. HayesStochastic circuits for real-time image-processing applications.DAC136:1-136:62013Conference and Workshop Papersclosedconf/dac/AlaghiLH1310.1145/2463209.2488901https://doi.org/10.1145/2463209.2488901https://dblp.org/rec/conf/dac/AlaghiLH13URL#4124219Mythri AlleAntoine MorvanSteven DerrienRuntime dependency analysis for loop pipelining in high-level synthesis.DAC51:1-51:102013Conference and Workshop Papersclosedconf/dac/AlleMD1310.1145/2463209.2488796https://doi.org/10.1145/2463209.2488796https://dblp.org/rec/conf/dac/AlleMD13URL#4124220Luca Gaetano AmarùPierre-Emmanuel GaillardonGiovanni De MicheliBDS-MAJ: a BDD-based logic synthesis tool exploiting majority logic decomposition.DAC47:1-47:62013Conference and Workshop Papersclosedconf/dac/AmaruGM1310.1145/2463209.2488792https://doi.org/10.1145/2463209.2488792https://dblp.org/rec/conf/dac/AmaruGM13URL#4124221Iraklis AnagnostopoulosVasileios TsoutsourasAlexandros BartzasDimitrios SoudrisDistributed run-time resource management for malleable applications on many-core platforms.DAC168:1-168:62013Conference and Workshop Papersclosedconf/dac/AnagnostopoulosTBS1310.1145/2463209.2488942https://doi.org/10.1145/2463209.2488942https://dblp.org/rec/conf/dac/AnagnostopoulosTBS13URL#4124222Dean Michael AncajasKoushik ChakrabortySanghamitra RoyDMR3D: dynamic memory relocation in 3D multicore systems.DAC157:1-157:92013Conference and Workshop Papersclosedconf/dac/AncajasCR1310.1145/2463209.2488928https://doi.org/10.1145/2463209.2488928https://dblp.org/rec/conf/dac/AncajasCR13URL#4124223Dean Michael AncajasJames McCabe NickersonKoushik ChakrabortySanghamitra RoyHCI-tolerant NoC router microarchitecture.DAC40:1-40:102013Conference and Workshop Papersclosedconf/dac/AncajasNCR1310.1145/2463209.2488783https://doi.org/10.1145/2463209.2488783https://dblp.org/rec/conf/dac/AncajasNCR13URL#4124224Sidharta AndalamAlain GiraultRoopak SinhaPartha S. RoopJan Reineke 0001Precise timing analysis for direct-mapped caches.DAC148:1-148:102013Conference and Workshop Papersclosedconf/dac/AndalamGSRR1310.1145/2463209.2488917https://doi.org/10.1145/2463209.2488917https://dblp.org/rec/conf/dac/AndalamGSRR13URL#4124225Claudio AngioneJole CostanzaGiovanni CarapezzaPietro LiòGiuseppe NicosiaPareto epsilon-dominance and identifiable solutions for BioCAD modeling.DAC43:1-43:92013Conference and Workshop Papersclosedconf/dac/AngioneCCLN1310.1145/2463209.2488787https://doi.org/10.1145/2463209.2488787https://dblp.org/rec/conf/dac/AngioneCCLN13URL#4124226Asen AsenovCraig AlexanderCraig RiddetEwan TowiePredicting future technology performance.DAC32:1-32:62013Conference and Workshop Papersclosedconf/dac/AsenovART1310.1145/2463209.2488774https://doi.org/10.1145/2463209.2488774https://dblp.org/rec/conf/dac/AsenovART13URL#4124227Lingamneni AvinashArindam BasuChristian C. EnzKrishna V. PalemChristian PiguetImproving energy gains of inexact DSP hardware through reciprocative error compensation.DAC20:1-20:82013Conference and Workshop Papersclosedconf/dac/AvinashBEPP1310.1145/2463209.2488759https://doi.org/10.1145/2463209.2488759https://dblp.org/rec/conf/dac/AvinashBEPP13URL#4124228Philip AxerRolf ErnstStochastic response-time guarantee for non-preemptive, fixed-priority scheduling under errors.DAC172:1-172:72013Conference and Workshop Papersclosedconf/dac/AxerE1310.1145/2463209.2488946https://doi.org/10.1145/2463209.2488946https://dblp.org/rec/conf/dac/AxerE13URL#4124229Debashis BanerjeeShyam Kumar DevarakondShreyas SenAbhijit ChatterjeeReal-time use-aware adaptive MIMO RF receiver systems for energy efficiency under BER constraints.DAC56:1-56:72013Conference and Workshop Papersclosedconf/dac/BanerjeeDSC1310.1145/2463209.2488802https://doi.org/10.1145/2463209.2488802https://dblp.org/rec/conf/dac/BanerjeeDSC13URL#4124230Davide B. BartoliniRiccardo CattaneoGianluca DurelliMartina MaggioMarco D. SantambrogioFilippo SironiThe autonomic operating system research project: achievements and future directions.DAC77:1-77:102013Conference and Workshop Papersclosedconf/dac/BartoliniCDMSS1310.1145/2463209.2488828https://doi.org/10.1145/2463209.2488828https://dblp.org/rec/conf/dac/BartoliniCDMSS13URL#4124231Mohamed BenazouzAlix Munier KordonThomas HujsaBruno BodinLiveness evaluation of a cyclo-static DataFlow graph.DAC3:1-3:72013Conference and Workshop Papersclosedconf/dac/BenazouzKHB1310.1145/2463209.2488736https://doi.org/10.1145/2463209.2488736https://dblp.org/rec/conf/dac/BenazouzKHB13URL#4124232Nicola BombieriHung-Yi LiuFranco FummiLuca P. CarloniA method to abstract RTL IP blocks into C++ code and enable high-level synthesis.DAC156:1-156:92013Conference and Workshop Papersclosedconf/dac/BombieriLFC1310.1145/2463209.2488927https://doi.org/10.1145/2463209.2488927https://dblp.org/rec/conf/dac/BombieriLFC13URL#4124233Benton H. CalhounKyle CraigFlexible on-chip power delivery for energy efficient heterogeneous systems.DAC160:1-160:62013Conference and Workshop Papersclosedconf/dac/CalhounC1310.1145/2463209.2488932https://doi.org/10.1145/2463209.2488932https://dblp.org/rec/conf/dac/CalhounC13URL#4124234Andrea CalimeraEnrico MaciiMassimo PoncinoEnergy-optimal SRAM supply voltage scheduling under lifetime and error constraints.DAC110:1-110:62013Conference and Workshop Papersclosedconf/dac/CalimeraMP1310.1145/2463209.2488870https://doi.org/10.1145/2463209.2488870https://dblp.org/rec/conf/dac/CalimeraMP13URL#4124235Sergio CarloWen YuehSaibal MukhopadhyayOn the potential of 3D integration of inductive DC-DC converter for high-performance power delivery.DAC179:1-179:82013Conference and Workshop Papersclosedconf/dac/CarloYM1310.1145/2463209.2488955https://doi.org/10.1145/2463209.2488955https://dblp.org/rec/conf/dac/CarloYM13URL#4124236Koushik ChakrabortyBrennan CozzensSanghamitra RoyDean Michael AncajasEfficiently tolerating timing violations in pipelined microprocessors.DAC102:1-102:82013Conference and Workshop Papersclosedconf/dac/ChakrabortyCRA1310.1145/2463209.2488860https://doi.org/10.1145/2463209.2488860https://dblp.org/rec/conf/dac/ChakrabortyCRA13URL#4124237Raj ChakrabortyCharles LamechDhruva AcharyyaJim PlusquellicA transmission gate physical unclonable function and on-chip voltage-to-digital conversion technique.DAC59:1-59:102013Conference and Workshop Papersclosedconf/dac/ChakrabortyLAP1310.1145/2463209.2488806https://doi.org/10.1145/2463209.2488806https://dblp.org/rec/conf/dac/ChakrabortyLAP13URL#4124238Harikrishnan ChandrikakuttyDeepak UnnikrishnanRussell TessierTilman WolfHigh-performance hardware monitors to protect network processors from data plane attacks.DAC80:1-80:62013Conference and Workshop Papersclosedconf/dac/ChandrikakuttyUTW1310.1145/2463209.2488832https://doi.org/10.1145/2463209.2488832https://dblp.org/rec/conf/dac/ChandrikakuttyUTW13URL#4124239Edoardo CharbonFrancesco Regazzoni 0001Single-photon image sensors.DAC128:1-128:42013Conference and Workshop Papersclosedconf/dac/CharbonR1310.1145/2463209.2488891https://doi.org/10.1145/2463209.2488891https://dblp.org/rec/conf/dac/CharbonR13URL#4124240Wen Chen 0016Li-C. WangJay BhadraMagdy S. AbadirSimulation knowledge extraction and reuse in constrained random processor verification.DAC120:1-120:62013Conference and Workshop Papersclosedconf/dac/ChenWBA1310.1145/2463209.2488881https://doi.org/10.1145/2463209.2488881https://dblp.org/rec/conf/dac/ChenWBA13URL#4124241Xi ChenZheng Xu 0006Hyungjun KimPaul V. GratzJiang HuMichael KishinevskyÜmit Y. OgrasRaid Zuhair AyoubDynamic voltage and frequency scaling for shared resources in multicore processor designs.DAC114:1-114:72013Conference and Workshop Papersclosedconf/dac/ChenXKGHKOA1310.1145/2463209.2488874https://doi.org/10.1145/2463209.2488874https://dblp.org/rec/conf/dac/ChenXKGHKOA13URL#4124242Hsing-Chih Chang ChienHung-Chih OuTung-Chieh ChenTa-Yu KuanYao-Wen ChangDouble patterning lithography-aware analog placement.DAC4:1-4:62013Conference and Workshop Papersclosedconf/dac/ChienOCKC1310.1145/2463209.2488738https://doi.org/10.1145/2463209.2488738https://dblp.org/rec/conf/dac/ChienOCKC13URL#4124243Vinay K. ChippaSrimat T. ChakradharKaushik Roy 0001Anand RaghunathanAnalysis and characterization of inherent application resilience for approximate computing.DAC113:1-113:92013Conference and Workshop Papersclosedconf/dac/ChippaCRR1310.1145/2463209.2488873https://doi.org/10.1145/2463209.2488873https://dblp.org/rec/conf/dac/ChippaCRR13URL#4124244Hyungmin ChoShahrzad MirkhaniChen-Yong CherJacob A. AbrahamSubhasish MitraQuantitative evaluation of soft error injection techniques for robust system design.DAC101:1-101:102013Conference and Workshop Papersclosedconf/dac/ChoMCAM1310.1145/2463209.2488859https://doi.org/10.1145/2463209.2488859https://dblp.org/rec/conf/dac/ChoMCAM13URL#4124245Juan A. ColmenaresGage EadsSteven A. HofmeyrSarah BirdMiquel MoretóDavid ChouBrian GluzmanEric RomanDavide B. BartoliniNitesh MorKrste AsanovicJohn KubiatowiczTessellation: refactoring the OS around explicit resource containers with continuous adaptation.DAC76:1-76:102013Conference and Workshop Papersclosedconf/dac/ColmenaresEHBMCGRBMAK1310.1145/2463209.2488827https://doi.org/10.1145/2463209.2488827https://dblp.org/rec/conf/dac/ColmenaresEHBMCGRBMAK13URL#4124246Jason CongBingjun XiaoDefect tolerance in nanodevice-based programmable interconnects: utilization beyond avoidance.DAC9:1-9:82013Conference and Workshop Papersclosedconf/dac/CongX1310.1145/2463209.2488745https://doi.org/10.1145/2463209.2488745https://dblp.org/rec/conf/dac/CongX13URL#4124247Miguel CorbalanAnup KevalThomas TomsDurodami LiskRiko RadojcicMatt NowakPower and signal integrity challenges in 3D systems.DAC161:1-161:42013Conference and Workshop Papersclosedconf/dac/CorbalanKTLRN1310.1145/2463209.2488933https://doi.org/10.1145/2463209.2488933https://dblp.org/rec/conf/dac/CorbalanKTLRN13URL#4124248William J. DallyChris MalachowskyStephen W. Keckler21st century digital design tools.DAC94:1-94:62013Conference and Workshop Papersclosedconf/dac/DallyMK1310.1145/2463209.2488850https://doi.org/10.1145/2463209.2488850https://dblp.org/rec/conf/dac/DallyMK13URL#4124249Kapil DevGary L. WoodsSherief RedaHigh-throughput TSV testing and characterization for 3D integration using thermal mapping.DAC73:1-73:62013Conference and Workshop Papersclosedconf/dac/DevWR1310.1145/2463209.2488823https://doi.org/10.1145/2463209.2488823https://dblp.org/rec/conf/dac/DevWR13URL#4124250Sai Manoj Pudukotai DinakarraoKanwen WangHao Yu 0001Peak power reduction and workload balancing by space-time multiplexing based demand-supply matching for 3D thousand-core microprocessor.DAC175:1-175:62013Conference and Workshop Papersclosedconf/dac/DinakarraoWY1310.1145/2463209.2488950https://doi.org/10.1145/2463209.2488950https://dblp.org/rec/conf/dac/DinakarraoWY13URL#4124251Huping DingYun Liang 0001Tulika MitraIntegrated instruction cache analysis and locking in multitasking real-time systems.DAC147:1-147:102013Conference and Workshop Papersclosedconf/dac/DingLM1310.1145/2463209.2488916https://doi.org/10.1145/2463209.2488916https://dblp.org/rec/conf/dac/DingLM13URL#4124252Mohammad Javad DoustiMassoud PedramLEQA: latency estimation for a quantum algorithm mapped to a quantum circuit fabric.DAC42:1-42:72013Conference and Workshop Papersclosedconf/dac/DoustiP1310.1145/2463209.2488786https://doi.org/10.1145/2463209.2488786https://dblp.org/rec/conf/dac/DoustiP13URL#4124253Yuelin DuQiang Ma 0002Hua SongJames P. ShielyGerard Luk-PatAlexander MiloslavskyMartin D. F. WongSpacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography.DAC93:1-93:62013Conference and Workshop Papersclosedconf/dac/Du0SSLMW1310.1145/2463209.2488848https://doi.org/10.1145/2463209.2488848https://dblp.org/rec/conf/dac/Du0SSLMW13URL#4124254Mojtaba EbrahimiHossein Asadi 0001Mehdi Baradaran TahooriA layout-based approach for multiple event transient analysis.DAC100:1-100:62013Conference and Workshop Papersclosedconf/dac/EbrahimiAT1310.1145/2463209.2488858https://doi.org/10.1145/2463209.2488858https://dblp.org/rec/conf/dac/EbrahimiAT13URL#4124255Junfeng FanOscar ReparazVladimir RozicIngrid VerbauwhedeLow-energy encryption for medical devices: security adds an extra design dimension.DAC15:1-15:62013Conference and Workshop Papersclosedconf/dac/FanRRV1310.1145/2463209.2488752https://doi.org/10.1145/2463209.2488752https://dblp.org/rec/conf/dac/FanRRV13URL#4124256G. Peter FangA new time-stepping method for circuit simulation.DAC138:1-138:102013Conference and Workshop Papersclosedconf/dac/Fang1310.1145/2463209.2488904https://doi.org/10.1145/2463209.2488904https://dblp.org/rec/conf/dac/Fang13URL#4124257Shao-Yun FangIou-Jen LiuYao-Wen ChangStitch-aware routing for multiple e-beam lithography.DAC25:1-25:62013Conference and Workshop Papersclosedconf/dac/FangLC1310.1145/2463209.2488765https://doi.org/10.1145/2463209.2488765https://dblp.org/rec/conf/dac/FangLC13URL#4124258Hossein FariborziFred ChenRhesa NathanaelI-Ru ChenLouis HutinRinus LeeTsu-Jae King LiuVladimir StojanovicRelays do not leak: CMOS does.DAC127:1-127:42013Conference and Workshop Papersclosedconf/dac/FariborziCNCHLLS1310.1145/2463209.2488890https://doi.org/10.1145/2463209.2488890https://dblp.org/rec/conf/dac/FariborziCNCHLLS13URL#4124259Mohammad FattahMasoud DaneshtalabPasi LiljebergJuha PlosilaSmart hill climbing for agile dynamic mapping in many-core systems.DAC39:1-39:62013Conference and Workshop Papersclosedconf/dac/FattahDLP1310.1145/2463209.2488782https://doi.org/10.1145/2463209.2488782https://dblp.org/rec/conf/dac/FattahDLP13URL#4124260Zhuo FengScalable vectorless power grid current integrity verification.DAC86:1-86:82013Conference and Workshop Papersclosedconf/dac/Feng1310.1145/2463209.2488840https://doi.org/10.1145/2463209.2488840https://dblp.org/rec/conf/dac/Feng13URL#4124261David FlynnPower gating applied to MP-SoCs for standby-mode power management.DAC158:1-158:52013Conference and Workshop Papersclosedconf/dac/Flynn1310.1145/2463209.2488930https://doi.org/10.1145/2463209.2488930https://dblp.org/rec/conf/dac/Flynn13URL#4124262Pierre-Emmanuel GaillardonMichele De MarchiLuca Gaetano AmarùShashikanth BobbaDavide SacchettoYusuf LeblebiciGiovanni De MicheliTowards structured ASICs using polarity-tunable Si nanowire transistors.DAC123:1-123:42013Conference and Workshop Papersclosedconf/dac/GaillardonMABSLM1310.1145/2463209.2488886https://doi.org/10.1145/2463209.2488886https://dblp.org/rec/conf/dac/GaillardonMABSLM13URL#4124263Rafael GaribottiLuciano OstRémi BusseuilMamady kouroumaChris Adeniyi-JonesGilles SassatelliMichel RobertSimultaneous multithreading support in embedded distributed memory MPSoCs.DAC83:1-83:72013Conference and Workshop Papersclosedconf/dac/GaribottiOBkASR1310.1145/2463209.2488836https://doi.org/10.1145/2463209.2488836https://dblp.org/rec/conf/dac/GaribottiOBkASR13URL#4124264Martin Geier 0001Martin Becker 0001Daniel YungeBenedikt DietrichReinhard Schneider 0001Dip GoswamiSamarjit ChakrabortyLet's put the car in your phone!DAC143:1-143:22013Conference and Workshop Papersclosedconf/dac/GeierBYDSGC1310.1145/2463209.2488911https://doi.org/10.1145/2463209.2488911https://dblp.org/rec/conf/dac/GeierBYDSGC13URL#4124265Georg GeorgakosUlf SchlichtmannReinhard Schneider 0001Samarjit ChakrabortyReliability challenges for electric vehicles: from devices to architecture and systems software.DAC98:1-98:92013Conference and Workshop Papersclosedconf/dac/GeorgakosSSC1310.1145/2463209.2488855https://doi.org/10.1145/2463209.2488855https://dblp.org/rec/conf/dac/GeorgakosSSC13URL#4124266Swaroop GhoshPath to a TeraByte of on-chip memory for petabit per second bandwidth with < 5watts of power.DAC145:1-145:22013Conference and Workshop Papersclosedconf/dac/Ghosh1310.1145/2463209.2488913https://doi.org/10.1145/2463209.2488913https://dblp.org/rec/conf/dac/Ghosh13URL#4124267Sylvain GirbalMiquel MoretóArnaud GrassetJaume Abella 0001Eduardo QuiñonesFrancisco J. CazorlaSami YehiaOn the convergence of mainstream and mission-critical markets.DAC185:1-185:102013Conference and Workshop Papersclosedconf/dac/GirbalMGAQCY1310.1145/2463209.2488962https://doi.org/10.1145/2463209.2488962https://dblp.org/rec/conf/dac/GirbalMGAQCY13URL#4124268Olivier GoncalvesGuillaume PrenatGregory di PendinaBernard DienyNon-volatile FPGAs based on spintronic devices.DAC126:1-126:32013Conference and Workshop Papersclosedconf/dac/GoncalvesPPD1310.1145/2463209.2488889https://doi.org/10.1145/2463209.2488889https://dblp.org/rec/conf/dac/GoncalvesPPD13URL#4124269Dip GoswamiMartin LukasiewyczMatthias KauerSebastian SteinhorstAlejandro MasrurSamarjit ChakrabortyS. Ramesh 0002Model-based development and verification of control software for electric vehicles.DAC96:1-96:92013Conference and Workshop Papersclosedconf/dac/GoswamiLKSMCR1310.1145/2463209.2488853https://doi.org/10.1145/2463209.2488853https://dblp.org/rec/conf/dac/GoswamiLKSMCR13URL#4124270Daniel T. GrissomPhilip BriskA field-programmable pin-constrained digital microfluidic biochip.DAC46:1-46:92013Conference and Workshop Papersclosedconf/dac/GrissomB1310.1145/2463209.2488790https://doi.org/10.1145/2463209.2488790https://dblp.org/rec/conf/dac/GrissomB13URL#4124271J. P. GrossmanBrian TowlesJoseph A. BankDavid E. ShawThe role of cascade, a cycle-based simulation infrastructure, in designing the anton special-purpose supercomputers.DAC122:1-122:92013Conference and Workshop Papersclosedconf/dac/GrossmanTBS1310.1145/2463209.2488884https://doi.org/10.1145/2463209.2488884https://dblp.org/rec/conf/dac/GrossmanTBS13URL#4124272Chenjie GuEli ChiproutXin Li 0001Efficient moment estimation with extremely small sample size via bayesian inference for analog/mixed-signal validation.DAC65:1-65:72013Conference and Workshop Papersclosedconf/dac/GuCL1310.1145/2463209.2488813https://doi.org/10.1145/2463209.2488813https://dblp.org/rec/conf/dac/GuCL13URL#4124273Mahdi HamzehAviral ShrivastavaSarma B. K. VrudhulaREGIMap: register-aware application mapping on coarse-grained reconfigurable architectures (CGRAs).DAC18:1-18:102013Conference and Workshop Papersclosedconf/dac/HamzehSV1310.1145/2463209.2488756https://doi.org/10.1145/2463209.2488756https://dblp.org/rec/conf/dac/HamzehSV13URL#4124274Lengfei HanXueqian ZhaoZhuo FengTinySPICE: a parallel SPICE simulator on GPU for massively repeated small circuit simulations.DAC89:1-89:82013Conference and Workshop Papersclosedconf/dac/HanZF1310.1145/2463209.2488843https://doi.org/10.1145/2463209.2488843https://dblp.org/rec/conf/dac/HanZF13URL#4124275Xu HeTao Huang 0016Wing-Kai ChowJian Kuang 0001Ka-Chun LamWenzan CaiEvangeline F. Y. YoungRipple 2.0: high quality routability-driven placement via global router integration.DAC152:1-152:62013Conference and Workshop Papersclosedconf/dac/HeHCKLCY1310.1145/2463209.2488922https://doi.org/10.1145/2463209.2488922https://dblp.org/rec/conf/dac/HeHCKLCY13URL#4124276Jörg HenkelLars BauerNikil D. DuttPuneet Gupta 0001Sani R. NassifMuhammad Shafique 0001Mehdi Baradaran TahooriNorbert WehnReliable on-chip systems in the nano-era: lessons learnt and future trends.DAC99:1-99:102013Conference and Workshop Papersclosedconf/dac/HenkelBDGNSTW1310.1145/2463209.2488857https://doi.org/10.1145/2463209.2488857https://dblp.org/rec/conf/dac/HenkelBDGNSTW13URL#4124277Gage HillsJie Zhang 0007Charles MackinMax M. ShulakerHai WeiH.-S. Philip WongSubhasish MitraRapid exploration of processing and design guidelines to overcome carbon nanotube variations.DAC105:1-105:102013Conference and Workshop Papersclosedconf/dac/HillsZMSWWM1310.1145/2463209.2488864https://doi.org/10.1145/2463209.2488864https://dblp.org/rec/conf/dac/HillsZMSWWM13URL#4124278Yuan-Kai HoYao-Wen ChangMultiple chip planning for chip-interposer codesign.DAC27:1-27:62013Conference and Workshop Papersclosedconf/dac/HoC1310.1145/2463209.2488767https://doi.org/10.1145/2463209.2488767https://dblp.org/rec/conf/dac/HoC13URL#4124279Kuan-Hsien HoHung-Chih OuYao-Wen ChangHui-Fang TsaoCoupling-aware length-ratio-matching routing for capacitor arrays in analog integrated circuits.DAC6:1-6:62013Conference and Workshop Papersclosedconf/dac/HoOCT1310.1145/2463209.2488740https://doi.org/10.1145/2463209.2488740https://dblp.org/rec/conf/dac/HoOCT13URL#4124280Meng-Kai HsuYi-Fang ChenChau-Chin HuangTung-Chieh ChenYao-Wen ChangRoutability-driven placement for hierarchical mixed-size circuit designs.DAC151:1-151:62013Conference and Workshop Papersclosedconf/dac/HsuCHCC1310.1145/2463209.2488921https://doi.org/10.1145/2463209.2488921https://dblp.org/rec/conf/dac/HsuCHCC13URL#4124281Jin HuMyung-Chul KimIgor L. MarkovTaming the complexity of coordinated place and route.DAC150:1-150:72013Conference and Workshop Papersclosedconf/dac/HuKM1310.1145/2463209.2488920https://doi.org/10.1145/2463209.2488920https://dblp.org/rec/conf/dac/HuKM13URL#4124282Janmartin JahnSantiago PaganiSebastian KobbeJian-Jia ChenJörg HenkelOptimizations for configuring and mapping software pipelines in many core systems.DAC130:1-130:82013Conference and Workshop Papersclosedconf/dac/JahnPKCH1310.1145/2463209.2488894https://doi.org/10.1145/2463209.2488894https://dblp.org/rec/conf/dac/JahnPKCH13URL#4124283Ji-Eun JangMyeong-Jae ParkJaeha KimAn event-driven simulation methodology for integrated switching power supplies in SystemVerilog.DAC137:1-137:72013Conference and Workshop Papersclosedconf/dac/JangPK1310.1145/2463209.2488903https://doi.org/10.1145/2463209.2488903https://dblp.org/rec/conf/dac/JangPK13URL#4124284Li Jiang 0002Fangming YeQiang Xu 0001Krishnendu ChakrabartyBill EklowOn effective and efficient in-field TSV repair for stacked 3D ICs.DAC74:1-74:62013Conference and Workshop Papersclosedconf/dac/JiangYXCE1310.1145/2463209.2488824https://doi.org/10.1145/2463209.2488824https://dblp.org/rec/conf/dac/JiangYXCE13URL#4124285YoungHoon JungJinhyung ParkMichele PetraccaLuca P. CarloninetShip: a networked virtual platform for large-scale heterogeneous distributed embedded systems.DAC169:1-169:102013Conference and Workshop Papersclosedconf/dac/JungPPC1310.1145/2463209.2488943https://doi.org/10.1145/2463209.2488943https://dblp.org/rec/conf/dac/JungPPC13URL#4124286Andrew B. KahngThe ITRS design technology and system drivers roadmap: process and status.DAC34:1-34:62013Conference and Workshop Papersclosedconf/dac/Kahng1310.1145/2463209.2488776https://doi.org/10.1145/2463209.2488776https://dblp.org/rec/conf/dac/Kahng13URL#4124287Andrew B. KahngSeokhyeong KangHyein Lee 0001Smart non-default routing for clock power reduction.DAC91:1-91:72013Conference and Workshop Papersclosedconf/dac/KahngKL1310.1145/2463209.2488846https://doi.org/10.1145/2463209.2488846https://dblp.org/rec/conf/dac/KahngKL13URL#4124288Vasileios KarakostasSasa TomicOsman S. UnsalMario NemirovskyAdrián CristalImproving the energy efficiency of hardware-assisted watchpoint systems.DAC54:1-54:62013Conference and Workshop Papersclosedconf/dac/KarakostasTUNC1310.1145/2463209.2488800https://doi.org/10.1145/2463209.2488800https://dblp.org/rec/conf/dac/KarakostasTUNC13URL#4124289Tanay KarnikMondira (Mandy) Deb PantShekhar BorkarPower management and delivery for high-performance microprocessors.DAC159:1-159:32013Conference and Workshop Papersclosedconf/dac/KarnikPB1310.1145/2463209.2488931https://doi.org/10.1145/2463209.2488931https://dblp.org/rec/conf/dac/KarnikPB13URL#4124290Aadithya V. KarthikJaijeet S. RoychowdhuryABCD-L: approximating continuous linear systems using boolean models.DAC63:1-63:92013Conference and Workshop Papersclosedconf/dac/KarthikR1310.1145/2463209.2488811https://doi.org/10.1145/2463209.2488811https://dblp.org/rec/conf/dac/KarthikR13URL#4124291Matthias KauerSwaminathan NaranayaswamiSebastian SteinhorstMartin LukasiewyczSamarjit ChakrabortyLars HedrichModular system-level architecture for concurrent cell balancing.DAC155:1-155:102013Conference and Workshop Papersclosedconf/dac/KauerNSLCH1310.1145/2463209.2488926https://doi.org/10.1145/2463209.2488926https://dblp.org/rec/conf/dac/KauerNSLCH13URL#4124292Juyeon KimDeokjin JooTaewhan KimAn optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problem.DAC90:1-90:62013Conference and Workshop Papersclosedconf/dac/KimJK1310.1145/2463209.2488845https://doi.org/10.1145/2463209.2488845https://dblp.org/rec/conf/dac/KimJK13URL#4124293Jinwoo KimHyunok OhJunchul ChoiHyojin HaSoonhoi HaA novel analytical method for worst case response time estimation of distributed embedded systems.DAC129:1-129:102013Conference and Workshop Papersclosedconf/dac/KimOCHH1310.1145/2463209.2488893https://doi.org/10.1145/2463209.2488893https://dblp.org/rec/conf/dac/KimOCHH13URL#4124294Adam B. KinsmanHo Fai KoNicola NicoliciHardware-efficient on-chip generation of time-extensive constrained-random sequences for in-system validation.DAC121:1-121:62013Conference and Workshop Papersclosedconf/dac/KinsmanKN1310.1145/2463209.2488882https://doi.org/10.1145/2463209.2488882https://dblp.org/rec/conf/dac/KinsmanKN13URL#4124295Veit KleebergerHelmut E. GraebUlf SchlichtmannPredicting future product performance: modeling and evaluation of standard cells in FinFET technologies.DAC33:1-33:62013Conference and Workshop Papersclosedconf/dac/KleebergerGS1310.1145/2463209.2488775https://doi.org/10.1145/2463209.2488775https://dblp.org/rec/conf/dac/KleebergerGS13URL#4124296Smita KrishnaswamyBernd BodenmillerDana Pe'erCan CAD cure cancer?DAC142:1-142:22013Conference and Workshop Papersclosedconf/dac/KrishnaswamyBP1310.1145/2463209.2488910https://doi.org/10.1145/2463209.2488910https://dblp.org/rec/conf/dac/KrishnaswamyBP13URL#4124297Jian Kuang 0001Evangeline F. Y. YoungAn efficient layout decomposition approach for triple patterning lithography.DAC69:1-69:62013Conference and Workshop Papersclosedconf/dac/KuangY1310.1145/2463209.2488818https://doi.org/10.1145/2463209.2488818https://dblp.org/rec/conf/dac/KuangY13URL#4124298Subhadip KunduSantanu ChattopadhyayIndranil Sengupta 0001Rohit KapurAn ATE assisted DFD technique for volume diagnosis of scan chains.DAC31:1-31:62013Conference and Workshop Papersclosedconf/dac/KunduCSK1310.1145/2463209.2488772https://doi.org/10.1145/2463209.2488772https://dblp.org/rec/conf/dac/KunduCSK13URL#4124299Vimitha A. KuruvillaDebjit SinhaJeff PiagetChandu VisweswariahNitin ChandrachoodanSpeeding up computation of the max/min of a set of gaussians for statistical timing analysis and optimization.DAC182:1-182:72013Conference and Workshop Papersclosedconf/dac/KuruvillaSPVC1310.1145/2463209.2488958https://doi.org/10.1145/2463209.2488958https://dblp.org/rec/conf/dac/KuruvillaSPVC13URL#4124300Hoang Minh Le 0001Daniel GroßeVladimir HerdtRolf DrechslerVerifying SystemC using an intermediate verification language and symbolic simulation.DAC116:1-116:62013Conference and Workshop Papersclosedconf/dac/LeGHD1310.1145/2463209.2488877https://doi.org/10.1145/2463209.2488877https://dblp.org/rec/conf/dac/LeGHD13URL#4124301Yuan-Cheng LeeChih-wen HsuehAn optimized page translation for mobile virtualization.DAC85:1-85:62013Conference and Workshop Papersclosedconf/dac/LeeH1310.1145/2463209.2488838https://doi.org/10.1145/2463209.2488838https://dblp.org/rec/conf/dac/LeeH13URL#4124302Young-Joon LeeDaniel B. LimbrickSung Kyu LimPower benefit study for ultra-high density transistor-level monolithic 3D ICs.DAC104:1-104:102013Conference and Workshop Papersclosedconf/dac/LeeLL1310.1145/2463209.2488863https://doi.org/10.1145/2463209.2488863https://dblp.org/rec/conf/dac/LeeLL13URL#4124303Li LeiFei XieKai CongPost-silicon conformance checking with virtual prototypes.DAC29:1-29:62013Conference and Workshop Papersclosedconf/dac/LeiXC1310.1145/2463209.2488770https://doi.org/10.1145/2463209.2488770https://dblp.org/rec/conf/dac/LeiXC13URL#4124304Yang Li 0183David Z. PanAn accurate semi-analytical framework for full-chip TSV-induced stress modeling.DAC181:1-181:82013Conference and Workshop Papersclosedconf/dac/LiP1310.1145/2463209.2488957https://doi.org/10.1145/2463209.2488957https://dblp.org/rec/conf/dac/LiP13URL#4124305Tuo Li 0001Muhammad Shafique 0001Jude Angelo AmbroseSemeen RehmanJörg HenkelSri ParameswaranRASTER: runtime adaptive spatial/temporal error resiliency for embedded processors.DAC62:1-62:72013Conference and Workshop Papersclosedconf/dac/LiSARHP1310.1145/2463209.2488809https://doi.org/10.1145/2463209.2488809https://dblp.org/rec/conf/dac/LiSARHP13URL#4124306Kuan-Yu LiaoSheng-Chang HsuJames Chien-Mo LiGPU-based n-detect transition fault ATPG.DAC28:1-28:82013Conference and Workshop Papersclosedconf/dac/LiaoHL1310.1145/2463209.2488769https://doi.org/10.1145/2463209.2488769https://dblp.org/rec/conf/dac/LiaoHL13URL#4124307Tzu-Hen LinPritha Banerjee 0001Yao-Wen ChangAn efficient and effective analytical placer for FPGAs.DAC10:1-10:62013Conference and Workshop Papersclosedconf/dac/LinBC1310.1145/2463209.2488746https://doi.org/10.1145/2463209.2488746https://dblp.org/rec/conf/dac/LinBC13URL#4124308Sheng-Yuan LinJing-Yi ChenJin-Cheng LiWan-Yu WenShih-Chieh ChangA novel fuzzy matching model for lithography hotspot detection.DAC68:1-68:62013Conference and Workshop Papersclosedconf/dac/LinCLWC1310.1145/2463209.2488817https://doi.org/10.1145/2463209.2488817https://dblp.org/rec/conf/dac/LinCLWC13URL#4124309Honghuang LinPeng Li 0001Chris J. MyersVerification of digitally-intensive analog circuits via kernel ridge regression and hybrid reachability analysis.DAC66:1-66:62013Conference and Workshop Papersclosedconf/dac/LinLM1310.1145/2463209.2488814https://doi.org/10.1145/2463209.2488814https://dblp.org/rec/conf/dac/LinLM13URL#4124310Hung-Yi LiuLuca P. CarloniOn learning-based methods for design-space exploration with high-level synthesis.DAC50:1-50:72013Conference and Workshop Papersclosedconf/dac/LiuC1310.1145/2463209.2488795https://doi.org/10.1145/2463209.2488795https://dblp.org/rec/conf/dac/LiuC13URL#4124311Shiliang LiuGyörgy CsabaXiaobo Sharon HuEdit VargaMichael T. NiemierGary H. BernsteinWolfgang PorodMinimum-energy state guided physical design for nanomagnet logic.DAC106:1-106:72013Conference and Workshop Papersclosedconf/dac/LiuCHVNBP1310.1145/2463209.2488865https://doi.org/10.1145/2463209.2488865https://dblp.org/rec/conf/dac/LiuCHVNBP13URL#4124312Beiye LiuMiao HuHai Li 0001Zhi-Hong MaoYiran Chen 0001Tingwen HuangWei Zhang 0012Digital-assisted noise-eliminating training for memristor crossbar-based analog neuromorphic computing engine.DAC7:1-7:62013Conference and Workshop Papersclosedconf/dac/LiuHLMCHZ1310.1145/2463209.2488741https://doi.org/10.1145/2463209.2488741https://dblp.org/rec/conf/dac/LiuHLMCHZ13URL#4124313Wen-Hao LiuCheng-Kok KohYih-Lang LiOptimization of placement solutions for routability.DAC153:1-153:92013Conference and Workshop Papersclosedconf/dac/LiuKL1310.1145/2463209.2488923https://doi.org/10.1145/2463209.2488923https://dblp.org/rec/conf/dac/LiuKL13URL#4124314Wen-Hao LiuYaoguang WeiCliff C. N. SzeCharles J. AlpertZhuo Li 0001Yih-Lang LiNatarajan ViswanathanRouting congestion estimation with real design constraints.DAC92:1-92:82013Conference and Workshop Papersclosedconf/dac/LiuWSALLV1310.1145/2463209.2488847https://doi.org/10.1145/2463209.2488847https://dblp.org/rec/conf/dac/LiuWSALLV13URL#4124315Ren-Shuo LiuChia-Lin YangCheng-Hsuan LiGeng-You ChenDuraCache: a durable SSD cache using MLC NAND flash.DAC166:1-166:62013Conference and Workshop Papersclosedconf/dac/LiuYLC1310.1145/2463209.2488939https://doi.org/10.1145/2463209.2488939https://dblp.org/rec/conf/dac/LiuYLC13URL#4124316Dajiang LiuShouyi YinLeibo LiuShaojun WeiPolyhedral model based mapping optimization of loop nests for CGRAs.DAC19:1-19:82013Conference and Workshop Papersclosedconf/dac/LiuYLW1310.1145/2463209.2488757https://doi.org/10.1145/2463209.2488757https://dblp.org/rec/conf/dac/LiuYLW13URL#4124317Jing Lu 0003Ke Bai 0002Aviral ShrivastavaSSDM: smart stack data management for software managed multicores (SMMs).DAC149:1-149:82013Conference and Workshop Papersclosedconf/dac/LuBS1310.1145/2463209.2488918https://doi.org/10.1145/2463209.2488918https://dblp.org/rec/conf/dac/LuBS13URL#4124318Hang LuGuihai YanYinhe Han 0001Binzhang FuXiaowei Li 0001RISO: relaxed network-on-chip isolation for cloud processors.DAC38:1-38:62013Conference and Workshop Papersclosedconf/dac/LuYHF01310.1145/2463209.2488781https://doi.org/10.1145/2463209.2488781https://dblp.org/rec/conf/dac/LuYHF013URL#4124319Martin LukasiewyczSebastian SteinhorstSidharta AndalamFlorian SagstetterPeter WaszeckiWanli Chang 0001Matthias KauerPhilipp MundhenkShanker ShreejithSuhaib A. FahmySamarjit ChakrabortySystem architecture and software design for electric vehicles.DAC95:1-95:62013Conference and Workshop Papersclosedconf/dac/LukasiewyczSASWCKMSFC1310.1145/2463209.2488852https://doi.org/10.1145/2463209.2488852https://dblp.org/rec/conf/dac/LukasiewyczSASWCKMSFC13URL#4124320Yan LuoKrishnendu ChakrabartyTsung-Yi HoDesign of cyberphysical digital microfluidic biochips under completion-time uncertainties in fluidic operations.DAC44:1-44:72013Conference and Workshop Papersclosedconf/dac/LuoCH1310.1145/2463209.2488788https://doi.org/10.1145/2463209.2488788https://dblp.org/rec/conf/dac/LuoCH13URL#4124321Arindam MallikPaul ZuberTsung-Te LiuBharani ChavaBhavana BallalPablo Royer Del BarioRogier BaertKris CroesJulien RyckaertMustafa BadarogluAbdelkarim MerchaDiederik VerkestTEASE: a systematic analysis framework for early evaluation of FinFET-based advanced technology nodes.DAC24:1-24:62013Conference and Workshop Papersclosedconf/dac/MallikZLCBBBCRBMV1310.1145/2463209.2488764https://doi.org/10.1145/2463209.2488764https://dblp.org/rec/conf/dac/MallikZLCBBBCRBMV13URL#4124322Bojan MaricJaume Abella 0001Mateo ValeroAPPLE: adaptive performance-predictable low-energy caches for reliable hybrid voltage operation.DAC84:1-84:82013Conference and Workshop Papersclosedconf/dac/MaricAV1310.1145/2463209.2488837https://doi.org/10.1145/2463209.2488837https://dblp.org/rec/conf/dac/MaricAV13URL#4124323Pietro MercatiAndrea BartoliniFrancesco PaternaTajana Simunic RosingLuca BeniniWorkload and user experience-aware dynamic reliability management in multicore processors.DAC2:1-2:62013Conference and Workshop Papersclosedconf/dac/MercatiBPRB1310.1145/2463209.2488735https://doi.org/10.1145/2463209.2488735https://dblp.org/rec/conf/dac/MercatiBPRB13URL#4124324Bailey MillerFrank VahidTony GivargisExploration with upgradeable models using statistical methods for physical model emulation.DAC154:1-154:62013Conference and Workshop Papersclosedconf/dac/MillerVG1310.1145/2463209.2488925https://doi.org/10.1145/2463209.2488925https://dblp.org/rec/conf/dac/MillerVG13URL#4124325Su Myat MinHaris JavaidSri ParameswaranXDRA: exploration and optimization of last-level cache for energy reduction in DDR DRAMs.DAC22:1-22:102013Conference and Workshop Papersclosedconf/dac/MinJP1310.1145/2463209.2488761https://doi.org/10.1145/2463209.2488761https://dblp.org/rec/conf/dac/MinJP13URL#4124326Asit K. MishraOnur MutluChita R. DasA heterogeneous multiple network-on-chip design: an application-aware approach.DAC36:1-36:102013Conference and Workshop Papersclosedconf/dac/MishraMD1310.1145/2463209.2488779https://doi.org/10.1145/2463209.2488779https://dblp.org/rec/conf/dac/MishraMD13URL#4124327Vivek MishraSachin S. SapatnekarThe impact of electromigration in copper interconnects on power grid integrity.DAC88:1-88:62013Conference and Workshop Papersclosedconf/dac/MishraS1310.1145/2463209.2488842https://doi.org/10.1145/2463209.2488842https://dblp.org/rec/conf/dac/MishraS13URL#4124328Natasa Miskov-ZivanovDiana MarculescuJames R. FaederDynamic behavior of cell signaling networks: model design and analysis automation.DAC8:1-8:62013Conference and Workshop Papersclosedconf/dac/Miskov-ZivanovMF1310.1145/2463209.2488743https://doi.org/10.1145/2463209.2488743https://dblp.org/rec/conf/dac/Miskov-ZivanovMF13URL#4124329Thannirmalai Somu MuthukaruppanMihai PricopiVanchinathan VenkataramaniTulika MitraSanjay VishinHierarchical power management for asymmetric multi-core in dark silicon era.DAC174:1-174:92013Conference and Workshop Papersclosedconf/dac/MuthukaruppanPVMV1310.1145/2463209.2488949https://doi.org/10.1145/2463209.2488949https://dblp.org/rec/conf/dac/MuthukaruppanPVMV13URL#4124330Alessandro Antonio NacciVincenzo RanaFrancesco BruschiDonatella SciutoIvan BerettaDavid AtienzaA high-level synthesis flow for the implementation of iterative stencil loop algorithms on FPGA devices.DAC52:1-52:62013Conference and Workshop Papersclosedconf/dac/NacciRBSBA1310.1145/2463209.2488797https://doi.org/10.1145/2463209.2488797https://dblp.org/rec/conf/dac/NacciRBSBA13URL#4124331Walid A. NajjarJason R. VillarrealFPGA code accelerators - the compiler perspective.DAC141:1-141:62013Conference and Workshop Papersclosedconf/dac/NajjarV1310.1145/2463209.2488908https://doi.org/10.1145/2463209.2488908https://dblp.org/rec/conf/dac/NajjarV13URL#4124332Rajib NathRaid Zuhair AyoubTajana Simunic RosingTemperature aware thread block scheduling in GPGPUs.DAC177:1-177:62013Conference and Workshop Papersclosedconf/dac/NathAR1310.1145/2463209.2488952https://doi.org/10.1145/2463209.2488952https://dblp.org/rec/conf/dac/NathAR13URL#4124333Naoya OnizawaWarren J. GrossLow-power area-efficient large-scale IP lookup engine based on binary-weighted clustered networks.DAC55:1-55:62013Conference and Workshop Papersclosedconf/dac/OnizawaG1310.1145/2463209.2488801https://doi.org/10.1145/2463209.2488801https://dblp.org/rec/conf/dac/OnizawaG13URL#4124334Hung-Chih OuHsing-Chih Chang ChienYao-Wen ChangSimultaneous analog placement and routing with current flow and current density considerations.DAC5:1-5:62013Conference and Workshop Papersclosedconf/dac/OuCC1310.1145/2463209.2488739https://doi.org/10.1145/2463209.2488739https://dblp.org/rec/conf/dac/OuCC13URL#4124335Alexandros PapakonstantinouDeming ChenWen-mei W. HwuJason CongYun Liang 0001Throughput-oriented kernel porting onto FPGAs.DAC11:1-11:102013Conference and Workshop Papersclosedconf/dac/PapakonstantinouCHCL1310.1145/2463209.2488747https://doi.org/10.1145/2463209.2488747https://dblp.org/rec/conf/dac/PapakonstantinouCHCL13URL#4124336Sangyoung ParkYounghyun Kim 0001Naehyuck ChangHybrid energy storage systems and battery management for electric vehicles.DAC97:1-97:62013Conference and Workshop Papersclosedconf/dac/ParkKC1310.1145/2463209.2488854https://doi.org/10.1145/2463209.2488854https://dblp.org/rec/conf/dac/ParkKC13URL#4124337Mi Sun ParkChuanjun ZhangMichael DeBoleSrinidhi KesturAccelerators for biologically-inspired attention and recognition.DAC135:1-135:62013Conference and Workshop Papersclosedconf/dac/ParkZDK1310.1145/2463209.2488900https://doi.org/10.1145/2463209.2488900https://dblp.org/rec/conf/dac/ParkZDK13URL#4124338Wei QuanAndy D. PimentelA scenario-based run-time task mapping algorithm for MPSoCs.DAC131:1-131:62013Conference and Workshop Papersclosedconf/dac/QuanP1310.1145/2463209.2488895https://doi.org/10.1145/2463209.2488895https://dblp.org/rec/conf/dac/QuanP13URL#4124339Abbas RahimiLuca BeniniRajesh K. Gupta 0001Aging-aware compiler-directed VLIW assignment for GPGPU architectures.DAC16:1-16:62013Conference and Workshop Papersclosedconf/dac/RahimiBG1310.1145/2463209.2488754https://doi.org/10.1145/2463209.2488754https://dblp.org/rec/conf/dac/RahimiBG13URL#4124340Devendra RaiLars SchorNikolay StoimenovLothar ThieleDistributed stable states for process networks: algorithm, analysis, and experiments on intel SCC.DAC167:1-167:102013Conference and Workshop Papersclosedconf/dac/RaiSST1310.1145/2463209.2488941https://doi.org/10.1145/2463209.2488941https://dblp.org/rec/conf/dac/RaiSST13URL#4124341Shankar Ganesh RamasubramanianSwagath VenkataramaniAdithya ParandhamanAnand RaghunathanRelax-and-retime: a methodology for energy-efficient recovery based design.DAC111:1-111:62013Conference and Workshop Papersclosedconf/dac/RamasubramanianVPR1310.1145/2463209.2488871https://doi.org/10.1145/2463209.2488871https://dblp.org/rec/conf/dac/RamasubramanianVPR13URL#4124342Jan S. RellermeyerSeong-Won LeeMichael KistlerCloud platforms and embedded computing: the operating systems of the future.DAC75:1-75:62013Conference and Workshop Papersclosedconf/dac/RellermeyerLK1310.1145/2463209.2488826https://doi.org/10.1145/2463209.2488826https://dblp.org/rec/conf/dac/RellermeyerLK13URL#4124343Masoud RostamiWayne P. BurlesonFarinaz KoushanfarAri JuelsBalancing security and utility in medical devices?DAC13:1-13:62013Conference and Workshop Papersclosedconf/dac/RostamiBKJ1310.1145/2463209.2488750https://doi.org/10.1145/2463209.2488750https://dblp.org/rec/conf/dac/RostamiBKJ13URL#4124344Subhendu RoyMihir R. ChoudhuryRuchir PuriDavid Z. PanTowards optimal performance-area trade-off in adders by synthesis of parallel prefix structures.DAC48:1-48:82013Conference and Workshop Papersclosedconf/dac/RoyCPP1310.1145/2463209.2488793https://doi.org/10.1145/2463209.2488793https://dblp.org/rec/conf/dac/RoyCPP13URL#4124345Nitin SalodkarSubramanian RajagopalanSambuddha BhattacharyaShabbir H. BatterywalaAutomatic design rule correction in presence of multiple grids and track patterns.DAC26:1-26:62013Conference and Workshop Papersclosedconf/dac/SalodkarRBB1310.1145/2463209.2488766https://doi.org/10.1145/2463209.2488766https://dblp.org/rec/conf/dac/SalodkarRBB13URL#4124346Stefan SchürmansDiandian ZhangDominik AurasRainer LeupersGerd AscheidXiaotao ChenLun WangCreation of ESL power models for communication architectures using automatic calibration.DAC58:1-58:582013Conference and Workshop Papersclosedconf/dac/SchurmansZALACW1310.1145/2463209.2488804https://doi.org/10.1145/2463209.2488804https://dblp.org/rec/conf/dac/SchurmansZALACW13URL#4124347Tsuyoshi SekitaniTomoyuki YokotaMakoto TakamiyaTakayasu SakuraiTakao SomeyaElectrical artificial skin using ultraflexible organic transistor.DAC125:1-125:32013Conference and Workshop Papersclosedconf/dac/SekitaniYTSS1310.1145/2463209.2488888https://doi.org/10.1145/2463209.2488888https://dblp.org/rec/conf/dac/SekitaniYTSS13URL#4124348Alireza ShafaeiMehdi SaeediMassoud PedramOptimization of quantum circuits for interaction distance in linear nearest neighbor architectures.DAC41:1-41:62013Conference and Workshop Papersclosedconf/dac/ShafaeiSP1310.1145/2463209.2488785https://doi.org/10.1145/2463209.2488785https://dblp.org/rec/conf/dac/ShafaeiSP13URL#4124349Muhammad Shafique 0001Semeen RehmanPau Vilimelis AceitunoJörg HenkelExploiting program-level masking and error propagation for constrained reliability optimization.DAC17:1-17:92013Conference and Workshop Papersclosedconf/dac/ShafiqueRAH1310.1145/2463209.2488755https://doi.org/10.1145/2463209.2488755https://dblp.org/rec/conf/dac/ShafiqueRAH13URL#4124350Khawar ShahzadAyesha KhalidZoltán Endre RákossyGoutam Paul 0001Anupam ChattopadhyayCoARX: a coprocessor for ARX-based cryptographic algorithms.DAC133:1-133:102013Conference and Workshop Papersclosedconf/dac/ShahzadKRPC1310.1145/2463209.2488898https://doi.org/10.1145/2463209.2488898https://dblp.org/rec/conf/dac/ShahzadKRPC13URL#4124351Mrigank SharadDeliang FanKaushik Roy 0001Ultra low power associative computing with spin neurons and resistive crossbar memory.DAC107:1-107:62013Conference and Workshop Papersclosedconf/dac/SharadFR1310.1145/2463209.2488866https://doi.org/10.1145/2463209.2488866https://dblp.org/rec/conf/dac/SharadFR13URL#4124352Max M. ShulakerJelle Van RethyGage HillsHong-Yu ChenGeorges G. E. GielenH.-S. Philip WongSubhasish MitraSacha: the Stanford carbon nanotube controlled handshaking robot.DAC124:1-124:32013Conference and Workshop Papersclosedconf/dac/ShulakerRHCGWM1310.1145/2463209.2488887https://doi.org/10.1145/2463209.2488887https://dblp.org/rec/conf/dac/ShulakerRHCGWM13URL#4124353Amit Kumar Singh 0002Anup Das 0001Akash Kumar 0001Energy optimization by exploiting execution slacks in streaming applications on multiprocessor systems.DAC115:1-115:72013Conference and Workshop Papersclosedconf/dac/SinghDK1310.1145/2463209.2488875https://doi.org/10.1145/2463209.2488875https://dblp.org/rec/conf/dac/SinghDK13URL#4124354Amit Kumar Singh 0002Muhammad Shafique 0001Akash Kumar 0001Jörg HenkelMapping on multi/many-core systems: survey of current and emerging trends.DAC1:1-1:102013Conference and Workshop Papersclosedconf/dac/SinghSKH1310.1145/2463209.2488734https://doi.org/10.1145/2463209.2488734https://dblp.org/rec/conf/dac/SinghSKH13URL#4124355Taigon SongChang Liu 0034Yarui PengSung Kyu LimFull-chip multiple TSV-to-TSV coupling extraction and optimization in 3D ICs.DAC180:1-180:72013Conference and Workshop Papersclosedconf/dac/SongLPL1310.1145/2463209.2488956https://doi.org/10.1145/2463209.2488956https://dblp.org/rec/conf/dac/SongLPL13URL#4124356Haralampos-G. D. StratigopoulosPierre FaubetYoann CourantFiras MohamedMultidimensional analog test metrics estimation using extreme value theory and statistical blockade.DAC72:1-72:72013Conference and Workshop Papersclosedconf/dac/StratigopoulosFCM1310.1145/2463209.2488822https://doi.org/10.1145/2463209.2488822https://dblp.org/rec/conf/dac/StratigopoulosFCM13URL#4124357Zhenyu Sun 0001Wenqing WuHai (Helen) LiCross-layer racetrack memory design for ultra high density and low power consumption.DAC53:1-53:62013Conference and Workshop Papersclosedconf/dac/SunWL1310.1145/2463209.2488799https://doi.org/10.1145/2463209.2488799https://dblp.org/rec/conf/dac/SunWL13URL#4124358Hossein TajikHouman HomayounNikil D. DuttVAWOM: temperature and process variation aware wearout management in 3D multicore architecture.DAC178:1-178:82013Conference and Workshop Papersclosedconf/dac/TajikHD1310.1145/2463209.2488953https://doi.org/10.1145/2463209.2488953https://dblp.org/rec/conf/dac/TajikHD13URL#4124359Liang TangJude Angelo AmbroseSri ParameswaranReconfigurable pipelined coprocessor for multi-mode communication transmission.DAC134:1-134:82013Conference and Workshop Papersclosedconf/dac/TangAP1310.1145/2463209.2488899https://doi.org/10.1145/2463209.2488899https://dblp.org/rec/conf/dac/TangAP13URL#4124360Amit Ranjan TrivediSergio CarloSaibal MukhopadhyayExploring tunnel-FET for ultra low power analog applications: a case study on operational transconductance amplifier.DAC109:1-109:62013Conference and Workshop Papersclosedconf/dac/TrivediCM1310.1145/2463209.2488868https://doi.org/10.1145/2463209.2488868https://dblp.org/rec/conf/dac/TrivediCM13URL#4124361Che-Wei TsaoYuan-Hao Chang 0001Ming-Chang YangPerformance enhancement of garbage collection for flash storage devices: an efficient victim block selection design.DAC165:1-165:62013Conference and Workshop Papersclosedconf/dac/TsaoCY1310.1145/2463209.2488938https://doi.org/10.1145/2463209.2488938https://dblp.org/rec/conf/dac/TsaoCY13URL#4124362Hung-Wei Tseng 0001Laura M. GruppSteven SwansonUnderpowering NAND flash: profits and perils.DAC162:1-162:62013Conference and Workshop Papersclosedconf/dac/TsengGS1310.1145/2463209.2488935https://doi.org/10.1145/2463209.2488935https://dblp.org/rec/conf/dac/TsengGS13URL#4124363Kuan-Hua TuJie-Hong R. JiangSynthesis of feedback decoders for initialized encoders.DAC49:1-49:62013Conference and Workshop Papersclosedconf/dac/TuJ1310.1145/2463209.2488794https://doi.org/10.1145/2463209.2488794https://dblp.org/rec/conf/dac/TuJ13URL#4124364Yatish TurakhiaBharathwaj RaghunathanSiddharth GargDiana MarculescuHaDeS: architectural synthesis for <u>h</u>eterogeneous <u>d</u>ark <u>s</u>ilicon chip multi-processors.DAC173:1-173:72013Conference and Workshop Papersclosedconf/dac/TurakhiaRGM1310.1145/2463209.2488948https://doi.org/10.1145/2463209.2488948https://dblp.org/rec/conf/dac/TurakhiaRGM13URL#4124365Harry WagstaffMiles GouldBjörn FrankeNigel P. TophamEarly partial evaluation in a JIT-compiled, retargetable instruction set simulator generated from a high-level architecture description.DAC21:1-21:62013Conference and Workshop Papersclosedconf/dac/WagstaffGFT1310.1145/2463209.2488760https://doi.org/10.1145/2463209.2488760https://dblp.org/rec/conf/dac/WagstaffGFT13URL#4124366Zhen WangHierarchical decoding of double error correcting codes for high speed reliable memories.DAC103:1-103:72013Conference and Workshop Papersclosedconf/dac/Wang1310.1145/2463209.2488861https://doi.org/10.1145/2463209.2488861https://dblp.org/rec/conf/dac/Wang13URL#4124367Xueyang WangRamesh KarriNumChecker: detecting kernel control-flow modifying rootkits by using hardware performance counters.DAC79:1-79:72013Conference and Workshop Papersclosedconf/dac/WangK1310.1145/2463209.2488831https://doi.org/10.1145/2463209.2488831https://dblp.org/rec/conf/dac/WangK13URL#4124368Yuxin WangPeng Li 0031Peng Zhang 0007Chen Zhang 0001Jason CongMemory partitioning for multidimensional arrays in high-level synthesis.DAC12:1-12:82013Conference and Workshop Papersclosedconf/dac/WangLZZC1310.1145/2463209.2488748https://doi.org/10.1145/2463209.2488748https://dblp.org/rec/conf/dac/WangLZZC13URL#4124369Chundong Wang 0001Weng-Fai WongSAW: system-assisted wear leveling on the write endurance of NAND flash devices.DAC164:1-164:92013Conference and Workshop Papersclosedconf/dac/WangW1310.1145/2463209.2488937https://doi.org/10.1145/2463209.2488937https://dblp.org/rec/conf/dac/WangW13URL#4124370Xinmu WangWen YuehDebapriya Basu RoySeetharam NarasimhanYu Zheng 0011Saibal MukhopadhyayDebdeep MukhopadhyaySwarup BhuniaRole of power grid in side channel attack and power-grid-aware secure design.DAC78:1-78:92013Conference and Workshop Papersclosedconf/dac/WangYRNZMMB1310.1145/2463209.2488830https://doi.org/10.1145/2463209.2488830https://dblp.org/rec/conf/dac/WangYRNZMMB13URL#4124371Fa WangWangyang ZhangShupeng SunXin Li 0001Chenjie GuBayesian model fusion: large-scale performance modeling of analog and mixed-signal circuits by reusing early-stage data.DAC64:1-64:62013Conference and Workshop Papersclosedconf/dac/WangZSLG1310.1145/2463209.2488812https://doi.org/10.1145/2463209.2488812https://dblp.org/rec/conf/dac/WangZSLG13URL#4124372Sheng Wei 0001Miodrag PotkonjakThe undetectable and unprovable hardware trojan horse.DAC144:1-144:22013Conference and Workshop Papersclosedconf/dac/WeiP1310.1145/2463209.2488912https://doi.org/10.1145/2463209.2488912https://dblp.org/rec/conf/dac/WeiP13URL#4124373Bo-Han WuChung-Yang (Ric) HuangA robust constraint solving framework for multiple constraint sets in constrained random verification.DAC119:1-119:72013Conference and Workshop Papersclosedconf/dac/WuH1310.1145/2463209.2488880https://doi.org/10.1145/2463209.2488880https://dblp.org/rec/conf/dac/WuH13URL#4124374Cheng-Yin WuChi-An WuChien-Yu LaiChung-Yang (Ric) HuangA counterexample-guided interpolant generation algorithm for SAT-based model checking.DAC118:1-118:62013Conference and Workshop Papersclosedconf/dac/WuWLH1310.1145/2463209.2488879https://doi.org/10.1145/2463209.2488879https://dblp.org/rec/conf/dac/WuWLH13URL#4124375Emilio WuergesRômulo Silva de OliveiraLuiz C. V. dos SantosReconciling real-time guarantees and energy efficiency through unlocked-cache prefetching.DAC146:1-146:92013Conference and Workshop Papersclosedconf/dac/WuergesOS1310.1145/2463209.2488915https://doi.org/10.1145/2463209.2488915https://dblp.org/rec/conf/dac/WuergesOS13URL#4124376Xuanxing XiongJia WangConstraint abstraction for vectorless power grid verification.DAC87:1-87:62013Conference and Workshop Papersclosedconf/dac/XiongW1310.1145/2463209.2488841https://doi.org/10.1145/2463209.2488841https://dblp.org/rec/conf/dac/XiongW13URL#4124377Cong XuDimin NiuNaveen MuralimanoharNorman P. JouppiYuan Xie 0001Understanding the trade-offs in multi-level cell ReRAM memory design.DAC108:1-108:62013Conference and Workshop Papersclosedconf/dac/XuNMJX1310.1145/2463209.2488867https://doi.org/10.1145/2463209.2488867https://dblp.org/rec/conf/dac/XuNMJX13URL#4124378Yang Xu 0019Bo Wang 0010Ralph HasholznerRafael RosalesJürgen TeichOn robust task-accurate performance estimation.DAC171:1-171:62013Conference and Workshop Papersclosedconf/dac/XuWHRT1310.1145/2463209.2488945https://doi.org/10.1145/2463209.2488945https://dblp.org/rec/conf/dac/XuWHRT13URL#4124379Ming-Chang YangYuan-Hao Chang 0001Che-Wei TsaoPo-Chun HuangNew ERA: new efficient reliability-aware wear leveling for endurance enhancement of flash storage devices.DAC163:1-163:62013Conference and Workshop Papersclosedconf/dac/YangCTH1310.1145/2463209.2488936https://doi.org/10.1145/2463209.2488936https://dblp.org/rec/conf/dac/YangCTH13URL#4124380Zhenkun YangSandip RayKecheng HaoFei XieHandling design and implementation optimizations in equivalence checking for behavioral synthesis.DAC117:1-117:62013Conference and Workshop Papersclosedconf/dac/YangRHX1310.1145/2463209.2488878https://doi.org/10.1145/2463209.2488878https://dblp.org/rec/conf/dac/YangRHX13URL#4124381Zuochang YeBichen WuSong HanYang Li 0183Time-domain segmentation based massively parallel simulation for ADCs.DAC139:1-139:62013Conference and Workshop Papersclosedconf/dac/YeWHL1310.1145/2463209.2488905https://doi.org/10.1145/2463209.2488905https://dblp.org/rec/conf/dac/YeWHL13URL#4124382Rong YeFeng YuanZelong SunWen-Ben JoneQiang Xu 0001Post-placement voltage island generation for timing-speculative circuits.DAC112:1-112:62013Conference and Workshop Papersclosedconf/dac/YeYSJX1310.1145/2463209.2488872https://doi.org/10.1145/2463209.2488872https://dblp.org/rec/conf/dac/YeYSJX13URL#4124383Chi-En Daniel YinGang Qu 0001Improving PUF security with regression-based distiller.DAC184:1-184:62013Conference and Workshop Papersclosedconf/dac/YinQ1310.1145/2463209.2488960https://doi.org/10.1145/2463209.2488960https://dblp.org/rec/conf/dac/YinQ13URL#4124384Mona YousofshahiMichael OrshanskyKyongbum LeeSoha HassounGene modification identification under flux capacity uncertainty.DAC45:1-45:52013Conference and Workshop Papersclosedconf/dac/YousofshahiOLH1310.1145/2463209.2488789https://doi.org/10.1145/2463209.2488789https://dblp.org/rec/conf/dac/YousofshahiOLH13URL#4124385Yen-Ting YuGeng-He LinIris Hui-Ru JiangCharles C. ChiangMachine-learning-based hotspot detection using topological classification and critical feature extraction.DAC67:1-67:62013Conference and Workshop Papersclosedconf/dac/YuLJC1310.1145/2463209.2488816https://doi.org/10.1145/2463209.2488816https://dblp.org/rec/conf/dac/YuLJC13URL#4124386Bei Yu 0001Kun YuanJhih-Rong GaoDavid Z. PanE-BLOW: e-beam lithography overlapping aware stencil planning for MCC system.DAC70:1-70:72013Conference and Workshop Papersclosedconf/dac/YuYGP1310.1145/2463209.2488819https://doi.org/10.1145/2463209.2488819https://dblp.org/rec/conf/dac/YuYGP13URL#4124387Feng YuanYannan LiuWen-Ben JoneQiang Xu 0001On testing timing-speculative circuits.DAC30:1-30:62013Conference and Workshop Papersclosedconf/dac/YuanLJX1310.1145/2463209.2488771https://doi.org/10.1145/2463209.2488771https://dblp.org/rec/conf/dac/YuanLJX13URL#4124388Feng YuanQiang Xu 0001InTimeFix: a low-cost and scalable technique for in-situ timing error masking in logic circuits.DAC183:1-183:62013Conference and Workshop Papersclosedconf/dac/YuanX1310.1145/2463209.2488959https://doi.org/10.1145/2463209.2488959https://dblp.org/rec/conf/dac/YuanX13URL#4124389Jiali Teddy ZhaiMohamed BamakhramaTodor P. StefanovExploiting just-enough parallelism when mapping streaming applications in hard real-time systems.DAC170:1-170:82013Conference and Workshop Papersclosedconf/dac/ZhaiBS1310.1145/2463209.2488944https://doi.org/10.1145/2463209.2488944https://dblp.org/rec/conf/dac/ZhaiBS13URL#4124390Xin ZhanSherief RedaTechniques for energy-efficient power budgeting in data centers.DAC176:1-176:72013Conference and Workshop Papersclosedconf/dac/ZhanR1310.1145/2463209.2488951https://doi.org/10.1145/2463209.2488951https://dblp.org/rec/conf/dac/ZhanR13URL#4124391Jia ZhanNikolay StoimenovJin OuyangLothar ThieleVijaykrishnan NarayananYuan Xie 0001Designing energy-efficient NoC for real-time embedded systems through slack optimization.DAC37:1-37:62013Conference and Workshop Papersclosedconf/dac/ZhanSOTNX1310.1145/2463209.2488780https://doi.org/10.1145/2463209.2488780https://dblp.org/rec/conf/dac/ZhanSOTNX13URL#4124392Yukan ZhangYang GeQinru QiuImproving charging efficiency with workload scheduling in energy harvesting embedded systems.DAC57:1-57:82013Conference and Workshop Papersclosedconf/dac/ZhangGQ1310.1145/2463209.2488803https://doi.org/10.1145/2463209.2488803https://dblp.org/rec/conf/dac/ZhangGQ13URL#4124393Wangyang ZhangXin Li 0001Sharad SaxenaAndrzej J. StrojwasRob A. RutenbarAutomatic clustering of wafer spatial signatures.DAC71:1-71:62013Conference and Workshop Papersclosedconf/dac/ZhangLSSR1310.1145/2463209.2488821https://doi.org/10.1145/2463209.2488821https://dblp.org/rec/conf/dac/ZhangLSSR13URL#4124394Ying Zhang 0016Lu Peng 0001Xin FuYue HuLighting the dark silicon by exploiting heterogeneity on future processors.DAC82:1-82:72013Conference and Workshop Papersclosedconf/dac/ZhangPFH1310.1145/2463209.2488835https://doi.org/10.1145/2463209.2488835https://dblp.org/rec/conf/dac/ZhangPFH13URL#4124395Meng ZhangAnand RaghunathanNiraj K. JhaTowards trustworthy medical devices and body area networks.DAC14:1-14:62013Conference and Workshop Papersclosedconf/dac/ZhangRJ1310.1145/2463209.2488751https://doi.org/10.1145/2463209.2488751https://dblp.org/rec/conf/dac/ZhangRJ13URL#4124396Jie Zhang 0046Feng YuanLingxiao WeiZelong SunQiang Xu 0001VeriTrust: verification for hardware trust.DAC61:1-61:82013Conference and Workshop Papersclosedconf/dac/ZhangYWSX1310.1145/2463209.2488808https://doi.org/10.1145/2463209.2488808https://dblp.org/rec/conf/dac/ZhangYWSX13URL#4124397Yu Zheng 0011Maryamsadat HashemianSwarup BhuniaRESP: a robust physical unclonable function retrofitted into embedded SRAM array.DAC60:1-60:92013Conference and Workshop Papersclosedconf/dac/ZhengHB1310.1145/2463209.2488807https://doi.org/10.1145/2463209.2488807https://dblp.org/rec/conf/dac/ZhengHB13URL#4124398Bangda ZhouHaixin LiuDan JiaoA direct finite element solver of linear complexity for large-scale 3-D circuit extraction in multiple dielectrics.DAC140:1-140:62013Conference and Workshop Papersclosedconf/dac/ZhouLJ1310.1145/2463209.2488906https://doi.org/10.1145/2463209.2488906https://dblp.org/rec/conf/dac/ZhouLJ13URL#4124399The 50th Annual Design Automation Conference 2013, DAC '13, Austin, TX, USA, May 29 - June 07, 2013DACACM2013Editorshipconf/dac/2013http://dl.acm.org/citation.cfm?id=2463209https://dblp.org/rec/conf/dac/2013URL#4246757