:facetid:toc:\"db/conf/iccad/iccad2016.bht\"OK:facetid:toc:db/conf/iccad/iccad2016.bhtTeng Xu 0001Miodrag PotkonjakEnergy-efficient fault tolerance approach for internet of things applications.ICCAD622016Conference and Workshop Papersclosedconf/iccad/0001P1610.1145/2966986.2967034https://doi.org/10.1145/2966986.2967034https://dblp.org/rec/conf/iccad/0001P16URL#3263400Jian Kuang 0001Evangeline F. Y. YoungBei Yu 0001Incorporating cut redistribution with mask assignment to enable 1D gridded design.ICCAD482016Conference and Workshop Papersclosedconf/iccad/0001YY1610.1145/2966986.2967048https://doi.org/10.1145/2966986.2967048https://dblp.org/rec/conf/iccad/0001YY16URL#3263401Monther AbusultanSunil P. KhatriA flash-based digital circuit design flow.ICCAD62016Conference and Workshop Papersclosedconf/iccad/AbusultanK1610.1145/2966986.2966990https://doi.org/10.1145/2966986.2966990https://dblp.org/rec/conf/iccad/AbusultanK16URL#3263402Giovanni AgostaAlessandro BarenghiGerardo PelosiMichele ScandaleEncasing block ciphers to foil key recovery attempts via side channel.ICCAD962016Conference and Workshop Papersclosedconf/iccad/AgostaBPS1610.1145/2966986.2967033https://doi.org/10.1145/2966986.2967033https://dblp.org/rec/conf/iccad/AgostaBPS16URL#3263403Ali AhmadiMohammad-Mahdi BidmeshkiAmit NaharBob OrrMichael PasYiorgos MakrisA machine learning approach to fab-of-origin attestation.ICCAD922016Conference and Workshop Papersclosedconf/iccad/AhmadiBNOPM1610.1145/2966986.2966992https://doi.org/10.1145/2966986.2966992https://dblp.org/rec/conf/iccad/AhmadiBNOPM16URL#3263404Seyed Nematollah AhmadyanShobha VasudevanDuplex: simultaneous parameter-performance exploration for optimizing analog circuits.ICCAD192016Conference and Workshop Papersclosedconf/iccad/AhmadyanV1610.1145/2966986.2967026https://doi.org/10.1145/2966986.2967026https://dblp.org/rec/conf/iccad/AhmadyanV16URL#3263405Mustafa BadarogluJeff XuInterconnect-aware device targeting from PPA perspective.ICCAD262016Conference and Workshop Papersclosedconf/iccad/BadarogluX1610.1145/2966986.2980068https://doi.org/10.1145/2966986.2980068https://dblp.org/rec/conf/iccad/BadarogluX16URL#3263406Kim BatselierZhongming ChenHaotian LiuNgai WongA tensor-based volterra series black-box nonlinear system identification and simulation framework.ICCAD172016Conference and Workshop Papersclosedconf/iccad/BatselierCLW1610.1145/2966986.2966996https://doi.org/10.1145/2966986.2966996https://dblp.org/rec/conf/iccad/BatselierCLW16URL#3263407Sam BaylessHolger H. HoosAlan J. HuScalable, high-quality, SAT-based multi-layer escape routing.ICCAD222016Conference and Workshop Papersclosedconf/iccad/BaylessHH1610.1145/2966986.2967072https://doi.org/10.1145/2966986.2967072https://dblp.org/rec/conf/iccad/BaylessHH16URL#3263408Alessandro BernardiniWolfgang EckerUlf SchlichtmannWhere formal verification can help in functional safety analysis.ICCAD852016Conference and Workshop Papersclosedconf/iccad/BernardiniES1610.1145/2966986.2980087https://doi.org/10.1145/2966986.2980087https://dblp.org/rec/conf/iccad/BernardiniES16URL#3263409Ganapati BhatUjjwal GuptaNicholas TranJaehyun Park 0005Sule OzevÜmit Y. OgrasMulti-objective design optimization for flexible hybrid electronics.ICCAD732016Conference and Workshop Papersclosedconf/iccad/BhatGTPOO1610.1145/2966986.2967057https://doi.org/10.1145/2966986.2967057https://dblp.org/rec/conf/iccad/BhatGTPOO16URL#3263410Debjyoti BhattacharjeeAnupam ChattopadhyayDelay-optimal technology mapping for in-memory computing using ReRAM devices.ICCAD1192016Conference and Workshop Papersclosedconf/iccad/BhattacharjeeC1610.1145/2966986.2967020https://doi.org/10.1145/2966986.2967020https://dblp.org/rec/conf/iccad/BhattacharjeeC16URL#3263411Ermao CaiDimitrios StamoulisDiana MarculescuExploring aging deceleration in FinFET-based multi-core systems.ICCAD1112016Conference and Workshop Papersclosedconf/iccad/CaiSM1610.1145/2966986.2967039https://doi.org/10.1145/2966986.2967039https://dblp.org/rec/conf/iccad/CaiSM16URL#3263412Juan Antonio CarballoBangqi XuThe architecture value engine: measuring and delivering sustainable SoC improvement.ICCAD242016Conference and Workshop Papersclosedconf/iccad/CarballoX1610.1145/2966986.2980066https://doi.org/10.1145/2966986.2980066https://dblp.org/rec/conf/iccad/CarballoX16URL#3263413Arun ChandrasekharanMathias SoekenDaniel GroßeRolf DrechslerApproximation-aware rewriting of AIGs for error tolerant applications.ICCAD832016Conference and Workshop Papersclosedconf/iccad/Chandrasekharan1610.1145/2966986.2967003https://doi.org/10.1145/2966986.2967003https://dblp.org/rec/conf/iccad/Chandrasekharan16URL#3263414Wanli Chang 0001Debayan RoyLicong ZhangSamarjit ChakrabortyModel-based design of resource-efficient automotive control software.ICCAD342016Conference and Workshop Papersclosedconf/iccad/ChangRZC1610.1145/2966986.2980075https://doi.org/10.1145/2966986.2980075https://dblp.org/rec/conf/iccad/ChangRZC16URL#3263415Kyungwook ChangSaurabh SinhaBrian ClineRaney SoutherlandMichael DohertyGreg YericSung Kyu LimCascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools.ICCAD1302016Conference and Workshop Papersclosedconf/iccad/ChangSCSDYL1610.1145/2966986.2967013https://doi.org/10.1145/2966986.2967013https://dblp.org/rec/conf/iccad/ChangSCSDYL16URL#3263416Sandeep ChatterjeeValeriy SukharevFarid N. NajmFast physics-based electromigration checking for on-die power grids.ICCAD1102016Conference and Workshop Papersclosedconf/iccad/ChatterjeeSN1610.1145/2966986.2967041https://doi.org/10.1145/2966986.2967041https://dblp.org/rec/conf/iccad/ChatterjeeSN16URL#3263417Quan ChenWim SchoenmakerA new tightly-coupled transient electro-thermal simulation method for power electronics.ICCAD162016Conference and Workshop Papersclosedconf/iccad/ChenS1610.1145/2966986.2966993https://doi.org/10.1145/2966986.2966993https://dblp.org/rec/conf/iccad/ChenS16URL#3263418Pai-Yu ChenJae-sun SeoYu Cao 0001Shimeng YuCompact oscillation neuron exploiting metal-insulator-transition for neuromorphic computing.ICCAD152016Conference and Workshop Papersclosedconf/iccad/ChenSCY1610.1145/2966986.2967015https://doi.org/10.1145/2966986.2967015https://dblp.org/rec/conf/iccad/ChenSCY16URL#3263419Xiaodao ChenYuchen ZhouHong ZhouChaowei WanQi Zhu 0002Wenchao Li 0001Shiyan HuAnalysis of production data manipulation attacks in petroleum cyber-physical systems.ICCAD1082016Conference and Workshop Papersclosedconf/iccad/ChenZZWZLH1610.1145/2966986.2980091https://doi.org/10.1145/2966986.2980091https://dblp.org/rec/conf/iccad/ChenZZWZLH16URL#3263420Shaoyi ChengJohn WawrzynekSynthesis of statically analyzable accelerator networks from sequential programs.ICCAD1262016Conference and Workshop Papersclosedconf/iccad/ChengW1610.1145/2966986.2967077https://doi.org/10.1145/2966986.2967077https://dblp.org/rec/conf/iccad/ChengW16URL#3263421Sujit Rokka ChhetriArquimedes CanedoMohammad Abdullah Al FaruqueKCAD: kinetic cyber-attack detection method for cyber-physical additive manufacturing systems.ICCAD742016Conference and Workshop Papersclosedconf/iccad/ChhetriCF1610.1145/2966986.2967050https://doi.org/10.1145/2966986.2967050https://dblp.org/rec/conf/iccad/ChhetriCF16URL#3263422Lorenzo CiampoliniJean-Christophe LafontFaress Tissafi DrissiJean-Paul MorinDavid TurgisXavier JonssonCyril DesclèvesJoseph NguyenEfficient yield estimation through generalized importance sampling with application to NBL-assisted SRAM bitcells.ICCAD892016Conference and Workshop Papersclosedconf/iccad/CiampoliniLDMTJ1610.1145/2966986.2967031https://doi.org/10.1145/2966986.2967031https://dblp.org/rec/conf/iccad/CiampoliniLDMTJ16URL#3263423Sourav DasJanardhan Rao DoppaPartha Pratim PandeKrishnendu ChakrabartyEnergy-efficient and reliable 3D network-on-chip (NoC): architectures and optimization algorithms.ICCAD572016Conference and Workshop Papersclosedconf/iccad/DasDPC1610.1145/2966986.2980096https://doi.org/10.1145/2966986.2980096https://dblp.org/rec/conf/iccad/DasDPC16URL#3263424Shounak DharSaurabh N. AdyaLove SinghalMahesh A. IyerDavid Z. PanDetailed placement for modern FPGAs using 2D dynamic programming.ICCAD92016Conference and Workshop Papersclosedconf/iccad/DharASIP1610.1145/2966986.2967024https://doi.org/10.1145/2966986.2967024https://dblp.org/rec/conf/iccad/DharASIP16URL#3263425Swaroop GhoshMohammad Nasim Imtiaz KhanAsmit DeJae-Won JangSecurity and privacy threats to on-chip non-volatile memories and countermeasures.ICCAD102016Conference and Workshop Papersclosedconf/iccad/GhoshKDJ1610.1145/2966986.2980064https://doi.org/10.1145/2966986.2980064https://dblp.org/rec/conf/iccad/GhoshKDJ16URL#3263426Mohammad Saber GolanbariAnteneh GebregiorgisFabian OborilSaman KiamehrMehdi Baradaran TahooriA cross-layer approach for resiliency and energy efficiency in near threshold computing.ICCAD712016Conference and Workshop Papersclosedconf/iccad/GolanbariGOKT1610.1145/2966986.2980081https://doi.org/10.1145/2966986.2980081https://dblp.org/rec/conf/iccad/GolanbariGOKT16URL#3263427Zhong GuanMalgorzata Marek-SadowskaAn efficient and accurate algorithm for computing RC current response with applications to EM reliability evaluation.ICCAD1122016Conference and Workshop Papersclosedconf/iccad/GuanM1610.1145/2966986.2966999https://doi.org/10.1145/2966986.2966999https://dblp.org/rec/conf/iccad/GuanM16URL#3263428Ujjwal GuptaJoseph CampbellÜmit Y. OgrasRaid AyoubMichael KishinevskyFrancesco PaternaSuat GumussoyAdaptive performance prediction for integrated GPUs.ICCAD612016Conference and Workshop Papersclosedconf/iccad/GuptaCOAKPG1610.1145/2966986.2966997https://doi.org/10.1145/2966986.2966997https://dblp.org/rec/conf/iccad/GuptaCOAKPG16URL#3263429Matthew R. GuthausJames E. StineSamira AtaeiBrian ChenBin WuMehedi SarwarOpenRAM: an open-source memory compiler.ICCAD932016Conference and Workshop Papersclosedconf/iccad/GuthausSACWS1610.1145/2966986.2980098https://doi.org/10.1145/2966986.2980098https://dblp.org/rec/conf/iccad/GuthausSACWS16URL#3263430José Pineda de GyvezHamed FatemiMaarten VertregtCircuit valorization in the IC design ecosystem.ICCAD252016Conference and Workshop Papersclosedconf/iccad/GyvezFV1610.1145/2966986.2980067https://doi.org/10.1145/2966986.2980067https://dblp.org/rec/conf/iccad/GyvezFV16URL#3263431Ghaith Bany HamadGhaith KazmaOtmane Aït MohamedYvon SavariaEfficient and accurate analysis of single event transients propagation using SMT-based techniques.ICCAD542016Conference and Workshop Papersclosedconf/iccad/HamadKMS1610.1145/2966986.2967027https://doi.org/10.1145/2966986.2967027https://dblp.org/rec/conf/iccad/HamadKMS16URL#3263432Lengfei HanZhuo FengTinySPICE plus: scaling up statistical SPICE simulations on GPU leveraging shared-memory based sparse matrix solution techniques.ICCAD992016Conference and Workshop Papersclosedconf/iccad/HanF1610.1145/2966986.2967081https://doi.org/10.1145/2966986.2967081https://dblp.org/rec/conf/iccad/HanF16URL#3263433Vladimir HerdtHoang M. LeDaniel GroßeRolf DrechslerCompiled symbolic simulation for systemC.ICCAD522016Conference and Workshop Papersclosedconf/iccad/HerdtLGD1610.1145/2966986.2967016https://doi.org/10.1145/2966986.2967016https://dblp.org/rec/conf/iccad/HerdtLGD16URL#3263434Wei Hu 0008Andrew BeckerArmita ArdeshirichamYu TaiPaolo IenneDejun MuRyan KastnerImprecise security: quality and complexity tradeoffs for hardware information flow tracking.ICCAD952016Conference and Workshop Papersclosedconf/iccad/HuBATIMK1610.1145/2966986.2967046https://doi.org/10.1145/2966986.2967046https://dblp.org/rec/conf/iccad/HuBATIMK16URL#3263435Shih-Hsu HuangRung-Bin LinMyung-Chul KimShigetoshi NakatakeOverview of the 2016 CAD contest at ICCAD.ICCAD382016Conference and Workshop Papersclosedconf/iccad/HuangLKN1610.1145/2966986.2980070https://doi.org/10.1145/2966986.2980070https://dblp.org/rec/conf/iccad/HuangLKN16URL#3263436Dylan IsmariJim PlusquellicCharles LamechSwarup BhuniaFareena SaqibOn detecting delay anomalies introduced by hardware trojans.ICCAD442016Conference and Workshop Papersclosedconf/iccad/IsmariPLBS1610.1145/2966986.2967061https://doi.org/10.1145/2966986.2967061https://dblp.org/rec/conf/iccad/IsmariPLBS16URL#3263437Devon JensonMarc D. RiedelA deterministic approach to stochastic computation.ICCAD1022016Conference and Workshop Papersclosedconf/iccad/JensonR1610.1145/2966986.2966988https://doi.org/10.1145/2966986.2966988https://dblp.org/rec/conf/iccad/JensonR16URL#3263438Jinwook JungIris Hui-Ru JiangGi-Joon NamVictor N. KravetsLaleh BehjatYih-Lang LiOpenDesign flow database: the infrastructure for VLSI design and design automation research.ICCAD422016Conference and Workshop Papersclosedconf/iccad/JungJNKBL1610.1145/2966986.2980074https://doi.org/10.1145/2966986.2980074https://dblp.org/rec/conf/iccad/JungJNKBL16URL#3263439Jinwook JungGi-Joon NamLakshmi N. ReddyIris Hui-Ru JiangYoungsoo ShinOWARU: free space-aware timing-driven incremental placement.ICCAD82016Conference and Workshop Papersclosedconf/iccad/JungNRJS1610.1145/2966986.2967062https://doi.org/10.1145/2966986.2967062https://dblp.org/rec/conf/iccad/JungNRJS16URL#3263440Deepak KadetotadSairam ArunachalamChaitali ChakrabartiJae-sun SeoEfficient memory compression in deep neural networks using coarse-grain sparsification for speech applications.ICCAD782016Conference and Workshop Papersclosedconf/iccad/KadetotadACS1610.1145/2966986.2967028https://doi.org/10.1145/2966986.2967028https://dblp.org/rec/conf/iccad/KadetotadACS16URL#3263441Andrew B. KahngHyein Lee 0001Jiajia Li 0002Measuring progress and value of IC implementation technology.ICCAD272016Conference and Workshop Papersclosedconf/iccad/KahngLL1610.1145/2966986.2980069https://doi.org/10.1145/2966986.2980069https://dblp.org/rec/conf/iccad/KahngLL16URL#3263442Andrew B. KahngJiajia Li 0002Lutong WangImproved flop tray-based design implementation for power reduction.ICCAD202016Conference and Workshop Papersclosedconf/iccad/KahngLW1610.1145/2966986.2967047https://doi.org/10.1145/2966986.2967047https://dblp.org/rec/conf/iccad/KahngLW16URL#3263443Anil KanduriMohammad Hashem HaghbayanAmir-Mohammad RahmaniPasi LiljebergAxel JantschNikil D. DuttHannu TenhunenApproximation knob: power capping meets energy efficiency.ICCAD1222016Conference and Workshop Papersclosedconf/iccad/KanduriHRLJDT1610.1145/2966986.2967002https://doi.org/10.1145/2966986.2967002https://dblp.org/rec/conf/iccad/KanduriHRLJDT16URL#3263444PariVallal KannanSatish SivaswamyPerformance driven routing for modern FPGAs.ICCAD652016Conference and Workshop Papersclosedconf/iccad/KannanS1610.1145/2966986.2980082https://doi.org/10.1145/2966986.2980082https://dblp.org/rec/conf/iccad/KannanS16URL#3263445Sukeshwar KannanMehdi SadiLuke EnglandPower delivery in 3D packages: current crowding effects, dynamic IR drop and compensation network using sensors (invited paper).ICCAD552016Conference and Workshop Papersclosedconf/iccad/KannanSE1610.1145/2966986.2980094https://doi.org/10.1145/2966986.2980094https://dblp.org/rec/conf/iccad/KannanSE16URL#3263446Amrut KapareHari CherupalliJohn SartoriAutomated error prediction for approximate sequential circuits.ICCAD822016Conference and Workshop Papersclosedconf/iccad/KapareCS1610.1145/2966986.2967007https://doi.org/10.1145/2966986.2967007https://dblp.org/rec/conf/iccad/KapareCS16URL#3263447Warren KemmererWei ZuoDeming ChenParallel code-specific CPU simulation with dynamic phase convergence modeling for HW/SW co-design.ICCAD792016Conference and Workshop Papersclosedconf/iccad/KemmererZC1610.1145/2966986.2967063https://doi.org/10.1145/2966986.2967063https://dblp.org/rec/conf/iccad/KemmererZC16URL#3263448Youngbin KimJian Cai 0001Yooseong KimKyoungwoo LeeAviral ShrivastavaSplitting functions in code management on scratchpad memories.ICCAD602016Conference and Workshop Papersclosedconf/iccad/KimCKLS1610.1145/2966986.2967075https://doi.org/10.1145/2966986.2967075https://dblp.org/rec/conf/iccad/KimCKLS16URL#3263449Taeyoung Kim 0001Zeyu Sun 0001Chase CookJagadeesh GaddipatiHai Wang 0002Hai-Bao ChenSheldon X.-D. TanDynamic reliability management for near-threshold dark silicon processors.ICCAD702016Conference and Workshop Papersclosedconf/iccad/KimSCGWCT1610.1145/2966986.2980080https://doi.org/10.1145/2966986.2980080https://dblp.org/rec/conf/iccad/KimSCGWCT16URL#3263450Thaddeus KoehnPeter M. AthanasArbitrary streaming permutations with minimum memory and latency.ICCAD312016Conference and Workshop Papersclosedconf/iccad/KoehnA1610.1145/2966986.2967004https://doi.org/10.1145/2966986.2967004https://dblp.org/rec/conf/iccad/KoehnA16URL#3263451Leonidas KosmidisRoberto VargasDavid MoralesEduardo QuiñonesJaume Abella 0001Francisco J. CazorlaTASA: toolchain-agnostic static software randomisation for critical real-time systems.ICCAD592016Conference and Workshop Papersclosedconf/iccad/KosmidisVMQAC1610.1145/2966986.2967078https://doi.org/10.1145/2966986.2967078https://dblp.org/rec/conf/iccad/KosmidisVMQAC16URL#3263452Christian KriegClifford WolfAxel JantschMalicious LUT: a stealthy FPGA trojan injected and triggered by the design flow.ICCAD432016Conference and Workshop Papersclosedconf/iccad/KriegWJ1610.1145/2966986.2967054https://doi.org/10.1145/2966986.2967054https://dblp.org/rec/conf/iccad/KriegWJ16URL#3263453Bon Woong KuPeter DebackerDragomir MilojevicPraveen RaghavanSung Kyu LimHow much cost reduction justifies the adoption of monolithic 3D ICs at 7nm node?ICCAD872016Conference and Workshop Papersclosedconf/iccad/KuDMRL1610.1145/2966986.2967044https://doi.org/10.1145/2966986.2967044https://dblp.org/rec/conf/iccad/KuDMRL16URL#3263454Scott LadenheimYi-Chung ChenMilan MihajlovicVasilis F. PavlidisIC thermal analyzer for versatile 3-D structures using multigrid preconditioned krylov methods.ICCAD1232016Conference and Workshop Papersclosedconf/iccad/LadenheimCMP1610.1145/2966986.2967045https://doi.org/10.1145/2966986.2967045https://dblp.org/rec/conf/iccad/LadenheimCMP16URL#3263455Liangzhen LaiVikas ChandraRob AitkenResiliency in dynamically power managed designs.ICCAD692016Conference and Workshop Papersclosedconf/iccad/LaiCA1610.1145/2966986.2980079https://doi.org/10.1145/2966986.2980079https://dblp.org/rec/conf/iccad/LaiCA16URL#3263456Nian-Ze LeeHao-Yuan KuoYi-Hsiang LaiJie-Hong R. JiangAnalytic approaches to the collapse operation and equivalence verification of threshold logic circuits.ICCAD52016Conference and Workshop Papersclosedconf/iccad/LeeKLJ1610.1145/2966986.2967001https://doi.org/10.1145/2966986.2967001https://dblp.org/rec/conf/iccad/LeeKLJ16URL#3263457Wuxi LiShounak DharDavid Z. PanUTPlaceF: a routability-driven FPGA placer with physical and congestion aware packing.ICCAD662016Conference and Workshop Papersclosedconf/iccad/LiDP1610.1145/2966986.2980083https://doi.org/10.1145/2966986.2980083https://dblp.org/rec/conf/iccad/LiDP16URL#3263458Shuangchen LiLiu Liu 0017Peng GuCong XuYuan Xie 0001NVSim-CAM: a circuit-level simulator for emerging nonvolatile memory based content-addressable memory.ICCAD22016Conference and Workshop Papersclosedconf/iccad/LiLGXX1610.1145/2966986.2967059https://doi.org/10.1145/2966986.2967059https://dblp.org/rec/conf/iccad/LiLGXX16URL#3263459Zipeng LiKelvin Yi-Tse LaiPo-Hsien YuKrishnendu ChakrabartyMiroslav PajicTsung-Yi HoChen-Yi LeeError recovery in a micro-electrode-dot-array digital microfluidic biochip?ICCAD1052016Conference and Workshop Papersclosedconf/iccad/LiLYCPHL1610.1145/2966986.2967035https://doi.org/10.1145/2966986.2967035https://dblp.org/rec/conf/iccad/LiLYCPHL16URL#3263460Chaofan LiSachin S. SapatnekarJiang HuControl synthesis and delay sensor deployment for efficient ASV designs.ICCAD642016Conference and Workshop Papersclosedconf/iccad/LiSH1610.1145/2966986.2967017https://doi.org/10.1145/2966986.2967017https://dblp.org/rec/conf/iccad/LiSH16URL#3263461Meng Li 0004Kaveh ShamsiTravis MeadeZheng Zhao 0003Bei Yu 0001Yier JinDavid Z. PanProvably secure camouflaging strategy for IC protection.ICCAD282016Conference and Workshop Papersclosedconf/iccad/LiSMZYJP1610.1145/2966986.2967065https://doi.org/10.1145/2966986.2967065https://dblp.org/rec/conf/iccad/LiSMZYJP16URL#3263462Sicheng LiYandan WangWujie WenYu Wang 0002Yiran Chen 0001Hai Li 0001A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel.ICCAD142016Conference and Workshop Papersclosedconf/iccad/LiWWWCL1610.1145/2966986.2966987https://doi.org/10.1145/2966986.2966987https://dblp.org/rec/conf/iccad/LiWWWCL16URL#3263463Jai-Ming LinPo-Yang ChiuYen-Fu ChangSAINT: handling module folding and alignment in fixed-outline floorplans for 3D ICs.ICCAD1312016Conference and Workshop Papersclosedconf/iccad/LinCC1610.1145/2966986.2967071https://doi.org/10.1145/2966986.2967071https://dblp.org/rec/conf/iccad/LinCC16URL#3263464Bo-Qiao LinTing-Chou LinYao-Wen ChangRedistribution layer routing for integrated fan-out wafer-level chip-scale packages.ICCAD232016Conference and Workshop Papersclosedconf/iccad/LinLC1610.1145/2966986.2967070https://doi.org/10.1145/2966986.2967070https://dblp.org/rec/conf/iccad/LinLC16URL#3263465Yibo LinBei Yu 0001Xiaoqing XuJhih-Rong GaoNatarajan ViswanathanWen-Hao LiuZhuo Li 0001Charles J. AlpertDavid Z. PanMrDP: multiple-row detailed placement of heterogeneous-sized cells for advanced nodes.ICCAD72016Conference and Workshop Papersclosedconf/iccad/LinYXGVL0AP1610.1145/2966986.2967055https://doi.org/10.1145/2966986.2967055https://dblp.org/rec/conf/iccad/LinYXGVL0AP16URL#3263466Yuntao Liu 0001Yang XieChongxi BaoAnkur Srivastava 0001An optimization-theoretic approach for attacking physical unclonable functions.ICCAD452016Conference and Workshop Papersclosedconf/iccad/LiuXBS1610.1145/2966986.2967000https://doi.org/10.1145/2966986.2967000https://dblp.org/rec/conf/iccad/LiuXBS16URL#3263467Jonathon MagañaDaohang ShiAzadeh DavoodiAre proximity attacks a threat to the security of split manufacturing of integrated circuits?ICCAD902016Conference and Workshop Papersclosedconf/iccad/MaganaSD1610.1145/2966986.2967006https://doi.org/10.1145/2966986.2967006https://dblp.org/rec/conf/iccad/MaganaSD16URL#3263468Biruk MammoMilind FuriaValeria BertaccoScott A. MahlkeDaya Shanker KhudiaBugMD: automatic mismatch diagnosis for bug triaging.ICCAD1172016Conference and Workshop Papersclosedconf/iccad/MammoFBMK1610.1145/2966986.2967010https://doi.org/10.1145/2966986.2967010https://dblp.org/rec/conf/iccad/MammoFBMK16URL#3263469Yutaka MasudaMasanori HashimotoTakao OnoyeCritical path isolation for time-to-failure extension and lower voltage operation.ICCAD632016Conference and Workshop Papersclosedconf/iccad/MasudaHO1610.1145/2966986.2967019https://doi.org/10.1145/2966986.2967019https://dblp.org/rec/conf/iccad/MasudaHO16URL#3263470Jin MiaoMeng Li 0004Subhendu RoyBei Yu 0001LRR-DPUF: learning resilient and reliable digital physical unclonable function.ICCAD462016Conference and Workshop Papersclosedconf/iccad/MiaoLRY1610.1145/2966986.2967051https://doi.org/10.1145/2966986.2967051https://dblp.org/rec/conf/iccad/MiaoLRY16URL#3263471Marco MinutoliVito Giovanni CastellanaAntonino TumeoMarco Lattuada 0001Fabrizio FerrandiEfficient synthesis of graph methods: a dynamically scheduled architecture.ICCAD1282016Conference and Workshop Papersclosedconf/iccad/MinutoliCTLF1610.1145/2966986.2967030https://doi.org/10.1145/2966986.2967030https://dblp.org/rec/conf/iccad/MinutoliCTLF16URL#3263472Vojtech MrazekSyed Shakib SarwarLukás SekaninaZdenek VasícekKaushik Roy 0001Design of power-efficient approximate multipliers for approximate artificial neural networks.ICCAD812016Conference and Workshop Papersclosedconf/iccad/MrazekSSV01610.1145/2966986.2967021https://doi.org/10.1145/2966986.2967021https://dblp.org/rec/conf/iccad/MrazekSSV016URL#3263473Szu-Pang MuWen-Hsiang ChangMango C.-T. ChaoYi-Ming WangMing-Tung ChangMin-Hsiu TsaiStatistical methodology to identify optimal placement of on-chip process monitors for predicting fmax.ICCAD1162016Conference and Workshop Papersclosedconf/iccad/MuCCWCT1610.1145/2966986.2967076https://doi.org/10.1145/2966986.2967076https://dblp.org/rec/conf/iccad/MuCCWCT16URL#3263474Pradeep Kumar NallaRaj Kumar GajavellyJason BaumgartnerHari MonyRobert KanzelmanAlexander IvriiThe art of semi-formal bug hunting.ICCAD512016Conference and Workshop Papersclosedconf/iccad/NallaGBMKI1610.1145/2966986.2967079https://doi.org/10.1145/2966986.2967079https://dblp.org/rec/conf/iccad/NallaGBMKI16URL#3263475Giuseppe NataleGiulio StramondoPietro BressanaRiccardo CattaneoDonatella SciutoMarco D. SantambrogioA polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops.ICCAD772016Conference and Workshop Papersclosedconf/iccad/NataleSBCSS1610.1145/2966986.2966995https://doi.org/10.1145/2966986.2966995https://dblp.org/rec/conf/iccad/NataleSBCSS16URL#3263476Kent W. NixonXiang Chen 0010Yiran Chen 0001Scope - quality retaining display rendering workload scaling based on user-smartphone distance.ICCAD12016Conference and Workshop Papersclosedconf/iccad/NixonCC1610.1145/2966986.2967073https://doi.org/10.1145/2966986.2967073https://dblp.org/rec/conf/iccad/NixonCC16URL#3263477Sangyoung ParkLicong ZhangSamarjit ChakrabortyDesign space exploration of drone infrastructure for large-scale delivery services.ICCAD722016Conference and Workshop Papersclosedconf/iccad/ParkZC1610.1145/2966986.2967022https://doi.org/10.1145/2966986.2967022https://dblp.org/rec/conf/iccad/ParkZC16URL#3263478Ryan PattisonZiad AbuowaimerShawki AreibiGary GréwalAnthony VannelliGPlace: a congestion-aware placement tool for ultrascale FPGAs.ICCAD682016Conference and Workshop Papersclosedconf/iccad/PattisonAAGV1610.1145/2966986.2980085https://doi.org/10.1145/2966986.2980085https://dblp.org/rec/conf/iccad/PattisonAAGV16URL#3263479Andrea PeanoLuca RaminiMarco GavanelliMaddalena NonatoDavide BertozziDesign technology for fault-free and maximally-parallel wavelength-routed optical networks-on-chip.ICCAD32016Conference and Workshop Papersclosedconf/iccad/PeanoRGNB1610.1145/2966986.2967023https://doi.org/10.1145/2966986.2967023https://dblp.org/rec/conf/iccad/PeanoRGNB16URL#3263480Ana PetkovskaAlan MishchenkoMathias SoekenGiovanni De MicheliRobert K. BraytonPaolo IenneFast generation of lexicographic satisfiable assignments: enabling canonicity in SAT-based applications.ICCAD42016Conference and Workshop Papersclosedconf/iccad/PetkovskaMSMBI1610.1145/2966986.2967040https://doi.org/10.1145/2966986.2967040https://dblp.org/rec/conf/iccad/PetkovskaMSMBI16URL#3263481Chak-Wa PuiGengjie ChenWing-Kai ChowKa-Chun LamJian Kuang 0001Peishan TuHang Zhang 0010Evangeline F. Y. YoungBei Yu 0001RippleFPGA: a routability-driven placement for large-scale heterogeneous FPGAs.ICCAD672016Conference and Workshop Papersclosedconf/iccad/PuiCCL0TZYY1610.1145/2966986.2980084https://doi.org/10.1145/2966986.2980084https://dblp.org/rec/conf/iccad/PuiCCL0TZYY16URL#3263482Chidhambaranathan RajamanikkamRajesh J. S.Koushik ChakrabortySanghamitra RoyBoostNoC: power efficient network-on-chip architecture for near threshold computing.ICCAD1242016Conference and Workshop Papersclosedconf/iccad/RajamanikkamSCR1610.1145/2966986.2967009https://doi.org/10.1145/2966986.2967009https://dblp.org/rec/conf/iccad/RajamanikkamSCR16URL#3263483Sandip RayIan G. HarrisGörschwin FeyMathias SoekenMultilevel design understanding: from specification to logic (invited paper).ICCAD1332016Conference and Workshop Papersclosedconf/iccad/RayHFS1610.1145/2966986.2980093https://doi.org/10.1145/2966986.2980093https://dblp.org/rec/conf/iccad/RayHFS16URL#3263484Semeen RehmanWalaa El-HarouniMuhammad Shafique 0001Akash Kumar 0001Jörg HenkelArchitectural-space exploration of approximate multipliers.ICCAD802016Conference and Workshop Papersclosedconf/iccad/RehmanES0H1610.1145/2966986.2967005https://doi.org/10.1145/2966986.2967005https://dblp.org/rec/conf/iccad/RehmanES0H16URL#3263485Heinz RienerGörschwin FeyExact diagnosis using boolean satisfiability.ICCAD532016Conference and Workshop Papersclosedconf/iccad/RienerF1610.1145/2966986.2967036https://doi.org/10.1145/2966986.2967036https://dblp.org/rec/conf/iccad/RienerF16URL#3263486Seyed Ali RokniHassan Ghasemzadeh 0001Autonomous sensor-context learning in dynamic human-centered internet-of-things environments.ICCAD752016Conference and Workshop Papersclosedconf/iccad/RokniG1610.1145/2966986.2967008https://doi.org/10.1145/2966986.2967008https://dblp.org/rec/conf/iccad/RokniG16URL#3263487Onur SahinAyse K. CoskunQScale: thermally-efficient QoS management on heterogeneous mobile platforms.ICCAD1252016Conference and Workshop Papersclosedconf/iccad/SahinC1610.1145/2966986.2967066https://doi.org/10.1145/2966986.2967066https://dblp.org/rec/conf/iccad/SahinC16URL#3263488Sandeep Kumar SamalDeepak NayakMotoi IchihashiSrinivasa BannaSung Kyu LimTier partitioning strategy to mitigate BEOL degradation and cost issues in monolithic 3D ICs.ICCAD1292016Conference and Workshop Papersclosedconf/iccad/SamalNIBL1610.1145/2966986.2967080https://doi.org/10.1145/2966986.2967080https://dblp.org/rec/conf/iccad/SamalNIBL16URL#3263489Rudolf ScheifeleRC-aware global routing.ICCAD212016Conference and Workshop Papersclosedconf/iccad/Scheifele1610.1145/2966986.2967067https://doi.org/10.1145/2966986.2967067https://dblp.org/rec/conf/iccad/Scheifele16URL#3263490Davood ShahrjerdiBayan NasriD. ArmstrongAbdullah AlharbiRamesh KarriSecurity engineering of nanostructures and nanomaterials.ICCAD112016Conference and Workshop Papersclosedconf/iccad/ShahrjerdiNAAK1610.1145/2966986.2980065https://doi.org/10.1145/2966986.2980065https://dblp.org/rec/conf/iccad/ShahrjerdiNAAK16URL#3263491Bicky ShakyaNavid AsadizanjaniDomenic ForteMark M. TehranipoorChip editor: leveraging circuit edit for logic obfuscation and trusted fabrication.ICCAD302016Conference and Workshop Papersclosedconf/iccad/ShakyaAFT1610.1145/2966986.2967014https://doi.org/10.1145/2966986.2967014https://dblp.org/rec/conf/iccad/ShakyaAFT16URL#3263492Weijing ShiMohamed Baker AlawiehXin Li 0001Huafeng YuNikos AréchigaNobuyuki TomatsuEfficient statistical validation of machine learning systems for autonomous driving.ICCAD362016Conference and Workshop Papersclosedconf/iccad/ShiALYAT1610.1145/2966986.2980077https://doi.org/10.1145/2966986.2980077https://dblp.org/rec/conf/iccad/ShiALYAT16URL#3263493Chi-Sheng Shih 0001Pi-Cheng HsiuYuan-Hao Chang 0001Tei-Wei KuoFramework designs to enhance reliable and timely services of disaster management systems.ICCAD1072016Conference and Workshop Papersclosedconf/iccad/ShihHCK1610.1145/2966986.2980090https://doi.org/10.1145/2966986.2980090https://dblp.org/rec/conf/iccad/ShihHCK16URL#3263494Jangseop ShinHongce ZhangJinyong LeeIngoo HeoYu-Yuan ChenRuby B. LeeYunheung PaekA hardware-based technique for efficient implicit information flow tracking.ICCAD942016Conference and Workshop Papersclosedconf/iccad/ShinZLHCLP1610.1145/2966986.2966991https://doi.org/10.1145/2966986.2966991https://dblp.org/rec/conf/iccad/ShinZLHCLP16URL#3263495Debjit SinhaVladimir ZolotovJin HuSheshashayee K. RaghunathanAdil BhanjiChristine M. CaseyGeneration and use of statistical timing macro-models considering slew and load variability.ICCAD982016Conference and Workshop Papersclosedconf/iccad/SinhaZHRBC1610.1145/2966986.2967043https://doi.org/10.1145/2966986.2967043https://dblp.org/rec/conf/iccad/SinhaZHRBC16URL#3263496Sean C. SmithsonGuang YangWarren J. GrossBrett H. MeyerNeural networks designing neural networks: multi-objective hyper-parameter optimization.ICCAD1042016Conference and Workshop Papersclosedconf/iccad/SmithsonYGM1610.1145/2966986.2967058https://doi.org/10.1145/2966986.2967058https://dblp.org/rec/conf/iccad/SmithsonYGM16URL#3263497Sebastian SteinhorstMartin LukasiewyczFormal approaches to design of active cell balancing architectures in battery management systems.ICCAD862016Conference and Workshop Papersclosedconf/iccad/SteinhorstL1610.1145/2966986.2980088https://doi.org/10.1145/2966986.2980088https://dblp.org/rec/conf/iccad/SteinhorstL16URL#3263498Dylan C. StowItir AkgunRussell BarnesPeng GuYuan Xie 0001Cost analysis and cost-driven IP reuse methodology for SoC design based on 2.5D/3D integration.ICCAD562016Conference and Workshop Papersclosedconf/iccad/StowABG01610.1145/2966986.2980095https://doi.org/10.1145/2966986.2980095https://dblp.org/rec/conf/iccad/StowABG016URL#3263499Yu-Hsuan SuYao-Wen ChangVCR: simultaneous via-template and cut-template-aware routing for directed self-assembly technology.ICCAD492016Conference and Workshop Papersclosedconf/iccad/SuC1610.1145/2966986.2967082https://doi.org/10.1145/2966986.2967082https://dblp.org/rec/conf/iccad/SuC16URL#3263500Yu-Hsuan SuYao-Wen ChangDSA-compliant routing for two-dimensional patterns using block copolymer lithography.ICCAD502016Conference and Workshop Papersclosedconf/iccad/SuC16a10.1145/2966986.2967025https://doi.org/10.1145/2966986.2967025https://dblp.org/rec/conf/iccad/SuC16aURL#3263501Zeyu Sun 0001Ertugrul DemircanMehul D. ShroffTaeyoung Kim 0001Xin Huang 0003Sheldon X.-D. TanVoltage-based electromigration immortality check for general multi-branch interconnects.ICCAD1132016Conference and Workshop Papersclosedconf/iccad/SunDSKHT1610.1145/2966986.2967083https://doi.org/10.1145/2966986.2967083https://dblp.org/rec/conf/iccad/SunDSKHT16URL#3263502Yudong TaoChanghao YanYibo LinSheng-Guo WangDavid Z. PanXuan Zeng 0001A novel unified dummy fill insertion framework with SQP-based optimization method.ICCAD882016Conference and Workshop Papersclosedconf/iccad/TaoYLWPZ1610.1145/2966986.2966994https://doi.org/10.1145/2966986.2966994https://dblp.org/rec/conf/iccad/TaoYLWPZ16URL#3263503Ghizlane TibbaChristoph MalzChristoph StoermerNatarajan NagarajanLicong ZhangSamarjit ChakrabortyTesting automotive embedded systems under X-in-the-loop setups.ICCAD352016Conference and Workshop Papersclosedconf/iccad/TibbaMSNZC1610.1145/2966986.2980076https://doi.org/10.1145/2966986.2980076https://dblp.org/rec/conf/iccad/TibbaMSNZC16URL#3263504Rasit Onur TopalogluICCAD-2016 CAD contest in pattern classification for integrated circuit design space analysis and benchmark suite.ICCAD412016Conference and Workshop Papersclosedconf/iccad/Topaloglu1610.1145/2966986.2980073https://doi.org/10.1145/2966986.2980073https://dblp.org/rec/conf/iccad/Topaloglu16URL#3263505Joakim UrdahlShrinidhi UdupiTobias Ludwig 0002Dominik StoffelWolfgang KunzProperties first? a new design methodology for hardware, and its perspectives in safety analysis.ICCAD842016Conference and Workshop Papersclosedconf/iccad/UrdahlULSK1610.1145/2966986.2980086https://doi.org/10.1145/2966986.2980086https://dblp.org/rec/conf/iccad/UrdahlULSK16URL#3263506Ye Wang 0014Constantine CaramanisMichael OrshanskyExploiting randomness in sketching for efficient hardware implementation of machine learning applications.ICCAD1142016Conference and Workshop Papersclosedconf/iccad/WangCO1610.1145/2966986.2967038https://doi.org/10.1145/2966986.2967038https://dblp.org/rec/conf/iccad/WangCO16URL#3263507Ran Wang 0002Sergej DeutschMukesh Agrawal 0001Krishnendu ChakrabartyThe hype, myths, and realities of testing 3D integrated circuits.ICCAD582016Conference and Workshop Papersclosedconf/iccad/WangDAC1610.1145/2966986.2980097https://doi.org/10.1145/2966986.2980097https://dblp.org/rec/conf/iccad/WangDAC16URL#3263508Qin Wang 0005Zeyan LiHaena CheongOh-Sun KwonHailong YaoTsung-Yi HoKwanwoo ShinBing Li 0005Ulf SchlichtmannYici CaiControl-fluidic CoDesign for paper-based digital microfluidic biochips.ICCAD1032016Conference and Workshop Papersclosedconf/iccad/WangLCKYHSLSC1610.1145/2966986.2967018https://doi.org/10.1145/2966986.2967018https://dblp.org/rec/conf/iccad/WangLCKYHSLSC16URL#3263509Ying Wang 0001Huawei Li 0001Xiaowei Li 0001Re-architecting the on-chip memory sub-system of machine-learning accelerator for embedded devices.ICCAD132016Conference and Workshop Papersclosedconf/iccad/WangLL1610.1145/2966986.2967068https://doi.org/10.1145/2966986.2967068https://dblp.org/rec/conf/iccad/WangLL16URL#3263510Tangent WeiLuke LinICCAD-2016 CAD contest in large-scale identical fault search.ICCAD392016Conference and Workshop Papersclosedconf/iccad/WeiL1610.1145/2966986.2980071https://doi.org/10.1145/2966986.2980071https://dblp.org/rec/conf/iccad/WeiL16URL#3263511Robert WilleBing Li 0005Ulf SchlichtmannRolf DrechslerFrom biochips to quantum circuits: computer-aided design for emerging technologies.ICCAD1322016Conference and Workshop Papersclosedconf/iccad/WilleLSD1610.1145/2966986.2980099https://doi.org/10.1145/2966986.2980099https://dblp.org/rec/conf/iccad/WilleLSD16URL#3263512Chi-An (Rocky) WuChih-Jen (Jacky) HsuKei-Yong KhooICCAD-2016 CAD contest in non-exact projective NPNP boolean matching and benchmark suite.ICCAD402016Conference and Workshop Papersclosedconf/iccad/WuHK1610.1145/2966986.2980072https://doi.org/10.1145/2966986.2980072https://dblp.org/rec/conf/iccad/WuHK16URL#3263513Jie Wu 0023Jinglan LiuXiaobo Sharon HuYiyu Shi 0001Privacy protection via appliance scheduling in smart homes.ICCAD1062016Conference and Workshop Papersclosedconf/iccad/WuLHS1610.1145/2966986.2980089https://doi.org/10.1145/2966986.2980089https://dblp.org/rec/conf/iccad/WuLHS16URL#3263514Linuo XueYuanqing ChengJianlei Yang 0001Peiyuan WangYuan Xie 0001ODESY: a novel 3T-3MTJ cell design with optimized area DEnsity, scalability and latencY.ICCAD1182016Conference and Workshop Papersclosedconf/iccad/XueCYW01610.1145/2966986.2967060https://doi.org/10.1145/2966986.2967060https://dblp.org/rec/conf/iccad/XueCYW016URL#3263515Chaofei YangBeiye LiuHai Li 0001Yiran Chen 0001Wujie WenMark BarnellQing WuJeyavijayan RajendranSecurity of neuromorphic computing: thwarting learning attacks using memristor's obsolescence effect.ICCAD972016Conference and Workshop Papersclosedconf/iccad/YangLLCWBWR1610.1145/2966986.2967074https://doi.org/10.1145/2966986.2967074https://dblp.org/rec/conf/iccad/YangLLCWBWR16URL#3263516Ping-Lin YangMalgorzata Marek-SadowskaMaking split-fabrication more secure.ICCAD912016Conference and Workshop Papersclosedconf/iccad/YangM1610.1145/2966986.2967053https://doi.org/10.1145/2966986.2967053https://dblp.org/rec/conf/iccad/YangM16URL#3263517Chaofei YangChunpeng WuHai Li 0001Yiran Chen 0001Mark BarnellQing WuSecurity challenges in smart surveillance systems and the solutions based on emerging nano-devices.ICCAD1092016Conference and Workshop Papersclosedconf/iccad/YangWLCBW1610.1145/2966986.2980092https://doi.org/10.1145/2966986.2980092https://dblp.org/rec/conf/iccad/YangWLCBW16URL#3263518Muhammad YasinBodhisatwa MazumdarOzgur SinanogluJeyavijayan RajendranCamoPerturb: secure IC camouflaging for minterm protection.ICCAD292016Conference and Workshop Papersclosedconf/iccad/YasinMSR1610.1145/2966986.2967012https://doi.org/10.1145/2966986.2967012https://dblp.org/rec/conf/iccad/YasinMSR16URL#3263519Abdul-Amir YassineFarid N. NajmA fast layer elimination approach for power grid reduction.ICCAD1012016Conference and Workshop Papersclosedconf/iccad/YassineN1610.1145/2966986.2966989https://doi.org/10.1145/2966986.2966989https://dblp.org/rec/conf/iccad/YassineN16URL#3263520Dongyoun YiTaewhan KimAllocation of multi-bit flip-flops in logic synthesis for power optimization.ICCAD332016Conference and Workshop Papersclosedconf/iccad/YiK1610.1145/2966986.2966998https://doi.org/10.1145/2966986.2966998https://dblp.org/rec/conf/iccad/YiK16URL#3263521Xunzhao YinAhmedullah AzizJoseph NahasSuman DattaSumeet Kumar GuptaMichael T. NiemierXiaobo Sharon HuExploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits.ICCAD1212016Conference and Workshop Papersclosedconf/iccad/YinANDGNH1610.1145/2966986.2967037https://doi.org/10.1145/2966986.2967037https://dblp.org/rec/conf/iccad/YinANDGNH16URL#3263522Shouyi YinZhicong XieChenyue MengLeibo LiuShaojun WeiMultibank memory optimization for parallel data access in multiple data arrays.ICCAD322016Conference and Workshop Papersclosedconf/iccad/YinXMLW1610.1145/2966986.2967056https://doi.org/10.1145/2966986.2967056https://dblp.org/rec/conf/iccad/YinXMLW16URL#3263523Shouyi YinXianqing YaoTianyi LuLeibo LiuShaojun WeiJoint loop mapping and data placement for coarse-grained reconfigurable architecture with multi-bank memory.ICCAD1272016Conference and Workshop Papersclosedconf/iccad/YinYLLW1610.1145/2966986.2967049https://doi.org/10.1145/2966986.2967049https://dblp.org/rec/conf/iccad/YinYLLW16URL#3263524Handi YuJun Tao 0001Changhai LiaoYangfeng SuDian ZhouXuan Zeng 0001Xin Li 0001Efficient statistical analysis for correlated rare failure events via asymptotic probability approximation.ICCAD182016Conference and Workshop Papersclosedconf/iccad/YuTLSZZ01610.1145/2966986.2967029https://doi.org/10.1145/2966986.2967029https://dblp.org/rec/conf/iccad/YuTLSZZ016URL#3263525Yue ZhaJing Li 0073Reconfigurable in-memory computing with resistive memory crossbar.ICCAD1202016Conference and Workshop Papersclosedconf/iccad/ZhaL1610.1145/2966986.2967069https://doi.org/10.1145/2966986.2967069https://dblp.org/rec/conf/iccad/ZhaL16URL#3263526Xinhai ZhangLei Feng 0002Martin TörngrenDe-Jiu ChenFormulating customized specifications for resource allocation problem of distributed embedded systems.ICCAD762016Conference and Workshop Papersclosedconf/iccad/ZhangFTC1610.1145/2966986.2967042https://doi.org/10.1145/2966986.2967042https://dblp.org/rec/conf/iccad/ZhangFTC16URL#3263527Chen Zhang 0001Zhenman FangPeipei Zhou 0001Peichen PanJason CongCaffeine: towards uniformed representation and acceleration for deep convolutional neural networks.ICCAD12:1-12:82016Conference and Workshop Papersclosedconf/iccad/ZhangFZPC1610.1145/2966986.2967011https://doi.org/10.1145/2966986.2967011https://dblp.org/rec/conf/iccad/ZhangFZPC16URL#3263528Grace Li ZhangBing Li 0005Ulf SchlichtmannPieceTimer: a holistic timing analysis framework considering setup/hold time interdependency using a piecewise model.ICCAD1002016Conference and Workshop Papersclosedconf/iccad/ZhangLS1610.1145/2966986.2967064https://doi.org/10.1145/2966986.2967064https://dblp.org/rec/conf/iccad/ZhangLS16URL#3263529Hang Zhang 0010Bei Yu 0001Evangeline F. Y. YoungEnabling online learning in lithography hotspot detection with information-theoretic feature optimization.ICCAD472016Conference and Workshop Papersclosedconf/iccad/ZhangYY1610.1145/2966986.2967032https://doi.org/10.1145/2966986.2967032https://dblp.org/rec/conf/iccad/ZhangYY16URL#3263530Chenyuan ZhaoJialing LiYang Yi 0002Making neural encoding robust and energy efficient: an advanced analog temporal encoder for brain-inspired computing systems.ICCAD1152016Conference and Workshop Papersclosedconf/iccad/ZhaoLY1610.1145/2966986.2967052https://doi.org/10.1145/2966986.2967052https://dblp.org/rec/conf/iccad/ZhaoLY16URL#3263531Bowen ZhengChung-Wei LinHuafeng YuHengyi LiangQi Zhu 0002CONVINCE: a cross-layer modeling, exploration and validation framework for next-generation connected vehicles.ICCAD372016Conference and Workshop Papersclosedconf/iccad/ZhengLYLZ1610.1145/2966986.2980078https://doi.org/10.1145/2966986.2980078https://dblp.org/rec/conf/iccad/ZhengLYLZ16URL#3263532Frank Liu 0001Proceedings of the 35th International Conference on Computer-Aided Design, ICCAD 2016, Austin, TX, USA, November 7-10, 2016ICCADACM2016Editorshipconf/iccad/201610.1145/2966986https://doi.org/10.1145/2966986https://dblp.org/rec/conf/iccad/2016URL#3359543