:facetid:toc:\"db/conf/iscas/iscas2017.bht\"OK:facetid:toc:db/conf/iscas/iscas2017.bhtSyed Mohsin AbbasYouZhe FanJi ChenChi-Ying TsuiConcatenated LDPC-polar codes decoding through belief propagation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AbbasFCT1710.1109/ISCAS.2017.8050835https://doi.org/10.1109/ISCAS.2017.8050835https://dblp.org/rec/conf/iscas/AbbasFCT17URL#2974396Jeffrey AbbottTianyang YeLing QinMarsela JorgolliRona GertnerDonhee HamHongkun ParkCMOS-nano-bio interface array for cardiac and neuro technology.ISCAS12017Conference and Workshop Papersclosedconf/iscas/AbbottYQJGHP1710.1109/ISCAS.2017.8049752https://doi.org/10.1109/ISCAS.2017.8049752https://dblp.org/rec/conf/iscas/AbbottYQJGHP17URL#2974397Hamed Abdzadeh-ZiabariWei-Ping Zhu 0001M. N. S. SwamyInteger frequency offset detection with reduced complexity in OFDM systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Abdzadeh-Ziabari1710.1109/ISCAS.2017.8050593https://doi.org/10.1109/ISCAS.2017.8050593https://dblp.org/rec/conf/iscas/Abdzadeh-Ziabari17URL#2974398Saman S. AbeysekeraAn accurate method for fourier synthesis of photoplethysmographic signals.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Abeysekera1710.1109/ISCAS.2017.8050419https://doi.org/10.1109/ISCAS.2017.8050419https://dblp.org/rec/conf/iscas/Abeysekera17URL#2974399Masoumeh Rezaei AbkenarHamidreza SadreazamiM. Omair AhmadPatch-based salient region detection using statistical modeling in the non-subsampled contourlet domain.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AbkenarSA1710.1109/ISCAS.2017.8050990https://doi.org/10.1109/ISCAS.2017.8050990https://dblp.org/rec/conf/iscas/AbkenarSA17URL#2974400Mohamed O. AbouzeidAhmet TekinAdaptive 6.78-MHz ISM band wireless charging for small form factor receivers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AbouzeidT1710.1109/ISCAS.2017.8050849https://doi.org/10.1109/ISCAS.2017.8050849https://dblp.org/rec/conf/iscas/AbouzeidT17URL#2974401Tahmid AbtahiAmey M. KulkarniTinoosh MohseninAccelerating convolutional neural network with FFT on tiny cores.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AbtahiKM1710.1109/ISCAS.2017.8050588https://doi.org/10.1109/ISCAS.2017.8050588https://dblp.org/rec/conf/iscas/AbtahiKM17URL#2974402Heba AbunahlaBaker MohammadMaguy Abi JaoudeMahmoud Al-QutayriNovel hafnium oxide memristor device: Switching behaviour and size effect.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AbunahlaMJA1710.1109/ISCAS.2017.8050791https://doi.org/10.1109/ISCAS.2017.8050791https://dblp.org/rec/conf/iscas/AbunahlaMJA17URL#2974403Evrim AcarYuri Levin-SchwartzVince D. CalhounTülay AdaliTensor-based fusion of EEG and FMRI to understand neurological changes in schizophrenia.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AcarLCA1710.1109/ISCAS.2017.8050303https://doi.org/10.1109/ISCAS.2017.8050303https://dblp.org/rec/conf/iscas/AcarLCA17URL#2974404Vladimir AfonsoAltamiro Amadeu SusinLuan AudibertMário SaldanhaRuhan A. ConceiçãoMarcelo Schiavon PortoBruno ZattLuciano Volcan AgostiniLow-power and high-throughput hardware design for the 3D-HEVC depth intra skip.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AfonsoSASCPZA1710.1109/ISCAS.2017.8050463https://doi.org/10.1109/ISCAS.2017.8050463https://dblp.org/rec/conf/iscas/AfonsoSASCPZA17URL#2974405Shady O. AgwaEslam YahyaYehea IsmailPower efficient AES core for IoT constrained devices implemented in 130nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AgwaYI1710.1109/ISCAS.2017.8050361https://doi.org/10.1109/ISCAS.2017.8050361https://dblp.org/rec/conf/iscas/AgwaYI17URL#2974406Satyadev AhlawatDarshit VaghaniRohini GulveVirendra SinghA low cost technique for scan chain diagnosis.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AhlawatVGS1710.1109/ISCAS.2017.8050440https://doi.org/10.1109/ISCAS.2017.8050440https://dblp.org/rec/conf/iscas/AhlawatVGS17URL#2974407Moaaz AhmedFarid BoussaïdAmine BermakAn ultra low-power capacitively-coupled chopper instrumentation amplifier for wheatstone-bridge readout circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AhmedBB1710.1109/ISCAS.2017.8050330https://doi.org/10.1109/ISCAS.2017.8050330https://dblp.org/rec/conf/iscas/AhmedBB17URL#2974408Yerbol AkhmetovJoshin John MathewAlex Pappachen JamesVariable pixel G-neighbor filters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AkhmetovMJ1710.1109/ISCAS.2017.8050772https://doi.org/10.1109/ISCAS.2017.8050772https://dblp.org/rec/conf/iscas/AkhmetovMJ17URL#2974409Mohammed Al-RawhaniBoon Chong CheahChristos GiagkoulovitsAbdul ShakoorBence NagyJames BeeleyDavid R. S. CummingWide-range optical CMOS-based diagnostics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Al-RawhaniCGSNB1710.1109/ISCAS.2017.8050214https://doi.org/10.1109/ISCAS.2017.8050214https://dblp.org/rec/conf/iscas/Al-RawhaniCGSNB17URL#2974410Massimo AliotoGiuseppe ScottiAlessandro TrifilettiDesign-oriented models for quick estimation of path delay variability via the fan-out-of-4 metric.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AliotoST1710.1109/ISCAS.2017.8050910https://doi.org/10.1109/ISCAS.2017.8050910https://dblp.org/rec/conf/iscas/AliotoST17URL#2974411Haneen AlsuradiJerald YooDesign and modeling of an inductive coupling wireless power transfer using printed spirals on medical hydrocolloid dressings.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AlsuradiY1710.1109/ISCAS.2017.8050942https://doi.org/10.1109/ISCAS.2017.8050942https://dblp.org/rec/conf/iscas/AlsuradiY17URL#2974412Sherif AmerSagarvarma SayyaparajuGarrett S. RoseKarsten BeckmannNathaniel C. CadyA practical hafnium-oxide memristor model suitable for circuit design and simulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AmerSRBC1710.1109/ISCAS.2017.8050790https://doi.org/10.1109/ISCAS.2017.8050790https://dblp.org/rec/conf/iscas/AmerSRBC17URL#2974413Marzieh AminiHamidreza SadreazamiM. Omair AhmadM. N. S. SwamyMultichannel color image watermark detection utilizing vector-based hidden Markov model.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AminiSAS1710.1109/ISCAS.2017.8050596https://doi.org/10.1109/ISCAS.2017.8050596https://dblp.org/rec/conf/iscas/AminiSAS17URL#2974414Gian Nicola AngotziMario MalerbaAlessandro MaccioneFabio BoiMarco CrepaldiAlberto BonannoLuca BerdondiniA high temporal resolution multiscale recording system for in vivo neural studies.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AngotziMMBCBB1710.1109/ISCAS.2017.8050617https://doi.org/10.1109/ISCAS.2017.8050617https://dblp.org/rec/conf/iscas/AngotziMMBCBB17URL#2974415Mohammad Raashid AnsariW. Thomas Miller IIIChenghua SheQiaoyan YuA low-cost masquerade and replay attack detection method for CAN in automobiles.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AnsariMSY1710.1109/ISCAS.2017.8050833https://doi.org/10.1109/ISCAS.2017.8050833https://dblp.org/rec/conf/iscas/AnsariMSY17URL#2974416Uldric A. AntaoJohn Choma Ming HsiehTheodore W. BergerA 9-nW on-chip constant subthreshold CMOS transconductance bias with fine-tuning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AntaoHB1710.1109/ISCAS.2017.8050291https://doi.org/10.1109/ISCAS.2017.8050291https://dblp.org/rec/conf/iscas/AntaoHB17URL#2974417Yury AntonovKari StadiusJussi RyynänenA charge limiting and redistribution method for delay line locking in multi-output clock generation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AntonovSR1710.1109/ISCAS.2017.8050740https://doi.org/10.1109/ISCAS.2017.8050740https://dblp.org/rec/conf/iscas/AntonovSR17URL#2974418Artur AntonyanSuk-Soo PyoHyuntaek JungGwanhyeob KohTaejoong Song28-nm 1T-1MTJ 8Mb 64 I/O STT-MRAM with symmetric 3-section reference structure and cross-coupled sensing amplifier.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AntonyanPJKS1710.1109/ISCAS.2017.8050918https://doi.org/10.1109/ISCAS.2017.8050918https://dblp.org/rec/conf/iscas/AntonyanPJKS17URL#2974419Jithendar AnumulaDaniel NeilXiaoya LiTobi DelbrückShih-Chii LiuLive demonstration: Event-driven real-time spoken digit recognition system.ISCAS12017Conference and Workshop Papersclosedconf/iscas/AnumulaNLDL1710.1109/ISCAS.2017.8050394https://doi.org/10.1109/ISCAS.2017.8050394https://dblp.org/rec/conf/iscas/AnumulaNLDL17URL#2974420Md Tanvir ArafinAndrew StanleyPraveen SharmaHardware-based anti-counterfeiting techniques for safeguarding supply chain integrity.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ArafinSS1710.1109/ISCAS.2017.8050605https://doi.org/10.1109/ISCAS.2017.8050605https://dblp.org/rec/conf/iscas/ArafinSS17URL#2974421Arash ArdakaniMahdi ShabanyA low-complexity fully scalable interleaver/address generator based on a novel property of QPP interleavers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ArdakaniS1710.1109/ISCAS.2017.8050837https://doi.org/10.1109/ISCAS.2017.8050837https://dblp.org/rec/conf/iscas/ArdakaniS17URL#2974422Pavel ArnaudovTokunbo OgunfunmiA CAM enabled fast video motion estimation based on locality sensitive signatures.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ArnaudovO1710.1109/ISCAS.2017.8050259https://doi.org/10.1109/ISCAS.2017.8050259https://dblp.org/rec/conf/iscas/ArnaudovO17URL#2974423Abdelali El AroudiKuntal MandalAbdullah AbusorrahMohammed M. Al-HindawiYusuf Al-Turki 0001Damian GiaourisSoumitro BanerjeeA novel nonlinear modulation technique for stabilizing DC-DC switching converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AroudiMAAAGB1710.1109/ISCAS.2017.8050587https://doi.org/10.1109/ISCAS.2017.8050587https://dblp.org/rec/conf/iscas/AroudiMAAAGB17URL#2974424Hiroki AsanoTetsuya HiroseToshihiro OzakiNobutaka KurokiMasahiro NumaAn area-efficient, 0.022-mm2, fully integrated resistor-less relaxation oscillator for ultra-low power real-time clock applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AsanoHOKN1710.1109/ISCAS.2017.8050350https://doi.org/10.1109/ISCAS.2017.8050350https://dblp.org/rec/conf/iscas/AsanoHOKN17URL#2974425Emeshaw AshenafiMasud H. ChowdhuryNoise voltage analysis of spiral inductor for on-chip buck converter design.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AshenafiC1710.1109/ISCAS.2017.8050820https://doi.org/10.1109/ISCAS.2017.8050820https://dblp.org/rec/conf/iscas/AshenafiC17URL#2974426Emeshaw AshenafiAzzedin D. Es-SakhiMasud H. ChowdhuryNodal thermal analysis for multi-VT SOFFET based subthreshold circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AshenafiEC1710.1109/ISCAS.2017.8050826https://doi.org/10.1109/ISCAS.2017.8050826https://dblp.org/rec/conf/iscas/AshenafiEC17URL#2974427Siavoosh Payandeh AzadBehrad NiazmandKarl JansonThilo KoggeJaan RaikGert JervanThomas HollsteinComprehensive performance and robustness analysis of 2D turn models for network-on-chips.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AzadNJKRJH1710.1109/ISCAS.2017.8050634https://doi.org/10.1109/ISCAS.2017.8050634https://dblp.org/rec/conf/iscas/AzadNJKRJH17URL#2974428Mehdi AzadmehrLuca MarchettiYngvar BergA low power analog voltage similarity circuit.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AzadmehrMB1710.1109/ISCAS.2017.8050950https://doi.org/10.1109/ISCAS.2017.8050950https://dblp.org/rec/conf/iscas/AzadmehrMB17URL#2974429Mahzad AzarmehrArash AhmadiRashid RashidzadehSecure authentication and access mechanism for IoT wireless sensors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/AzarmehrAR1710.1109/ISCAS.2017.8050446https://doi.org/10.1109/ISCAS.2017.8050446https://dblp.org/rec/conf/iscas/AzarmehrAR17URL#2974430Gyu Jin BaeYoung Hwan KimSuk-Ju KangCensus transform-based static caption detection for frame rate up-conversion.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BaeKK1710.1109/ISCAS.2017.8050771https://doi.org/10.1109/ISCAS.2017.8050771https://dblp.org/rec/conf/iscas/BaeKK17URL#2974431Anthony BaltoluJean-Baptiste BégueretDominique DalletFrédéric ChaletA design-oriented approach for modeling integrators non-idealities in discrete-time sigma-delta modulators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BaltoluBDBC1710.1109/ISCAS.2017.8050479https://doi.org/10.1109/ISCAS.2017.8050479https://dblp.org/rec/conf/iscas/BaltoluBDBC17URL#2974432Kevin BanovicTony Chan CarusoneA sub-mW spectrum sensing architecture for portable IEEE 802.22 cognitive radio applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BanovicC1710.1109/ISCAS.2017.8050567https://doi.org/10.1109/ISCAS.2017.8050567https://dblp.org/rec/conf/iscas/BanovicC17URL#2974433Oscar BarajasAmir Tofighi ZavarehSebastian HoyosTowards an on-chip signal processing solution for the online calibration of SS-OCT systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BarajasZH1710.1109/ISCAS.2017.8050241https://doi.org/10.1109/ISCAS.2017.8050241https://dblp.org/rec/conf/iscas/BarajasZH17URL#2974434Pinar Basak BasyurtEdoardo BonizzoniFranco MalobertiDevrim Yilmaz AksinA low-power low-noise CMOS voltage reference with improved PSR for wearable sensor systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BasyurtBMA1710.1109/ISCAS.2017.8050266https://doi.org/10.1109/ISCAS.2017.8050266https://dblp.org/rec/conf/iscas/BasyurtBMA17URL#2974435Maik BeerOlaf SchreyBedrich J. HostickaRainer KokozinskiDead time effects in the indirect time-of-flight measurement with SPADs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BeerSHK1710.1109/ISCAS.2017.8050357https://doi.org/10.1109/ISCAS.2017.8050357https://dblp.org/rec/conf/iscas/BeerSHK17URL#2974436Setareh BehrooziIraklis AnagnostopoulosApplication resource management for exploitation of non-volatile memory in many-core systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BehrooziA1710.1109/ISCAS.2017.8050255https://doi.org/10.1109/ISCAS.2017.8050255https://dblp.org/rec/conf/iscas/BehrooziA17URL#2974437David E. BellasiPhilipp SchönleQiuting HuangLuca BeniniA wide tuning-range ADFLL for mW-SoCs with dithering-enhanced accuracy in 65 nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BellasiSHB1710.1109/ISCAS.2017.8050284https://doi.org/10.1109/ISCAS.2017.8050284https://dblp.org/rec/conf/iscas/BellasiSHB17URL#2974438Luis BenaderoEnrique PonceAbdelali El AroudiLuis Martínez-SalameroAnalysis of coexisting solutions and control of their bifurcations in a parallel LC resonant inverter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BenaderoPAM1710.1109/ISCAS.2017.8050512https://doi.org/10.1109/ISCAS.2017.8050512https://dblp.org/rec/conf/iscas/BenaderoPAM17URL#2974439Radu BerdanAlexantrou SerbChristos PapavassiliouThemis ProdromakisLive demonstration: MNET: A visually rich memristor crossbar simulator.ISCAS12017Conference and Workshop Papersclosedconf/iscas/BerdanSPP1710.1109/ISCAS.2017.8050399https://doi.org/10.1109/ISCAS.2017.8050399https://dblp.org/rec/conf/iscas/BerdanSPP17URL#2974440Andrew BerkovichAlexander CastroMohammad IslamFow-Sen ChoaGeoffrey L. BarrowsPamela AbshireDark current reduction by an adaptive CTIA photocircuit for room temperature SWIR sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BerkovichCICBA1710.1109/ISCAS.2017.8050414https://doi.org/10.1109/ISCAS.2017.8050414https://dblp.org/rec/conf/iscas/BerkovichCICBA17URL#2974441Safa BerrimaYves BlaquièreYvon SavariaA multi-measurements RO-TDC implemented in a Xilinx field programmable gate array.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BerrimaBS1710.1109/ISCAS.2017.8050436https://doi.org/10.1109/ISCAS.2017.8050436https://dblp.org/rec/conf/iscas/BerrimaBS17URL#2974442Krupa Suresh BhavsarHen-Geul YehPerla AyalaAn aided information to characterize ECG signals as normal or abnormal.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BhavsarYA1710.1109/ISCAS.2017.8050423https://doi.org/10.1109/ISCAS.2017.8050423https://dblp.org/rec/conf/iscas/BhavsarYA17URL#2974443Robert BiegMartin Schmidt 0005Markus GrozingManfred BerrothA 6 V CMOS switching mode amplifier for continuous-wave signals from DC to 3 GHz.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BiegSGB1710.1109/ISCAS.2017.8050598https://doi.org/10.1109/ISCAS.2017.8050598https://dblp.org/rec/conf/iscas/BiegSGB17URL#2974444Federico BizzarriAngelo BrambillaAlessandro ColomboSergio CallegariConstant-time discontinuity map for forward sensitivity analysis to initial conditions: Spurs detection in fractional-N PLL as a case study.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BizzarriBCC1710.1109/ISCAS.2017.8050581https://doi.org/10.1109/ISCAS.2017.8050581https://dblp.org/rec/conf/iscas/BizzarriBCC17URL#2974445Oystein BjorndalTor Sverre LandePower-efficient, gate-based Digital-to-Time converter in CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BjorndalL1710.1109/ISCAS.2017.8050433https://doi.org/10.1109/ISCAS.2017.8050433https://dblp.org/rec/conf/iscas/BjorndalL17URL#2974446Scott T. BlockXiaonan JiangBrad HarrisCan CuiJeronimo Segovia FernandezRajeevan AmirtharajahDavid A. HorsleyHooman RashtianXiaoguang Leo LiuA 170nW CMOS wake-up receiver with -60 dBm sensitivity using AlN high-Q piezoelectric resonators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BlockJHCFAHRL1710.1109/ISCAS.2017.8050447https://doi.org/10.1109/ISCAS.2017.8050447https://dblp.org/rec/conf/iscas/BlockJHCFAHRL17URL#2974447Aaron BluestoneRyan KavehLuke TheogarajanAn analog phase prediction based fractional-N PLL.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BluestoneKT1710.1109/ISCAS.2017.8050760https://doi.org/10.1109/ISCAS.2017.8050760https://dblp.org/rec/conf/iscas/BluestoneKT17URL#2974448David S. BolmeAravind K. MikkilineniDerek C. RoseSrikanth B. YoginathMohsen JudyJeremy HollemanDeep modeling: Circuit characterization using theory based models in a data driven framework.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BolmeMRYJH1710.1109/ISCAS.2017.8050752https://doi.org/10.1109/ISCAS.2017.8050752https://dblp.org/rec/conf/iscas/BolmeMRYJH17URL#2974449Vahid BonehiSoheil AghaieKai HussmannRalf WunderlichStefan HeinenA 276 nW, area-eficient CMOS subbandgap reference circuit.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BonehiAHWH1710.1109/ISCAS.2017.8050959https://doi.org/10.1109/ISCAS.2017.8050959https://dblp.org/rec/conf/iscas/BonehiAHWH17URL#2974450Luciano A. BraatzLuciano Volcan AgostiniBruno ZattMarcelo Schiavon PortoA multiplierless parallel HEVC quantization hardware for real-time UHD 8K video coding.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BraatzAZP1710.1109/ISCAS.2017.8050704https://doi.org/10.1109/ISCAS.2017.8050704https://dblp.org/rec/conf/iscas/BraatzAZP17URL#2974451Carlos Briseno-VidriosDadian ZhouSuraj PrakashQiyuan Liu 0001Alexander EdwardJosé Silva-MartínezA 13bit 200MS/S pipeline ADC with current-mode MDACs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Briseno-Vidrios1710.1109/ISCAS.2017.8050690https://doi.org/10.1109/ISCAS.2017.8050690https://dblp.org/rec/conf/iscas/Briseno-Vidrios17URL#2974452Diogo BritoJoão Santos 0001Jorge R. FernandesGonçalo TavaresLive demonstration: A pulsar signal receiver system for navigation.ISCAS12017Conference and Workshop Papersclosedconf/iscas/BritoSFT1710.1109/ISCAS.2017.8050400https://doi.org/10.1109/ISCAS.2017.8050400https://dblp.org/rec/conf/iscas/BritoSFT17URL#2974453Rahul Kumar BudhwaniRengarajan RagavanOlivier SentieysTaking advantage of correlation in stochastic computing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BudhwaniRS1710.1109/ISCAS.2017.8050807https://doi.org/10.1109/ISCAS.2017.8050807https://dblp.org/rec/conf/iscas/BudhwaniRS17URL#2974454Geoffrey W. BurrPritish NarayananRobert M. ShelbyStefano AmbrogioHsinyu TsaiScott L. LewisKohji HosokawaNeuromorphic devices and architectures for next-generation cognitive computing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BurrNSATLH1710.1109/ISCAS.2017.8050222https://doi.org/10.1109/ISCAS.2017.8050222https://dblp.org/rec/conf/iscas/BurrNSATLH17URL#2974455Andreas BytynJannik SpringerRainer LeupersGerd AscheidVLSI implementation of LS-SVM training and classification using entropy based subset-selection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/BytynSLA1710.1109/ISCAS.2017.8050590https://doi.org/10.1109/ISCAS.2017.8050590https://dblp.org/rec/conf/iscas/BytynSLA17URL#2974456Luciano L. CaimiVinicius FochiEduardo WächterDaniel MunhozFernando Gehm MoraesActivation of secure zones in many-core systems with dynamic rerouting.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CaimiFWMM1710.1109/ISCAS.2017.8050256https://doi.org/10.1109/ISCAS.2017.8050256https://dblp.org/rec/conf/iscas/CaimiFWMM17URL#2974457Daniel CandreaAvinash SharmaLuke OsbornYikun GuNitish V. ThakorLive demonstration - An adaptable prosthetic socket: Regulating independent air bladders through closed-loop control.ISCAS12017Conference and Workshop Papersclosedconf/iscas/CandreaSOGT1710.1109/ISCAS.2017.8050719https://doi.org/10.1109/ISCAS.2017.8050719https://dblp.org/rec/conf/iscas/CandreaSOGT17URL#2974458Daniel CandreaAvinash SharmaLuke OsbornYikun GuNitish V. ThakorAn adaptable prosthetic socket: Regulating independent air bladders through closed-loop control.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CandreaSOGT17a10.1109/ISCAS.2017.8050727https://doi.org/10.1109/ISCAS.2017.8050727https://dblp.org/rec/conf/iscas/CandreaSOGT17aURL#2974459Alfredo CanzianiEugenio CulurcielloAdam PaszkeEvaluation of neural network architectures for embedded systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CanzianiCP1710.1109/ISCAS.2017.8050276https://doi.org/10.1109/ISCAS.2017.8050276https://dblp.org/rec/conf/iscas/CanzianiCP17URL#2974460Raffaele CapocciaAssim BoukhaymaChristian C. EnzAnalysis of CMS noise reduction for 65 nm CIS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CapocciaBE1710.1109/ISCAS.2017.8050356https://doi.org/10.1109/ISCAS.2017.8050356https://dblp.org/rec/conf/iscas/CapocciaBE17URL#2974461Gian Carlo CardarilliLuca Di NunzioRocco FazzolariLuca GerardiMarco ReGiovanni CampoloDomenico CasconeA new electric encoder position estimator based on the Chinese Remainder Theorem for the CMG performance improvements.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CardarilliNFGRC1710.1109/ISCAS.2017.8050780https://doi.org/10.1109/ISCAS.2017.8050780https://dblp.org/rec/conf/iscas/CardarilliNFGRC17URL#2974462João CarreiraPedro A. Amado AssunçãoSérgio M. M. FariaErhan EkmekciogluAhmet M. KondozA robust video encoding scheme to enhance error concealment of intra frames.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CarreiraAFEK1710.1109/ISCAS.2017.8050576https://doi.org/10.1109/ISCAS.2017.8050576https://dblp.org/rec/conf/iscas/CarreiraAFEK17URL#2974463Oscar CastañedaTom GoldsteinChristoph StuderFPGA design of low-complexity joint channel estimation and data detection for large SIMO wireless systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CastanedaGS1710.1109/ISCAS.2017.8050252https://doi.org/10.1109/ISCAS.2017.8050252https://dblp.org/rec/conf/iscas/CastanedaGS17URL#2974464Emna ChabchoubFranck BadetsPascal NouetMohamed MasmoudiFrédérick MaillyA high temperature, 12-bit-time-domain sensor interface based on injection locked oscillator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChabchoubBNMM1710.1109/ISCAS.2017.8050504https://doi.org/10.1109/ISCAS.2017.8050504https://dblp.org/rec/conf/iscas/ChabchoubBNMM17URL#2974465Moo Sung ChaeTom WilsonEric NaviaskyMulti-standard low-power DDR I/O circuit design in 7nm CMOS process.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChaeWN1710.1109/ISCAS.2017.8050331https://doi.org/10.1109/ISCAS.2017.8050331https://dblp.org/rec/conf/iscas/ChaeWN17URL#2974466Dwaipayan ChakrabortySumit Kumar Jha 0001Design of compact memristive in-memory computing systems using model counting.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChakrabortyJ1710.1109/ISCAS.2017.8050965https://doi.org/10.1109/ISCAS.2017.8050965https://dblp.org/rec/conf/iscas/ChakrabortyJ17URL#2974467Andre Xian Ming ChangEugenio CulurcielloHardware accelerators for recurrent neural networks on FPGA.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChangC1710.1109/ISCAS.2017.8050816https://doi.org/10.1109/ISCAS.2017.8050816https://dblp.org/rec/conf/iscas/ChangC17URL#2974468Chia-Ning ChangYin-Nien ChenPo-Tsang HuangPin SuChing-Te ChuangExploration and evaluation of low-dropout linear voltage regulator with FinFET, TFET and hybrid TFET-FinFET implementations.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChangCHSC1710.1109/ISCAS.2017.8051018https://doi.org/10.1109/ISCAS.2017.8051018https://dblp.org/rec/conf/iscas/ChangCHSC17URL#2974469Chun-hsiang ChangMarvin OnabajoLow-power low-noise amplifier IIP3 improvement under consideration of the cascode stage.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChangO1710.1109/ISCAS.2017.8050877https://doi.org/10.1109/ISCAS.2017.8050877https://dblp.org/rec/conf/iscas/ChangO17URL#2974470Dmytro ChemiakSalvatore LevantinoCarlo SamoriRoberto NonisAnalysis of millimeter-wave digital frequency modulators for ubiquitous sensors and radars.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChemiakLSN1710.1109/ISCAS.2017.8050549https://doi.org/10.1109/ISCAS.2017.8050549https://dblp.org/rec/conf/iscas/ChemiakLSN17URL#2974471Hung-Cheng ChenTian-Sheuan ChangFast rate distortion optimization with adaptive context group modeling for HEVC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenC1710.1109/ISCAS.2017.8050459https://doi.org/10.1109/ISCAS.2017.8050459https://dblp.org/rec/conf/iscas/ChenC17URL#2974472Jun ChenBenqing GuoFading ZhaoYao WangGuangjun WenA low-voltage high-swing colpitts VCO with Inherent tapped capacitors based dynamic body bias technique.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenGZWW1710.1109/ISCAS.2017.8050374https://doi.org/10.1109/ISCAS.2017.8050374https://dblp.org/rec/conf/iscas/ChenGZWW17URL#2974473Fuqiang ChenQiang LiuSingle-triggered hardware Trojan identification based on gate-level circuit structural characteristics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenL1710.1109/ISCAS.2017.8050673https://doi.org/10.1109/ISCAS.2017.8050673https://dblp.org/rec/conf/iscas/ChenL17URL#2974474Yong Chen 0014Emil MatúsGerhard P. FettweisCombined packet and TDM circuit switching NoCs with novel connection configuration mechanism.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenMF1710.1109/ISCAS.2017.8050829https://doi.org/10.1109/ISCAS.2017.8050829https://dblp.org/rec/conf/iscas/ChenMF17URL#2974475Xi ChenGang Qu 0001Aijiao CuiPractical IP watermarking and fingerprinting methods for ASIC designs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenQC1710.1109/ISCAS.2017.8050604https://doi.org/10.1109/ISCAS.2017.8050604https://dblp.org/rec/conf/iscas/ChenQC17URL#2974476Hsin-Shu ChenJia-Nan TaiYi-Jan Emery ChenJau-Horng ChenA current average control method for transient-glitch reduction in variable frequency DC-DC converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenTCC1710.1109/ISCAS.2017.8050586https://doi.org/10.1109/ISCAS.2017.8050586https://dblp.org/rec/conf/iscas/ChenTCC17URL#2974477Yongzhen ChenJingjing WangHang Hu 0003Fan Ye 0001Junyan RenA 200MS/s, 11 bit SAR-assisted pipeline ADC with bias-enhanced ring amplifier.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenWHYR1710.1109/ISCAS.2017.8050244https://doi.org/10.1109/ISCAS.2017.8050244https://dblp.org/rec/conf/iscas/ChenWHYR17URL#2974478Shiqiang ChenXuchong ZhangHongbin Sun 0001Nanning Zheng 0001sWMF: Separable weighted median filter for efficient large-disparity stereo matching.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChenZSZ1710.1109/ISCAS.2017.8050769https://doi.org/10.1109/ISCAS.2017.8050769https://dblp.org/rec/conf/iscas/ChenZSZ17URL#2974479Cheng-Hsiang ChengZhi-Xin ChenChung-Yu WuA 16-channel CMOS chopper-stabilized analog front-end acquisition circuits for ECoG detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChengCW1710.1109/ISCAS.2017.8050954https://doi.org/10.1109/ISCAS.2017.8050954https://dblp.org/rec/conf/iscas/ChengCW17URL#2974480Qi Cheng 0005Weimin LiXian TangJianping GuoA cascode miller compensated three-stage amplifier with local Q-factor control for wide capacitive load applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChengLTG1710.1109/ISCAS.2017.8050491https://doi.org/10.1109/ISCAS.2017.8050491https://dblp.org/rec/conf/iscas/ChengLTG17URL#2974481Jiazuo ChiJohannes Wagner 0003Jens AndersMaurits OrtmannsDigital interferer suppression and jitter reduction in continuous-time bandpass ΣΔ modulators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChiWAO1710.1109/ISCAS.2017.8050482https://doi.org/10.1109/ISCAS.2017.8050482https://dblp.org/rec/conf/iscas/ChiWAO17URL#2974482Francesco ChiccoAlessandro PezzottaChristian C. EnzAnalysis of power consumption in LC oscillators based on the inversion coefficient.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChiccoPE1710.1109/ISCAS.2017.8050648https://doi.org/10.1109/ISCAS.2017.8050648https://dblp.org/rec/conf/iscas/ChiccoPE17URL#2974483Hyomin ChoiIvan V. BajicCorner proposals from HEVC bitstreams.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChoiB1710.1109/ISCAS.2017.8050705https://doi.org/10.1109/ISCAS.2017.8050705https://dblp.org/rec/conf/iscas/ChoiB17URL#2974484Adelson ChuaLouis P. AlarcónA 450kHz PVT-resilient all-digital BPSK demodulator for energy harvesting sensor nodes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ChuaA1710.1109/ISCAS.2017.8050824https://doi.org/10.1109/ISCAS.2017.8050824https://dblp.org/rec/conf/iscas/ChuaA17URL#2974485Fulvio CiciottiMarcello De MatteisAndrea BaschirottoA 0.9V 75MHz 2.8mW 4th-order analog filter in CMOS-bulk 28nm technology.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CiciottiMB1710.1109/ISCAS.2017.8050501https://doi.org/10.1109/ISCAS.2017.8050501https://dblp.org/rec/conf/iscas/CiciottiMB17URL#2974486Oscar J. Cinco-IzquierdoMaria Teresa SanzLuis Hernández 0005Carlos Aristoteles De la Cruz-BlasCMOS current-mode PWL implementation using MAX and MIN operators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Cinco-Izquierdo1710.1109/ISCAS.2017.8050757https://doi.org/10.1109/ISCAS.2017.8050757https://dblp.org/rec/conf/iscas/Cinco-Izquierdo17URL#2974487David CordovaArthur Campos de OliveiraPedro ToledoHamilton KlimachSergio BampiEric E. FabrisA sub-1 V, nanopower, ZTC based zero-VT temperature-compensated current reference.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CordovaOTKBF1710.1109/ISCAS.2017.8050289https://doi.org/10.1109/ISCAS.2017.8050289https://dblp.org/rec/conf/iscas/CordovaOTKBF17URL#2974488Fernando CorintoMauro FortiNonlinear dynamics of memristor oscillators via the flux-charge analysis method.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CorintoF1710.1109/ISCAS.2017.8050989https://doi.org/10.1109/ISCAS.2017.8050989https://dblp.org/rec/conf/iscas/CorintoF17URL#2974489Julio Cesar Ortiz-CornejoSerban BejanStéphane AzouJorge A. Pardiñas-MirPascal MorelOn envelope-tracking for SOA amplification of multicarrier signals.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CornejoBAPM1710.1109/ISCAS.2017.8050451https://doi.org/10.1109/ISCAS.2017.8050451https://dblp.org/rec/conf/iscas/CornejoBAPM17URL#2974490Marcel Moscarelli CorrêaBruno ZattMarcelo Schiavon PortoLuciano Volcan AgostiniHigh-throughput HEVC intrapicture prediction hardware design targeting UHD 8K videos.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CorreaZPA1710.1109/ISCAS.2017.8050702https://doi.org/10.1109/ISCAS.2017.8050702https://dblp.org/rec/conf/iscas/CorreaZPA17URL#2974491Marco CrepaldiGian Nicola AngotziAntonio MavigliaLuca BerdondiniA 1 Gpps asynchronous logic OOK IR-UWB transmitter based on master-slave PLL synthesis.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CrepaldiAMB1710.1109/ISCAS.2017.8050693https://doi.org/10.1109/ISCAS.2017.8050693https://dblp.org/rec/conf/iscas/CrepaldiAMB17URL#2974492Hugo CruzHong-Yi HuangChing-Hsing LuoLih-Yih ChiouShuenn-Yuh LeeA novel clock-pulse-width calibration technique for charge redistribution DACs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CruzHLCL1710.1109/ISCAS.2017.8050483https://doi.org/10.1109/ISCAS.2017.8050483https://dblp.org/rec/conf/iscas/CruzHLCL17URL#2974493Jing CuiRuiqin XiongFalei LuoShanshe WangSiwei MaAn adaptive and low-complexity all-zero block detection for HEVC encoder.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/CuiXLWM1710.1109/ISCAS.2017.8050457https://doi.org/10.1109/ISCAS.2017.8050457https://dblp.org/rec/conf/iscas/CuiXLWM17URL#2974494Bijit K. DasMrityunjoy ChakrabortyA block-based convex combination of NLMS and ZA-NLMS for identifying sparse systems with variable sparsity.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DasC1710.1109/ISCAS.2017.8050873https://doi.org/10.1109/ISCAS.2017.8050873https://dblp.org/rec/conf/iscas/DasC17URL#2974495Satyajit DasDavide RossiKevin J. M. MartinPhilippe CoussyLuca BeniniA 142MOPS/mW integrated programmable array accelerator for smart visual processing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DasRMCB1710.1109/ISCAS.2017.8050238https://doi.org/10.1109/ISCAS.2017.8050238https://dblp.org/rec/conf/iscas/DasRMCB17URL#2974496Devarshi Mrinal DasAbhishek Srivastava 0002Aman GuptaKashyap BarotMaryam Shojaei BaghiniA noise-power-area optimized novel programmable gain and bandwidth instrumentation amplifier for biomedical applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DasSGBB1710.1109/ISCAS.2017.8050955https://doi.org/10.1109/ISCAS.2017.8050955https://dblp.org/rec/conf/iscas/DasSGBB17URL#2974497Amirhossein Esmaili DastjerdiMohammad KachueeMahdi ShabanyNon-invasive blood pressure estimation using phonocardiogram.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DastjerdiKS1710.1109/ISCAS.2017.8050240https://doi.org/10.1109/ISCAS.2017.8050240https://dblp.org/rec/conf/iscas/DastjerdiKS17URL#2974498Sylmarie Davila-MonteroDeren Y. BarsakciogluAndrew Jackson 0001Timothy G. ConstandinouAndrew J. MasonReal-time clustering algorithm that adapts to dynamic changes in neural recordings.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Davila-MonteroB1710.1109/ISCAS.2017.8050425https://doi.org/10.1109/ISCAS.2017.8050425https://dblp.org/rec/conf/iscas/Davila-MonteroB17URL#2974499Bryan P. DawsonJamie K. InfantolinoManuel M. VindiolaJohn V. MonacoTightly integrated deep learning and symbolic programming on a single neuromorphic chip.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DawsonIVM1710.1109/ISCAS.2017.8050340https://doi.org/10.1109/ISCAS.2017.8050340https://dblp.org/rec/conf/iscas/DawsonIVM17URL#2974500Michele DeiRoger FiguerasJosep Maria MargaritLluís TerésFrancisco Serra-GraellsHighly linear integrate-and-fire modulators with soft reset for low-power high-speed imagers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DeiFMTS1710.1109/ISCAS.2017.8050411https://doi.org/10.1109/ISCAS.2017.8050411https://dblp.org/rec/conf/iscas/DeiFMTS17URL#2974501Michele DeiJordi SacristánEloi MarigóMohanraj SoundaraLluís TerésFrancisco Serra-GraellsA 10-bit linearity current-controlled ring oscillator with rolling regulation for smart sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DeiSMSTS1710.1109/ISCAS.2017.8050228https://doi.org/10.1109/ISCAS.2017.8050228https://dblp.org/rec/conf/iscas/DeiSMSTS17URL#2974502Jianghui DengZhuojian FuZhao WangDihu ChenXian TangJianping GuoImproved Nauta transconductor for wideband intermediate-frequency gm-C filter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DengFWCTG1710.1109/ISCAS.2017.8050679https://doi.org/10.1109/ISCAS.2017.8050679https://dblp.org/rec/conf/iscas/DengFWCTG17URL#2974503Hamza DerouiKarol DesnosJean-François NezanAlix Munier KordonThroughput evaluation of DSP applications based on hierarchical dataflow models.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DerouiDNK1710.1109/ISCAS.2017.8050774https://doi.org/10.1109/ISCAS.2017.8050774https://dblp.org/rec/conf/iscas/DerouiDNK17URL#2974504Imed Ben DhaouTuan Nguyen GiaPasi LiljebergHannu TenhunenLow-latency hardware architecture for cipher-based message authentication code.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DhaouGLT1710.1109/ISCAS.2017.8050840https://doi.org/10.1109/ISCAS.2017.8050840https://dblp.org/rec/conf/iscas/DhaouGLT17URL#2974505Debashis DharPaul T. M. van ZeijlDusan M. MilosevicHao Gao 0001Arthur H. M. van RoermundModeling and analysis of the effects of PLL phase noise on FMCW radar performance.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DharZMGR1710.1109/ISCAS.2017.8050525https://doi.org/10.1109/ISCAS.2017.8050525https://dblp.org/rec/conf/iscas/DharZMGR17URL#2974506José Ángel Díaz-MadridGinés Doménech-AsensiJosé-Alejandro López AlcantudM. OberstAn 11-bit 20-MSample/s pipelined ADC with OTA bias current regulation to optimize power dissipation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Diaz-MadridDAO1710.1109/ISCAS.2017.8050484https://doi.org/10.1109/ISCAS.2017.8050484https://dblp.org/rec/conf/iscas/Diaz-MadridDAO17URL#2974507Alex DilelloSteven AndryzcikBrandon M. KellyBrandon RumbergDavid W. GrahamTemperature compensation of floating-gate transistors in field-programmable analog arrays.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DilelloAKRG1710.1109/ISCAS.2017.8050290https://doi.org/10.1109/ISCAS.2017.8050290https://dblp.org/rec/conf/iscas/DilelloAKRG17URL#2974508Lei Ding 0005Wei Xing Zheng 0001On network-based leader-following consensus of linear multi-agent systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DingZ1710.1109/ISCAS.2017.8050469https://doi.org/10.1109/ISCAS.2017.8050469https://dblp.org/rec/conf/iscas/DingZ17URL#2974509Juan Pedro Dominguez-MoralesAntonio Rios-NavarroDaniel Gutierrez-GalanRicardo Tapiador-MoralesAngel Jiménez-FernandezElena Cerezuela-EscuderoManuel Domínguez-MoralesAlejandro Linares-BarrancoLive demonstration - Multilayer spiking neural network for audio samples classification using SpiNNaker.ISCAS12017Conference and Workshop Papersclosedconf/iscas/Dominguez-Morales1710.1109/ISCAS.2017.8050404https://doi.org/10.1109/ISCAS.2017.8050404https://dblp.org/rec/conf/iscas/Dominguez-Morales17URL#2974510Yangtao DongLihan TangXiaolin YangMenglian ZhaoPeng SunXiaobo WuA 1.8 μW 32 nV/√Hz current-reuse capacitively-coupled instrumentation amplifier for EEG detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DongTYZSW1710.1109/ISCAS.2017.8050494https://doi.org/10.1109/ISCAS.2017.8050494https://dblp.org/rec/conf/iscas/DongTYZSW17URL#2974511Qing Dong 0001Kaiyuan Yang 0001Laura FickDavid T. BlaauwDennis SylvesterRectified-linear and recurrent neural networks built with spin devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DongYFBS1710.1109/ISCAS.2017.8050922https://doi.org/10.1109/ISCAS.2017.8050922https://dblp.org/rec/conf/iscas/DongYFBS17URL#2974512Xuan Dong 0003Lihong ZhangAnalog layout retargeting with process-variation-aware rule-based OPC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DongZ1710.1109/ISCAS.2017.8051014https://doi.org/10.1109/ISCAS.2017.8051014https://dblp.org/rec/conf/iscas/DongZ17URL#2974513Fanyi DuanmuEymen KurdogluYong Liu 0013Yao Wang 0001View direction and bandwidth adaptive 360 degree video streaming using a two-tier system.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DuanmuKLW1710.1109/ISCAS.2017.8050575https://doi.org/10.1109/ISCAS.2017.8050575https://dblp.org/rec/conf/iscas/DuanmuKLW17URL#2974514Prashant DubeyKritika AdityaAnkur SrivastavaAmit KhanujaJamil KawaThu NguyenA 0.42V high bandwidth synthesizable parallel access smart memory fabric for computer vision.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DubeyASKKN1710.1109/ISCAS.2017.8050235https://doi.org/10.1109/ISCAS.2017.8050235https://dblp.org/rec/conf/iscas/DubeyASKKN17URL#2974515Kerron R. DuncanRalph Etienne-CummingsA model based approach for realizing a safe wireless biotelemetry system.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/DuncanE1710.1109/ISCAS.2017.8050366https://doi.org/10.1109/ISCAS.2017.8050366https://dblp.org/rec/conf/iscas/DuncanE17URL#2974516Elnaz Ebrahimi 0001Matthew R. GuthausJose RenauTiming speculative SRAM.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/EbrahimiGR1710.1109/ISCAS.2017.8050754https://doi.org/10.1109/ISCAS.2017.8050754https://dblp.org/rec/conf/iscas/EbrahimiGR17URL#2974517Elnaz Ebrahimi 0001Rafael Trapani PossignoloJose RenauLevel shifter design for voltage stacking.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/EbrahimiPR1710.1109/ISCAS.2017.8050831https://doi.org/10.1109/ISCAS.2017.8050831https://dblp.org/rec/conf/iscas/EbrahimiPR17URL#2974518Joshua S. EdwardsRavi Prakash RamachandranUmashanger ThayasivamRobust speaker verification with a two classifier format and feature enhancement.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/EdwardsRT1710.1109/ISCAS.2017.8050775https://doi.org/10.1109/ISCAS.2017.8050775https://dblp.org/rec/conf/iscas/EdwardsRT17URL#2974519Mohammed EhteshamuddinJebreel M. SalemDong Sam HaA high temperature variable gain amplifier based on GaN HEMT devices for downhole communications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/EhteshamuddinSH1710.1109/ISCAS.2017.8050376https://doi.org/10.1109/ISCAS.2017.8050376https://dblp.org/rec/conf/iscas/EhteshamuddinSH17URL#2974520Mohammed El-ShennawyBelal Al-QudsiNiko JoramFrank EllingerA dual band FMCW radar receiver with integrated active balun and baseband AGC loop.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/El-ShennawyAJE1710.1109/ISCAS.2017.8050526https://doi.org/10.1109/ISCAS.2017.8050526https://dblp.org/rec/conf/iscas/El-ShennawyAJE17URL#2974521Nada El-meligyMoustafa AminEslam YahyaYehea Ismail130nm Low power asynchronous AES core.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/El-meligyAYI1710.1109/ISCAS.2017.8050832https://doi.org/10.1109/ISCAS.2017.8050832https://dblp.org/rec/conf/iscas/El-meligyAYI17URL#2974522Hesham Mostafa ElsayedBruno U. PedroniSadique SheikGert CauwenberghsFast classification using sparsely active spiking networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ElsayedPSC1710.1109/ISCAS.2017.8050527https://doi.org/10.1109/ISCAS.2017.8050527https://dblp.org/rec/conf/iscas/ElsayedPSC17URL#2974523Godwin EnemaliAdewale AdetomiTughrul ArslanA placement management circuit for efficient realtime hardware reuse on FPGAs targeting reliable autonomous systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/EnemaliAA1710.1109/ISCAS.2017.8050796https://doi.org/10.1109/ISCAS.2017.8050796https://dblp.org/rec/conf/iscas/EnemaliAA17URL#2974524Reza ErfaniFatemeh MarefatAmir M. SodagarPedram MohseniTranscutaneous capacitive wireless power transfer (C-WPT) for biomedical implants.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ErfaniMSM1710.1109/ISCAS.2017.8050940https://doi.org/10.1109/ISCAS.2017.8050940https://dblp.org/rec/conf/iscas/ErfaniMSM17URL#2974525Jonas ErikssonMika KutilaTapani NevalainenPhong NguyenKati SairanenMarko YlitolvaTero KoivistoMikko PänkääläElectromechanical cardiac monitoring SoC for atrial fibrillation detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ErikssonKNNSYKP1710.1109/ISCAS.2017.8050896https://doi.org/10.1109/ISCAS.2017.8050896https://dblp.org/rec/conf/iscas/ErikssonKNNSYKP17URL#2974526Darjn EspositoDavide De CaroEttore NapoliNicola PetraAntonio G. M. StrolloOn the use of approximate adders in carry-save multiplier-accumulators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/EspositoCNPS1710.1109/ISCAS.2017.8050437https://doi.org/10.1109/ISCAS.2017.8050437https://dblp.org/rec/conf/iscas/EspositoCNPS17URL#2974527Darjn EspositoAntonio G. M. StrolloMassimo AliotoPower-precision scalable latch memories.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/EspositoSA1710.1109/ISCAS.2017.8050995https://doi.org/10.1109/ISCAS.2017.8050995https://dblp.org/rec/conf/iscas/EspositoSA17URL#2974528Cheikh Latyr FallFrancis QuevillonAlexandre Campeau-LecoursSimon LatourMartine BlouinClément GosselinBenoit GosselinLive demonstration: A multimodal adaptive wireless control interface for people with upper-body disabilities.ISCAS12017Conference and Workshop Papersclosedconf/iscas/FallQCLBGG1710.1109/ISCAS.2017.8050725https://doi.org/10.1109/ISCAS.2017.8050725https://dblp.org/rec/conf/iscas/FallQCLBGG17URL#2974529Cheikh Latyr FallFrancis QuevillonAlexandre Campeau-LecoursSimon LatourMartine BlouinClément GosselinBenoit GosselinA multimodal adaptive wireless control interface for people with upper-body disabilities.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FallQCLBGG17a10.1109/ISCAS.2017.8050731https://doi.org/10.1109/ISCAS.2017.8050731https://dblp.org/rec/conf/iscas/FallQCLBGG17aURL#2974530Zhongyan FanXiaowen BiDoujie LiWallace K. S. TangMultiobjective transshipment point assignment in China express delivery network.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FanBLT1710.1109/ISCAS.2017.8050465https://doi.org/10.1109/ISCAS.2017.8050465https://dblp.org/rec/conf/iscas/FanBLT17URL#2974531Shiquan FanZhuoqi GuoJie ZhangXu YangLi GengAn auxiliary switched-capacitor power converter (SCPC) applied in stacked digital architecture for energy utilization enhancement.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FanGZYG1710.1109/ISCAS.2017.8050852https://doi.org/10.1109/ISCAS.2017.8050852https://dblp.org/rec/conf/iscas/FanGZYG17URL#2974532Hua Fan 0001Hadi HeidariFranco MalobertiDagang LiDaqian HuYuanjun CenHigh resolution and linearity enhanced SAR ADC for wearable sensing systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FanHMLHC1710.1109/ISCAS.2017.8050265https://doi.org/10.1109/ISCAS.2017.8050265https://dblp.org/rec/conf/iscas/FanHMLHC17URL#2974533Shiquan FanLiuming ZhaoRan WeiLi GengPhilip X.-L. FengAn ultra-low quiescent current power management ASIC with MPPT for vibrational energy harvesting.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FanZWGF1710.1109/ISCAS.2017.8050865https://doi.org/10.1109/ISCAS.2017.8050865https://dblp.org/rec/conf/iscas/FanZWGF17URL#2974534Shiquan FanLiuming ZhaoPeng WangRan WeiXuqiang ZhengZenghui WangPhilip X.-L. FengA battery-less, 255 nA quiescent current temperature sensor with voltage regulator fully powered by harvesting ambient vibrational energy.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FanZWWZWF1710.1109/ISCAS.2017.8050415https://doi.org/10.1109/ISCAS.2017.8050415https://dblp.org/rec/conf/iscas/FanZWWZWF17URL#2974535Tianming FengJebreel M. SalemDong Sam HaHigh temperature VCO based on GaN devices for downhole communications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FengSH1710.1109/ISCAS.2017.8050448https://doi.org/10.1109/ISCAS.2017.8050448https://dblp.org/rec/conf/iscas/FengSH17URL#2974536Laura FickDennis SylvesterJohn W. PoultonJohn M. Wilson 0002C. Thomas GrayA 25 Gb/s 470 μW active inductor equalizer for ground referenced signaling receivers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FickSPWG1710.1109/ISCAS.2017.8050445https://doi.org/10.1109/ISCAS.2017.8050445https://dblp.org/rec/conf/iscas/FickSPWG17URL#2974537Igor M. FilanovskyLuís Bica OliveiraNikolay T. TchamovVadim V. IvanovA simple LDO with adaptable bias for internet of things applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FilanovskyOTI1710.1109/ISCAS.2017.8050540https://doi.org/10.1109/ISCAS.2017.8050540https://dblp.org/rec/conf/iscas/FilanovskyOTI17URL#2974538Kate D. FischlKaitlin Lindsay FairWei-Yu TsaiJack SampsonAndreas G. AndreouPath planning on the TrueNorth neurosynaptic system.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FischlFTSA1710.1109/ISCAS.2017.8050932https://doi.org/10.1109/ISCAS.2017.8050932https://dblp.org/rec/conf/iscas/FischlFTSA17URL#2974539Kai-Yin FokChi-Tsun ChengChi K. TseA refinement process for nozzle path planning in 3D printing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FokCT1710.1109/ISCAS.2017.8050471https://doi.org/10.1109/ISCAS.2017.8050471https://dblp.org/rec/conf/iscas/FokCT17URL#2974540David FoutoNuno Paulino 0002A 3rd order MASH switched-capacitor ΣΔM using ultra incomplete settling employing an area reduction technique.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FoutoP1710.1109/ISCAS.2017.8050370https://doi.org/10.1109/ISCAS.2017.8050370https://dblp.org/rec/conf/iscas/FoutoP17URL#2974541Todd J. FreebornAhmed S. ElwakilVariability of supercapacitor fractional-order parameters extracted from discharging behavior using least squares optimization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FreebornE1710.1109/ISCAS.2017.8050647https://doi.org/10.1109/ISCAS.2017.8050647https://dblp.org/rec/conf/iscas/FreebornE17URL#2974542Charlotte FrenkelGiacomo IndiveriJean-Didier LegatDavid BolA fully-synthesized 20-gate digital spike-based synapse with embedded online learning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FrenkelILB1710.1109/ISCAS.2017.8050219https://doi.org/10.1109/ISCAS.2017.8050219https://dblp.org/rec/conf/iscas/FrenkelILB17URL#2974543Douglas R. FreyA unifying perspective on phase noise and injection locking.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Frey1710.1109/ISCAS.2017.8050467https://doi.org/10.1109/ISCAS.2017.8050467https://dblp.org/rec/conf/iscas/Frey17URL#2974544Urs FreyMarie Engelene J. ObienJan Mueller 0004Andreas HierlemannTechnology trends and commercialization of high-density microelectrode arrays for advanced in-vitro electrophysiology.ISCAS12017Conference and Workshop Papersclosedconf/iscas/FreyOMH1710.1109/ISCAS.2017.8050215https://doi.org/10.1109/ISCAS.2017.8050215https://dblp.org/rec/conf/iscas/FreyOMH17URL#2974545Guoqing FuSameer SonkusaleCMOS luminescence lifetime sensor for white LED multi-spectral characterization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/FuS1710.1109/ISCAS.2017.8051009https://doi.org/10.1109/ISCAS.2017.8051009https://dblp.org/rec/conf/iscas/FuS17URL#2974546Gabriel Gagnon-TurcotteLeonard L. GagnonGuillaume BilodeauBenoit GosselinWireless brain computer interfaces enabling synchronized optogenetics and electrophysiology.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Gagnon-Turcotte1710.1109/ISCAS.2017.8050345https://doi.org/10.1109/ISCAS.2017.8050345https://dblp.org/rec/conf/iscas/Gagnon-Turcotte17URL#2974547Gabriel Gagnon-TurcotteYoan LeChasseurCyril BoriesYounès MessaddeqYves De KoninckBenoit GosselinLive demonstration: A wireless headstage enabling combined optogenetics and multichannel electrophysiological recording.ISCAS12017Conference and Workshop Papersclosedconf/iscas/Gagnon-Turcotte17a10.1109/ISCAS.2017.8050724https://doi.org/10.1109/ISCAS.2017.8050724https://dblp.org/rec/conf/iscas/Gagnon-Turcotte17aURL#2974548Leonard L. GagnonGabriel Gagnon-TurcotteAude PopekAurelien ChatelierMohamed ChahineBenoit GosselinA wireless system for combined heart optogenetics and electrocardiography recording.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GagnonGPCCG1710.1109/ISCAS.2017.8050365https://doi.org/10.1109/ISCAS.2017.8050365https://dblp.org/rec/conf/iscas/GagnonGPCCG17URL#2974549Ghyslain GagnonFrançois GagnonGordon W. RobertsThe analytic expression of the output spectrum of ΔΣ ADCs with nonlinear binary-weighted DACs and Gaussian input signals.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GagnonGR1710.1109/ISCAS.2017.8050691https://doi.org/10.1109/ISCAS.2017.8050691https://dblp.org/rec/conf/iscas/GagnonGR17URL#2974550Ioannis GalanisDaniel OlsenIraklis AnagnostopoulosA multi-agent based system for run-time distributed resource management.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GalanisOA1710.1109/ISCAS.2017.8050298https://doi.org/10.1109/ISCAS.2017.8050298https://dblp.org/rec/conf/iscas/GalanisOA17URL#2974551Zbigniew GaliasOn optimum placement of sectionalizing switches in radial distribution networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Galias1710.1109/ISCAS.2017.8050510https://doi.org/10.1109/ISCAS.2017.8050510https://dblp.org/rec/conf/iscas/Galias17URL#2974552Francesco GalluppiGuillaume ChenegrosDidier PruneauGilles CorduriéCharlie GalleNicolas OddoXavier LagorceChristoph PoschJoël ChavasRyad BenosmanLive demonstration: A stimulation platform for optogenetic and bionic vision restoration.ISCAS12017Conference and Workshop Papersclosedconf/iscas/GalluppiCPCGOLP1710.1109/ISCAS.2017.8050409https://doi.org/10.1109/ISCAS.2017.8050409https://dblp.org/rec/conf/iscas/GalluppiCPCGOLP17URL#2974553Francesco GalluppiDidier PruneauJoël ChavasXavier LagorceChristoph PoschGuillaume ChenegrosGilles CorduriéCharlie GalleNicolas OddoRyad BenosmanA stimulation platform for optogenetic and bionic vision restoration.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GalluppiPCLPCCG1710.1109/ISCAS.2017.8050683https://doi.org/10.1109/ISCAS.2017.8050683https://dblp.org/rec/conf/iscas/GalluppiPCLPCCG17URL#2974554Nuwan GanganathChi-Tsun ChengHerbert H. C. IuTyrone FernandoSubsystem size optimization for efficient parallel restoration of power systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GanganathCIF1710.1109/ISCAS.2017.8050925https://doi.org/10.1109/ISCAS.2017.8050925https://dblp.org/rec/conf/iscas/GanganathCIF17URL#2974555Rakesh GangarajaiahHemanth PrabhuOve EdforsLiang Liu 0002A Cholesky decomposition based massive MIMO uplink detector with adaptive interpolation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GangarajaiahPEL1710.1109/ISCAS.2017.8050312https://doi.org/10.1109/ISCAS.2017.8050312https://dblp.org/rec/conf/iscas/GangarajaiahPEL17URL#2974556Feng GaoAmine BermakChi-Ying TsuiFarid BoussaïdDual transduction Gas sensor based on a surface acoustic wave resonator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GaoBTB1710.1109/ISCAS.2017.8051006https://doi.org/10.1109/ISCAS.2017.8051006https://dblp.org/rec/conf/iscas/GaoBTB17URL#2974557Xinwei GaoHaibo DengYaoyao GuoChenchen GuYongfang ShiAnlin GaoLicai GuoXunan MaoJing LvLow-lighting video enhancement using constrained spatial-temporal model for real-time mobile communication.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GaoDGGSGGML1710.1109/ISCAS.2017.8050384https://doi.org/10.1109/ISCAS.2017.8050384https://dblp.org/rec/conf/iscas/GaoDGGSGGML17URL#2974558Chang Gao 0002Sara S. GhoreishizadehYan Liu 0016Timothy G. ConstandinouOn-chip ID generation for multi-node implantable devices using SA-PUF.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GaoGLC1710.1109/ISCAS.2017.8050422https://doi.org/10.1109/ISCAS.2017.8050422https://dblp.org/rec/conf/iscas/GaoGLC17URL#2974559Shaoquan GaoHanjun JiangZhaoyang WengYanshu GuoJingjing DongZhihua Wang 0001A 7.9μA 4-bit 4Msps successive approximation phase-domain ADC for GFSK demodulator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GaoJWGDW1710.1109/ISCAS.2017.8050562https://doi.org/10.1109/ISCAS.2017.8050562https://dblp.org/rec/conf/iscas/GaoJWGDW17URL#2974560Mingze GaoGang Qu 0001A novel approximate computing based security primitive for the Internet of Things.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GaoQ1710.1109/ISCAS.2017.8050360https://doi.org/10.1109/ISCAS.2017.8050360https://dblp.org/rec/conf/iscas/GaoQ17URL#2974561José C. García 0001Juan A. Montiel-NelsonSaeid NooshabadiSingle supply CMOS Up level shifter for dual voltage system.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GarciaMN1710.1109/ISCAS.2017.8050825https://doi.org/10.1109/ISCAS.2017.8050825https://dblp.org/rec/conf/iscas/GarciaMN17URL#2974562Missael GarciaMohamed ZayedKyoung-mi ParkViktor GruevA 1600 by 1200, 300 mW, 40 fps multi-spectral imager for near-infrared fluorescence image-guided surgery.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GarciaZPG1710.1109/ISCAS.2017.8050354https://doi.org/10.1109/ISCAS.2017.8050354https://dblp.org/rec/conf/iscas/GarciaZPG17URL#2974563Missael GarciaMohamed ZayedKyoung-mi ParkViktor GruevLive demonstration: A 1600 by 1200, 300 mW, 40 fps multi-spectral imager for near-infrared fluorescence image-guided surgery.ISCAS12017Conference and Workshop Papersclosedconf/iscas/GarciaZPG17a10.1109/ISCAS.2017.8050393https://doi.org/10.1109/ISCAS.2017.8050393https://dblp.org/rec/conf/iscas/GarciaZPG17aURL#2974564Rafael GaribottiBrandon ReagenYakun Sophia ShaoGu-Yeon WeiDavid M. BrooksUsing dynamic dependence analysis to improve the quality of high-level synthesis designs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GaribottiRSWB1710.1109/ISCAS.2017.8050748https://doi.org/10.1109/ISCAS.2017.8050748https://dblp.org/rec/conf/iscas/GaribottiRSWB17URL#2974565Xinyuan GeLin Cheng 0001Wing-Hung KiA 13.56 MHz one-stage high-efficiency 0X/1X R3 rectifier for implatable medical devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GeCK1710.1109/ISCAS.2017.8050848https://doi.org/10.1109/ISCAS.2017.8050848https://dblp.org/rec/conf/iscas/GeCK17URL#2974566Xinyuan GeTsz Ngai LinJie YuanA power-area-efficient impedance sensor design for 10 × 10 microelectrode array sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GeLY1710.1109/ISCAS.2017.8050304https://doi.org/10.1109/ISCAS.2017.8050304https://dblp.org/rec/conf/iscas/GeLY17URL#2974567Tong GeJia Zhou 0002Yang KangJoseph S. ChangReview: A fully-additive printed electronics process with very-low process variations (Bent and unbent substrates) and PDK.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GeZKC1710.1109/ISCAS.2017.8050614https://doi.org/10.1109/ISCAS.2017.8050614https://dblp.org/rec/conf/iscas/GeZKC17URL#2974568Hossein GhafarianFriedel GerfersA digital calibration technique canceling non-linear switch and package impedance effects of a 1.6GS/s TX-DAC in 28 nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GhafarianG1710.1109/ISCAS.2017.8050689https://doi.org/10.1109/ISCAS.2017.8050689https://dblp.org/rec/conf/iscas/GhafarianG17URL#2974569Amir Masoud GharehbaghiMasahiro FujitaA new approach for diagnosing bridging faults in logic designs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GharehbaghiF1710.1109/ISCAS.2017.8050914https://doi.org/10.1109/ISCAS.2017.8050914https://dblp.org/rec/conf/iscas/GharehbaghiF17URL#2974570Mahir Kabeer GharzaiDingyi HongJoseph A. SchmitzMichael W. HoffmanSina BalkirReal-time trajectory calculation and prediction using neighborhood-level parallel processing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GharzaiHSHB1710.1109/ISCAS.2017.8050413https://doi.org/10.1109/ISCAS.2017.8050413https://dblp.org/rec/conf/iscas/GharzaiHSHB17URL#2974571Vinayak GokhaleAliasger ZaidyAndre Xian Ming ChangEugenio CulurcielloSnowflake: An efficient hardware accelerator for convolutional neural networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GokhaleZCC1710.1109/ISCAS.2017.8050809https://doi.org/10.1109/ISCAS.2017.8050809https://dblp.org/rec/conf/iscas/GokhaleZCC17URL#2974572Stephano Machado Moreira GoncalvesLeomar S. da Rosa Jr.Felipe de Souza MarquesA survey of path search algorithms for VLSI detailed routing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GoncalvesRM1710.1109/ISCAS.2017.8050432https://doi.org/10.1109/ISCAS.2017.8050432https://dblp.org/rec/conf/iscas/GoncalvesRM17URL#2974573Yanping GongFengyu QianLei Wang 0003A secure scan chain test scheme exploiting retention loss of memristors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GongQW1710.1109/ISCAS.2017.8050911https://doi.org/10.1109/ISCAS.2017.8050911https://dblp.org/rec/conf/iscas/GongQW17URL#2974574Paul GosselinRoberto PudduAlexis CarreiraMehrdad A. GhanadMassimo BarbaroCatherine DehollainA CMOS automatic tuning system to maximize remote powering efficiency.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GosselinPCGBD1710.1109/ISCAS.2017.8050554https://doi.org/10.1109/ISCAS.2017.8050554https://dblp.org/rec/conf/iscas/GosselinPCGBD17URL#2974575Danielle GriffithPer Torstein RøineTorjus KallerudBrian GoodlinZachary HughesErnest Ting-Ta YenA ±10ppm -40 to 125°C BAW-based frequency reference system for crystal-less wireless sensor nodes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GriffithRKGHY1710.1109/ISCAS.2017.8050282https://doi.org/10.1109/ISCAS.2017.8050282https://dblp.org/rec/conf/iscas/GriffithRKGHY17URL#2974576Mari GrönroosTapani NevalainenJonne PoikonenAri PaasioFast thermopile readout circuit arrangement for array processors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GronroosNPP1710.1109/ISCAS.2017.8050591https://doi.org/10.1109/ISCAS.2017.8050591https://dblp.org/rec/conf/iscas/GronroosNPP17URL#2974577Yousef GtatSina ParsnejadAndrew J. MasonLive demonstration: Automated data acquisition and digital curation platform for enhancing research precision, productivity and reproducibility.ISCAS12017Conference and Workshop Papersclosedconf/iscas/GtatPM1710.1109/ISCAS.2017.8050711https://doi.org/10.1109/ISCAS.2017.8050711https://dblp.org/rec/conf/iscas/GtatPM17URL#2974578Chongyan GuNeil HanleyMáire O'NeillFPGA-based strong PUF with increased uniqueness and entropy properties.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GuHO1710.1109/ISCAS.2017.8050838https://doi.org/10.1109/ISCAS.2017.8050838https://dblp.org/rec/conf/iscas/GuHO17URL#2974579Emanuele GuglielmiMarco CarminatiFrancesco ZanettoAndrea AnnoniFrancesco MorichettiAndrea MelloniMarco SampietroGiorgio Ferrari16-Channel modular platform for automatic control and reconfiguration of complex photonic circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GuglielmiCZAMMS1710.1109/ISCAS.2017.8050453https://doi.org/10.1109/ISCAS.2017.8050453https://dblp.org/rec/conf/iscas/GuglielmiCZAMMS17URL#2974580William GuicqueroLaurent AlacoqueImpact of fixed pattern noise on embedded image compression techniques.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GuicqueroA1710.1109/ISCAS.2017.8050547https://doi.org/10.1109/ISCAS.2017.8050547https://dblp.org/rec/conf/iscas/GuicqueroA17URL#2974581Menghan GuoJing Huang 0010Shoushun ChenLive demonstration: A 768 × 640 pixels 200Meps dynamic vision sensor.ISCAS12017Conference and Workshop Papersclosedconf/iscas/GuoHC1710.1109/ISCAS.2017.8050397https://doi.org/10.1109/ISCAS.2017.8050397https://dblp.org/rec/conf/iscas/GuoHC17URL#2974582Yanshu GuoSongping MaiZhaoyang WengHeng LiuHanjun JiangZhihua Wang 0001A 9.4 pJ/bit 432 MHz 16-QAM/MSK transmitter based on edge-combining power amplifier.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GuoMWLJW1710.1109/ISCAS.2017.8050449https://doi.org/10.1109/ISCAS.2017.8050449https://dblp.org/rec/conf/iscas/GuoMWLJW17URL#2974583Lingyi GuoLe YeCheng ChenQianqian HuangLibo YangZhu LvXia AnRu HuangBenchmarking TFET from a circuit level perspective: Applications and guideline.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GuoYCHYLAH1710.1109/ISCAS.2017.8051028https://doi.org/10.1109/ISCAS.2017.8051028https://dblp.org/rec/conf/iscas/GuoYCHYLAH17URL#2974584Isha GuptaAlexantrou SerbAli KhiatThemistoklis ProdromakisMitigating noise effects in volatile nano-metal oxide neural detector.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GuptaSKP1710.1109/ISCAS.2017.8050987https://doi.org/10.1109/ISCAS.2017.8050987https://dblp.org/rec/conf/iscas/GuptaSKP17URL#2974585Onur GuvenAmir EftekharWilko J. KindtTimothy G. ConstandinouLow-power real-time ECG baseline wander removal: Hardware implementation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/GuvenEKC1710.1109/ISCAS.2017.8050663https://doi.org/10.1109/ISCAS.2017.8050663https://dblp.org/rec/conf/iscas/GuvenEKC17URL#2974586Tuomas HaapalaMika PulkkinenJarno SalomaaKari HalonenA 180-nW static power UWB IR transmitter front-end for energy harvesting applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HaapalaPSH1710.1109/ISCAS.2017.8050876https://doi.org/10.1109/ISCAS.2017.8050876https://dblp.org/rec/conf/iscas/HaapalaPSH17URL#2974587Dorian HaciYan Liu 0016Timothy G. Constandinou32-Channel ultra-low-noise arbitrary signal generation platform for biopotential emulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HaciLC1710.1109/ISCAS.2017.8050427https://doi.org/10.1109/ISCAS.2017.8050427https://dblp.org/rec/conf/iscas/HaciLC17URL#2974588Fayrouz HaddadImen GhorbelWenceslas RahajandraibeMulti-band inductor-less VCO for IoT applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HaddadGR1710.1109/ISCAS.2017.8050738https://doi.org/10.1109/ISCAS.2017.8050738https://dblp.org/rec/conf/iscas/HaddadGR17URL#2974589Pascal Alexander HagerChristoph RisserPeter-Karl WeberLuca BeniniLightProbe: A 64-channel programmable ultrasound transducer head with an integrated front-end and a 26.4 Gb/s optical link.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HagerRWB1710.1109/ISCAS.2017.8050300https://doi.org/10.1109/ISCAS.2017.8050300https://dblp.org/rec/conf/iscas/HagerRWB17URL#2974590Muluken HailesellasieSyed Rafay HasanA fast FPGA-based deep convolutional neural network using pseudo parallel memories.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HailesellasieH1710.1109/ISCAS.2017.8050317https://doi.org/10.1109/ISCAS.2017.8050317https://dblp.org/rec/conf/iscas/HailesellasieH17URL#2974591Ibrahim N. HajjBeyond SPICE.ISCAS12017Conference and Workshop Papersclosedconf/iscas/Hajj1710.1109/ISCAS.2017.8050857https://doi.org/10.1109/ISCAS.2017.8050857https://dblp.org/rec/conf/iscas/Hajj17URL#2974592Jean Carlo HamerskiGeancarlo AbichRicardo Reis 0001Luciano OstAlexandre M. AmoryPublish-subscribe programming for a NoC-based multiprocessor system-on-chip.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HamerskiAROA1710.1109/ISCAS.2017.8050967https://doi.org/10.1109/ISCAS.2017.8050967https://dblp.org/rec/conf/iscas/HamerskiAROA17URL#2974593Jonas HandwerkerMarlon Perez-RodasMaurits OrtmannsKlaus SchefflerJens AndersTowards CMOS-based in-vivo NMR spectroscopy and microscopy.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HandwerkerPOSA1710.1109/ISCAS.2017.8049753https://doi.org/10.1109/ISCAS.2017.8049753https://dblp.org/rec/conf/iscas/HandwerkerPOSA17URL#2974594Faizan Ul HaqMikko EnglundKari StadiusMarko KosunenJussi RyynänenKimmo KoliKim B. OstmanA wideband blocker-resilient direct ΔΣ receiver with selective input-impedance matching.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HaqESKRKO1710.1109/ISCAS.2017.8050249https://doi.org/10.1109/ISCAS.2017.8050249https://dblp.org/rec/conf/iscas/HaqESKRKO17URL#2974595Rashedul HasanShahed K. MohammedAlimul Haque KhanKhan A. WahidA color frame reproduction technique for IoT-based video surveillance application.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HasanMKW1710.1109/ISCAS.2017.8050236https://doi.org/10.1109/ISCAS.2017.8050236https://dblp.org/rec/conf/iscas/HasanMKW17URL#2974596Saad Ul HasanGraham E. TownAn FPGA-based aperiodic modulation strategy for EMI suppression in quasi-Z-source DC-DC converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HasanT1710.1109/ISCAS.2017.8050509https://doi.org/10.1109/ISCAS.2017.8050509https://dblp.org/rec/conf/iscas/HasanT17URL#2974597Kento HasegawaMasao YanagisawaNozomu TogawaTrojan-feature extraction at gate-level netlists and its application to hardware-Trojan detection using random forest classifier.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HasegawaYT1710.1109/ISCAS.2017.8050827https://doi.org/10.1109/ISCAS.2017.8050827https://dblp.org/rec/conf/iscas/HasegawaYT17URL#2974598Sahar HashemgeloogerdiMark F. BockoLeast-squares estimation of the common acoustical poles in room acoustics and head related transfer functions.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Hashemgeloogerdi1710.1109/ISCAS.2017.8050778https://doi.org/10.1109/ISCAS.2017.8050778https://dblp.org/rec/conf/iscas/Hashemgeloogerdi17URL#2974599Jiacong HeJoseph Callenes-SloanTCache: An energy-efficient DRAM cache design.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HeC1710.1109/ISCAS.2017.8050698https://doi.org/10.1109/ISCAS.2017.8050698https://dblp.org/rec/conf/iscas/HeC17URL#2974600Yongcheng HeShuguo LiA 3DES implementation especially for CBC feedback loop mode.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HeL1710.1109/ISCAS.2017.8050565https://doi.org/10.1109/ISCAS.2017.8050565https://dblp.org/rec/conf/iscas/HeL17URL#2974601Cyro S. HemsiCristiano M. PanazioAdaptive baseband fre-equalization for RF impedance matching correction.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HemsiP1710.1109/ISCAS.2017.8050450https://doi.org/10.1109/ISCAS.2017.8050450https://dblp.org/rec/conf/iscas/HemsiP17URL#2974602Hugo Daniel HernándezDionisio CarvalhoBruno SanchesLucas C. SeveroWilhelmus A. M. Van NoijeCurrent mode 1.2-Gbps SLVS transceiver for readout front-end ASIC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HernandezCSSN1710.1109/ISCAS.2017.8050227https://doi.org/10.1109/ISCAS.2017.8050227https://dblp.org/rec/conf/iscas/HernandezCSSN17URL#2974603Frank HerzelArzu ErgintavJohannes BorngräberHerman Jalli NgDietmar KissingerDesign of a low-jitter wideband frequency synthesizer for 802.11ad wireless OFDM systems using a frequency sixtupler.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HerzelEBNK1710.1109/ISCAS.2017.8051000https://doi.org/10.1109/ISCAS.2017.8051000https://dblp.org/rec/conf/iscas/HerzelEBNK17URL#2974604Frank HerzelDietmar KissingerPhase noise analysis of a homodyne radar system driven by a phase-locked loop.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HerzelK1710.1109/ISCAS.2017.8050454https://doi.org/10.1109/ISCAS.2017.8050454https://dblp.org/rec/conf/iscas/HerzelK17URL#2974605Jesse HillChika NwankpaBattery energy storage dispatch analysis within the storage placement problem.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HillN1710.1109/ISCAS.2017.8050927https://doi.org/10.1109/ISCAS.2017.8050927https://dblp.org/rec/conf/iscas/HillN17URL#2974606Takao HinamotoAkimitsu DoiWu-Sheng LuRoundoff noise minimization for 2-D separable-denominator digital filters using jointly optimal high-order error feedback and realization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HinamotoDL1710.1109/ISCAS.2017.8050934https://doi.org/10.1109/ISCAS.2017.8050934https://dblp.org/rec/conf/iscas/HinamotoDL17URL#2974607Sebastian HöppnerYexin YanBernhard VoggingerAndreas DixiusJohannes PartzschPrateek JoshiFelix NeumärkerStephan Hartmann 0002Stefan SchieferStefan ScholzeGeorg EllguthLove CederstroemMatthias EberleinChristian Mayr 0001Steve TempleLuis A. PlanaJim D. GarsideSimon DavidsonDavid R. LesterSteve B. FurberLive demonstration: Dynamic voltage and frequency scaling for neuromorphic many-core systems.ISCAS12017Conference and Workshop Papersclosedconf/iscas/HoppnerYVDPJNHS1710.1109/ISCAS.2017.8050396https://doi.org/10.1109/ISCAS.2017.8050396https://dblp.org/rec/conf/iscas/HoppnerYVDPJNHS17URL#2974608Sebastian HöppnerYexin YanBernhard VoggingerAndreas DixiusJohannes PartzschFelix NeumärkerStephan Hartmann 0002Stefan SchieferStefan ScholzeGeorg EllguthLove CederstroemMatthias EberleinChristian Mayr 0001Steve TempleLuis A. PlanaJim D. GarsideSimon DavidsonDavid R. LesterSteve B. FurberDynamic voltage and frequency scaling for neuromorphic many-core systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HoppnerYVDPNHSS1710.1109/ISCAS.2017.8050656https://doi.org/10.1109/ISCAS.2017.8050656https://dblp.org/rec/conf/iscas/HoppnerYVDPNHSS17URL#2974609Zong-You HouZong-Ying HoJhih-Cheng YouChua-Chin WangA primary-side output current estimator with process compensator for flyback LED drivers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HouHYW1710.1109/ISCAS.2017.8050850https://doi.org/10.1109/ISCAS.2017.8050850https://dblp.org/rec/conf/iscas/HouHYW17URL#2974610Zejiang HouHo-Chun WuShing-Chow ChanDistributed optimal power flow: An Augmented Lagrangian-Sequential Quadratic Programming approach.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HouWC1710.1109/ISCAS.2017.8050508https://doi.org/10.1109/ISCAS.2017.8050508https://dblp.org/rec/conf/iscas/HouWC17URL#2974611James HoweCiara RaffertyAyesha KhalidMáire O'NeillCompact and provably secure lattice-based signatures in hardware.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HoweRKO1710.1109/ISCAS.2017.8050566https://doi.org/10.1109/ISCAS.2017.8050566https://dblp.org/rec/conf/iscas/HoweRKO17URL#2974612Chung-Wei HsuLi-Jen ChangChun-Po HuangSoon-Jyh ChangA 12-bit 40-MS/s calibration-free SAR ADC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HsuCHC1710.1109/ISCAS.2017.8050307https://doi.org/10.1109/ISCAS.2017.8050307https://dblp.org/rec/conf/iscas/HsuCHC17URL#2974613Xuan HuJoseph S. FriedmanClosed-form model for dual-gate ambipolar CNTFET circuit design.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuF1710.1109/ISCAS.2017.8050646https://doi.org/10.1109/ISCAS.2017.8050646https://dblp.org/rec/conf/iscas/HuF17URL#2974614Li HuJiawei GuGuanghui HeWeifeng HeA hardware-friendly hierarchical HEVC motion estimation algorithm for UHD applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuGHH1710.1109/ISCAS.2017.8050322https://doi.org/10.1109/ISCAS.2017.8050322https://dblp.org/rec/conf/iscas/HuGHH17URL#2974615John HuSuming LaiMaster-slave battery charging system using parallel DC-DC converters for thermal safety.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuL1710.1109/ISCAS.2017.8050651https://doi.org/10.1109/ISCAS.2017.8050651https://dblp.org/rec/conf/iscas/HuL17URL#2974616Yi Huang 0004Daniel BroweJoseph FreemanLaleh NajafizadehLive demonstration: A frequency-based system for wireless electrical stimulation of iEAPs.ISCAS12017Conference and Workshop Papersclosedconf/iscas/HuangBFN1710.1109/ISCAS.2017.8050726https://doi.org/10.1109/ISCAS.2017.8050726https://dblp.org/rec/conf/iscas/HuangBFN17URL#2974617Chao-Yen HuangChern-Lin ChenAnalysis and implementation of wireless power transfer system with phase and supply modulation control.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangC1710.1109/ISCAS.2017.8050847https://doi.org/10.1109/ISCAS.2017.8050847https://dblp.org/rec/conf/iscas/HuangC17URL#2974618Xiaonan HuangAijiao CuiChip-Hong ChangA new watermarking scheme on scan chain ordering for hard IP protection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangCC1710.1109/ISCAS.2017.8050823https://doi.org/10.1109/ISCAS.2017.8050823https://dblp.org/rec/conf/iscas/HuangCC17URL#2974619Jing Huang 0010Menghan GuoShoushun ChenA dynamic vision sensor with direct logarithmic output and full-frame picture-on-demand.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangGC1710.1109/ISCAS.2017.8050546https://doi.org/10.1109/ISCAS.2017.8050546https://dblp.org/rec/conf/iscas/HuangGC17URL#2974620Shih-Lun HuangSheng-Yi HungChung-Ping ChenAn efficient DFT-based algoritiim for the charger noise problem in capacitive touch applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangHC1710.1109/ISCAS.2017.8050812https://doi.org/10.1109/ISCAS.2017.8050812https://dblp.org/rec/conf/iscas/HuangHC17URL#2974621Chun-Ming HuangYi-Jie HsiehWei-Lin LaiYi-Jun LiuChun-Ying JuanSsu-Ying ChenChun-Yu ChenJin-Ju ChueChih-Chyau YangChien-Ming WuA modular wireless sensor platform and its applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangHLLJCCCYW1710.1109/ISCAS.2017.8050611https://doi.org/10.1109/ISCAS.2017.8050611https://dblp.org/rec/conf/iscas/HuangHLLJCCCYW17URL#2974622Po-Tsang HuangYu-Chieh HuangShang-Lin WuYu-Chen HuMing-Wei LuTing-Wei ShengFung-Kai ChangChun-Pin LinNien-Shang ChangHung-Lieh ChenChi-Shi ChenJeng-Ren DuannTzai-Wen ChiuWei HwangKuan-Neng ChenChing-Te ChuangJin-Chern ChiouAn implantable 128-channel wireless neural-sensing microsystem using TSV-embedded dissolvable μ-needle array and flexible interposer.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangHWHLSCLCCC1710.1109/ISCAS.2017.8050687https://doi.org/10.1109/ISCAS.2017.8050687https://dblp.org/rec/conf/iscas/HuangHWHLSCLCCC17URL#2974623Letian HuangXinxin LinJunshi WangQiang Li 0021A low latency fault tolerant transmission mechanism for Network-on-Chip.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangLWL1710.1109/ISCAS.2017.8050631https://doi.org/10.1109/ISCAS.2017.8050631https://dblp.org/rec/conf/iscas/HuangLWL17URL#2974624Tsung-Ching HuangLeilai ShaoTing LeiRaymond G. BeausoleilZhenan BaoKwang-Ting ChengRobust design and design automation for flexible hybrid electronics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangSLBBC1710.1109/ISCAS.2017.8050681https://doi.org/10.1109/ISCAS.2017.8050681https://dblp.org/rec/conf/iscas/HuangSLBBC17URL#2974625Yang-Jing HuangHeng-Ching WuPo-Shen ChenHsu-Tao ShenSheng-Yu PengChii-Wann LinA non-invasive material sensing system and its integrated interface circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HuangWCSPL1710.1109/ISCAS.2017.8051008https://doi.org/10.1109/ISCAS.2017.8051008https://dblp.org/rec/conf/iscas/HuangWCSPL17URL#2974626Tiffany HwuJeffrey L. KrichmarXinyun ZouA complete neuromorphic solution to outdoor navigation and path planning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/HwuKZ1710.1109/ISCAS.2017.8050981https://doi.org/10.1109/ISCAS.2017.8050981https://dblp.org/rec/conf/iscas/HwuKZ17URL#2974627Ahmed Ibrahim 0003Miao MengMehdi KianiInductive and ultrasonic wireless power transmission to biomedical implants.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/IbrahimMK1710.1109/ISCAS.2017.8050939https://doi.org/10.1109/ISCAS.2017.8050939https://dblp.org/rec/conf/iscas/IbrahimMK17URL#2974628Mahmoud A. A. IbrahimMarvin OnabajoLinear input range extension for low-voltage operational transconductance amplifiers in Gm-C filters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/IbrahimO1710.1109/ISCAS.2017.8050495https://doi.org/10.1109/ISCAS.2017.8050495https://dblp.org/rec/conf/iscas/IbrahimO17URL#2974629Md. Nazmul IslamVinay C. PatilSandip KunduA guide to graceful aging: How not to overindulge in post-silicon burn-in for enhancing reliability of weak PUF.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/IslamPK1710.1109/ISCAS.2017.8050881https://doi.org/10.1109/ISCAS.2017.8050881https://dblp.org/rec/conf/iscas/IslamPK17URL#2974630Zakaria El Alaoui IsmailiWessam AjibFrançois GagnonFrederic NabkiA 0.13 μm CMOS fully integrated 0.1 ∼ 12 GHz frequency synthesizer for avionic SDR applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/IsmailiAGN1710.1109/ISCAS.2017.8050739https://doi.org/10.1109/ISCAS.2017.8050739https://dblp.org/rec/conf/iscas/IsmailiAGN17URL#2974631Emimal JabasonM. Omair AhmadM. N. S. SwamyStatistical modeling of multimodal neuroimaging data in non-subsampled shearlet domain using the student's t location-scale distribution.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JabasonAS1710.1109/ISCAS.2017.8050661https://doi.org/10.1109/ISCAS.2017.8050661https://dblp.org/rec/conf/iscas/JabasonAS17URL#2974632Ali JafariSunil GandhiSri Harsha KonuruW. David HairstonTim Oates 0001Tinoosh MohseninAn EEG artifact identification embedded system using ICA and multi-instance learning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JafariGKHOM1710.1109/ISCAS.2017.8050346https://doi.org/10.1109/ISCAS.2017.8050346https://dblp.org/rec/conf/iscas/JafariGKHOM17URL#2974633Pavan Kumar JavvajiSpyros TragoudasEfficient computation of the sensitization probability of a critical path considering process variations and path correlation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JavvajiT1710.1109/ISCAS.2017.8050439https://doi.org/10.1109/ISCAS.2017.8050439https://dblp.org/rec/conf/iscas/JavvajiT17URL#2974634Gibran Limi JayaShoushun ChenA 40 nm CMOS T/H-less flash-like stroboscopic ADC with 23dB THD and >50 GHz effective resolution bandwidth.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JayaC1710.1109/ISCAS.2017.8050486https://doi.org/10.1109/ISCAS.2017.8050486https://dblp.org/rec/conf/iscas/JayaC17URL#2974635Esrafil JedariRashid RashidzadehMehrdad SaifA PVT resistant coarse-fine time-to-digital converter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JedariRS1710.1109/ISCAS.2017.8050742https://doi.org/10.1109/ISCAS.2017.8050742https://dblp.org/rec/conf/iscas/JedariRS17URL#2974636W. Kenneth JenkinsMichael A. SoderstrandA historical overview of Dr. Sanjit Mitra's academic, research and professional activities.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JenkinsS1710.1109/ISCAS.2017.8050269https://doi.org/10.1109/ISCAS.2017.8050269https://dblp.org/rec/conf/iscas/JenkinsS17URL#2974637Gyunam JeonYong-Bin KimA 4Gb/s half-rate DFE with switched-cap and IIR summation for data correction.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JeonK1710.1109/ISCAS.2017.8050891https://doi.org/10.1109/ISCAS.2017.8050891https://dblp.org/rec/conf/iscas/JeonK17URL#2974638Xiangdong JiaGlenn E. R. CowanA 8-Gb/s 0.256-pJ/b transceiver for 5-mm on-chip interconnects in 130-nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JiaC1710.1109/ISCAS.2017.8050443https://doi.org/10.1109/ISCAS.2017.8050443https://dblp.org/rec/conf/iscas/JiaC17URL#2974639Hui Jiang 0007Burak GonenKofi A. A. MakinwaStoyan N. NihtianovChopping in continuous-time sigma-delta modulators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JiangGMN1710.1109/ISCAS.2017.8050951https://doi.org/10.1109/ISCAS.2017.8050951https://dblp.org/rec/conf/iscas/JiangGMN17URL#2974640Mengdi JiangWei Liu 0001Yi LiStudy of wind profile prediction with a combination of signal processing and computational fluid dynamics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JiangLL1710.1109/ISCAS.2017.8050595https://doi.org/10.1109/ISCAS.2017.8050595https://dblp.org/rec/conf/iscas/JiangLL17URL#2974641Caoyang JiangSaeid NooshabadiH.265/HEVC encoder optimization with parallel-efficient algorithm and QP-based early termination.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JiangN1710.1109/ISCAS.2017.8050321https://doi.org/10.1109/ISCAS.2017.8050321https://dblp.org/rec/conf/iscas/JiangN17URL#2974642Rong JiangHossein NooriFa Foster DaiJun FuWei ZhouYudong WangA low phase noise 8.8 GHz VCO based on ISF manipulation and dual-tank technique.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JiangNDFZW1710.1109/ISCAS.2017.8050669https://doi.org/10.1109/ISCAS.2017.8050669https://dblp.org/rec/conf/iscas/JiangNDFZW17URL#2974643Xiaoxue JiangXiaojian YuJie Chen 0002A low-voltage charge pump with improved pumping efficiency.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JiangYC1710.1109/ISCAS.2017.8050583https://doi.org/10.1109/ISCAS.2017.8050583https://dblp.org/rec/conf/iscas/JiangYC17URL#2974644Ja-Hoon JinXuefan JinSang-Hoon KimIk-Hwan KimJaehong JungKiwon KwonJung-Hoon ChunA 17.5-Gb/s transceiver with a MaxEye-based autonomous adaptation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JinJKKJKC1710.1109/ISCAS.2017.8050444https://doi.org/10.1109/ISCAS.2017.8050444https://dblp.org/rec/conf/iscas/JinJKKJKC17URL#2974645Xuwei JinWei Jin 0004Hao ZhangJianfei Jiang 0001Weifeng HeA 0.2V 2.3pJ/Cycle 28dB output SNR hybrid Markov random field probabilistic-based circuit for noise immunity and energy efficiency.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JinJZJH1710.1109/ISCAS.2017.8050894https://doi.org/10.1109/ISCAS.2017.8050894https://dblp.org/rec/conf/iscas/JinJZJH17URL#2974646Shusen JingJunmei YangZhongfeng Wang 0001Xiaohu You 0001Chuan Zhang 0001Algorithm and architecture for joint detection and decoding for MIMO with LDPC codes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JingYWYZ1710.1109/ISCAS.2017.8050314https://doi.org/10.1109/ISCAS.2017.8050314https://dblp.org/rec/conf/iscas/JingYWYZ17URL#2974647Petar JokicMichele MagnoPowering smart wearable systems with flexible solar energy harvesting.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JokicM1710.1109/ISCAS.2017.8050615https://doi.org/10.1109/ISCAS.2017.8050615https://dblp.org/rec/conf/iscas/JokicM17URL#2974648Mohan JulienSerge BernardFabien SoulierVincent KerzerhoGuy CathébrasFormal analysis of high-performance stabilized active-input current mirror.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/JulienBSKC1710.1109/ISCAS.2017.8051012https://doi.org/10.1109/ISCAS.2017.8051012https://dblp.org/rec/conf/iscas/JulienBSKC17URL#2974649Naveen KadayintiAmitalok J. BudkuleyDinesh Kumar SharmaSettling time of mesochronous clock re-timing circuits in the presence of timing jitter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KadayintiBS1710.1109/ISCAS.2017.8050694https://doi.org/10.1109/ISCAS.2017.8050694https://dblp.org/rec/conf/iscas/KadayintiBS17URL#2974650Sarthak KalaniAlessandro BertoliniAnna RichelliPeter R. KingetA 0.2V 492nW VCO-based OTA with 60kHz UGB and 207 μVrms noise.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KalaniBRK1710.1109/ISCAS.2017.8050503https://doi.org/10.1109/ISCAS.2017.8050503https://dblp.org/rec/conf/iscas/KalaniBRK17URL#2974651Ahmed KamaleldinAhmed M. SolimanAhmed NagyYoussef GamalAhmed ShalashYehea IsmailHassan MostafaDesign guidelines for the high-speed dynamic partial reconfiguration based software defined radio implementations on Xilinx Zynq FPGA.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KamaleldinSNGSI1710.1109/ISCAS.2017.8050456https://doi.org/10.1109/ISCAS.2017.8050456https://dblp.org/rec/conf/iscas/KamaleldinSNGSI17URL#2974652Mineo KanekoKKT-condition inspired solution of DVFS with limited number of voltage levels.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Kaneko1710.1109/ISCAS.2017.8050893https://doi.org/10.1109/ISCAS.2017.8050893https://dblp.org/rec/conf/iscas/Kaneko17URL#2974653Sanghoon KangJinmook LeeKyeongryeol BongChanghyeon KimHoi-Jun YooA 0.53mW ultra-low-power 3D face frontalization processor for face recognition with human-level accuracy in wearable devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KangLBKY1710.1109/ISCAS.2017.8050764https://doi.org/10.1109/ISCAS.2017.8050764https://dblp.org/rec/conf/iscas/KangLBKY17URL#2974654Timuçin KaracaMario AuerA Class-D output bridge with dynamic dead-time, small delay and reduced EMI.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KaracaA1710.1109/ISCAS.2017.8050585https://doi.org/10.1109/ISCAS.2017.8050585https://dblp.org/rec/conf/iscas/KaracaA17URL#2974655Armine KaramiDimitri GalaykoMohammed BedierPhilippe BassetAnalysis and comparison of charge-pump conditioning circuits for capacitive electromechanical energy conversion.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KaramiGBB1710.1109/ISCAS.2017.8050650https://doi.org/10.1109/ISCAS.2017.8050650https://dblp.org/rec/conf/iscas/KaramiGBB17URL#2974656Ehsan KargaranDanilo ManstrettaRinaldo CastelloA 30μW, 3.3dB NF CMOS LNA for wearable WSN applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KargaranMC1710.1109/ISCAS.2017.8050597https://doi.org/10.1109/ISCAS.2017.8050597https://dblp.org/rec/conf/iscas/KargaranMC17URL#2974657Eric Kauderer-AbramsKwabena Boahen 0001Calibrating silicon-synapse dynamics using Time-Encoding and Decoding Machines.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Kauderer-Abrams1710.1109/ISCAS.2017.8050931https://doi.org/10.1109/ISCAS.2017.8050931https://dblp.org/rec/conf/iscas/Kauderer-Abrams17URL#2974658Eric Kauderer-AbramsAndrew GilbertAaron VoelkerBen Varkey BenjaminTerrence C. StewartKwabena Boahen 0001A population-level approach to temperature robustness in neuromorphic systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Kauderer-Abrams17a10.1109/ISCAS.2017.8050985https://doi.org/10.1109/ISCAS.2017.8050985https://dblp.org/rec/conf/iscas/Kauderer-Abrams17aURL#2974659Shusuke KawaiToshiyuki YamagishiYosuke HagiwaraShigehito SaigusaIchiro SetoShoji OtakaShuichi ItoA 1024-QAM capable WLAN receiver with -56.3 dB image rejection ratio using self-calibration technique.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KawaiYHSSOI1710.1109/ISCAS.2017.8050600https://doi.org/10.1109/ISCAS.2017.8050600https://dblp.org/rec/conf/iscas/KawaiYHSSOI17URL#2974660Michael Peter KennedyHongjia MoDawei MaiNonlinearity-induced spurious tones and noise in digitally-assisted frequency synthesizers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KennedyMM1710.1109/ISCAS.2017.8050553https://doi.org/10.1109/ISCAS.2017.8050553https://dblp.org/rec/conf/iscas/KennedyMM17URL#2974661Shahrzad KeshavarzDaniel E. HolcombPrivacy leakages in approximate adders.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KeshavarzH1710.1109/ISCAS.2017.8050882https://doi.org/10.1109/ISCAS.2017.8050882https://dblp.org/rec/conf/iscas/KeshavarzH17URL#2974662Adam KhalifaSherry ChiuYasha KarimiMilutin StanacevicRalph Etienne-CummingsLive demonstration: A wirelessly powered highly miniaturized neural stimulator.ISCAS12017Conference and Workshop Papersclosedconf/iscas/KhalifaCKSE1710.1109/ISCAS.2017.8050716https://doi.org/10.1109/ISCAS.2017.8050716https://dblp.org/rec/conf/iscas/KhalifaCKSE17URL#2974663Adam KhalifaYasha KarimiQihong WangElliot GreenwaldSherry ChiuMilutin StanacevicNitish V. ThakorRalph Etienne-CummingsIn-vivo tests of an inductively powered miniaturized neural stimulator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KhalifaKWGCSTE1710.1109/ISCAS.2017.8050557https://doi.org/10.1109/ISCAS.2017.8050557https://dblp.org/rec/conf/iscas/KhalifaKWGCSTE17URL#2974664Mohammad Abu KhaterMahmoud AbdelfattahYu-Chiao WuWesley AllenDimitrios PeroulisTransient response enhancement of RF MEMS tuners using digital signal processing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KhaterAWAP1710.1109/ISCAS.2017.8050784https://doi.org/10.1109/ISCAS.2017.8050784https://dblp.org/rec/conf/iscas/KhaterAWAP17URL#2974665Mehdi Noormohammadi KhiarakSylvain MartelYves De KoninckBenoit GosselinA high-sensitivity CMOS biophotometry sensor with embedded continuous-time ΣΔ modulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KhiarakMKG1710.1109/ISCAS.2017.8050556https://doi.org/10.1109/ISCAS.2017.8050556https://dblp.org/rec/conf/iscas/KhiarakMKG17URL#2974666Takao KiharaHiroyuki YanoTsutomu YoshimuraDesign of cascaded integrator-comb decimation filters for direct-RF sampling receivers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KiharaYY1710.1109/ISCAS.2017.8050937https://doi.org/10.1109/ISCAS.2017.8050937https://dblp.org/rec/conf/iscas/KiharaYY17URL#2974667Minkyu Kim 0001Abinash MohantyDeepak KadetotadNaveen SudaLuning WeiPooja SaseendranXiaofei He 0001Yu Cao 0001Jae-sun SeoA real-time 17-scale object detection accelerator with adaptive 2000-stage classification in 65nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KimMKSWSHCS1710.1109/ISCAS.2017.8050798https://doi.org/10.1109/ISCAS.2017.8050798https://dblp.org/rec/conf/iscas/KimMKSWSHCS17URL#2974668Sihwan KimSahil ShahJennifer HaslerFloating-gate FPAA calibration for analog system design and built-in self test.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KimSH1710.1109/ISCAS.2017.8050334https://doi.org/10.1109/ISCAS.2017.8050334https://dblp.org/rec/conf/iscas/KimSH17URL#2974669Byung-Su KimHyo-Sig WonTae Hee HanJoon-Sung YangNon-linear library characterization method for FinFET logic cells by L1-minimization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KimWHY1710.1109/ISCAS.2017.8050429https://doi.org/10.1109/ISCAS.2017.8050429https://dblp.org/rec/conf/iscas/KimWHY17URL#2974670Yasutomo KinugasaTapio SaramäkiYoshio ItohNaoto SasaokaKazuki ShiogaiMasaki KobayashiModified subband adaptive notch filters for eliminating multiple sinusoids with reduced bias and faster convergence.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KinugasaSISSK1710.1109/ISCAS.2017.8050871https://doi.org/10.1109/ISCAS.2017.8050871https://dblp.org/rec/conf/iscas/KinugasaSISSK17URL#2974671George K. KnopfDogan SinarFlexible hydrogel actuated graphene-cellulose biosensor for monitoring pH.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KnopfS1710.1109/ISCAS.2017.8050613https://doi.org/10.1109/ISCAS.2017.8050613https://dblp.org/rec/conf/iscas/KnopfS17URL#2974672Namik KocamanMichael M. GreenAsychnronous sampling based hybrid equalizer.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KocamanG1710.1109/ISCAS.2017.8050375https://doi.org/10.1109/ISCAS.2017.8050375https://dblp.org/rec/conf/iscas/KocamanG17URL#2974673Seok-Tae KohSe-un ShinYu-Jin YangMinseong ChoiSeungchul JungGyu-Hyeong ChoA 5mW batteryless start-up boost charger for wireless power transfer.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KohSYCJC1710.1109/ISCAS.2017.8050746https://doi.org/10.1109/ISCAS.2017.8050746https://dblp.org/rec/conf/iscas/KohSYCJC17URL#2974674Siddharth KohliAlexander J. CassonTowards signal processing assisted hardware for continuous in-band electrode impedance monitoring (Invited paper).ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KohliC1710.1109/ISCAS.2017.8050348https://doi.org/10.1109/ISCAS.2017.8050348https://dblp.org/rec/conf/iscas/KohliC17URL#2974675Lech KolonkoJörg VeltenDaniel Wagner 0004Anton KummertFPGA implementation of 2-D wave digital filters for real time motion feature extraction.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KolonkoVWK1710.1109/ISCAS.2017.8050936https://doi.org/10.1109/ISCAS.2017.8050936https://dblp.org/rec/conf/iscas/KolonkoVWK17URL#2974676Manu KomalanSushil SakhareTrong Huynh BaoSiddharth RaoWoojin KimChristian TenlladoJosé Ignacio GómezGouri Sankar KarArnaud FurnémontFrancky CatthoorCross-layer design and analysis of a low power, high density STT-MRAM for embedded systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KomalanSBRKTGKF1710.1109/ISCAS.2017.8050923https://doi.org/10.1109/ISCAS.2017.8050923https://dblp.org/rec/conf/iscas/KomalanSBRKTGKF17URL#2974677Sri Harsha KondapalliXuan Zhang 0001Shantanu ChakrabarttyVariance-based digital logic for energy harvesting Internet-of-Things.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KondapalliZC1710.1109/ISCAS.2017.8050359https://doi.org/10.1109/ISCAS.2017.8050359https://dblp.org/rec/conf/iscas/KondapalliZC17URL#2974678Eugene KoskinDimitri GalaykoOrla FeelyElena BlokhinaSemianalytical model for high speed analysis of all-digital PLL clock-generating networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KoskinGFB1710.1109/ISCAS.2017.8050582https://doi.org/10.1109/ISCAS.2017.8050582https://dblp.org/rec/conf/iscas/KoskinGFB17URL#2974679Sandhya KoteshwaraAmitabh DasKeshab K. ParhiFPGA implementation and comparison of AES-GCM and Deoxys authenticated encryption schemes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KoteshwaraDP1710.1109/ISCAS.2017.8050315https://doi.org/10.1109/ISCAS.2017.8050315https://dblp.org/rec/conf/iscas/KoteshwaraDP17URL#2974680Sandhya KoteshwaraChris H. KimKeshab K. ParhiHierarchical functional obfuscation of integratec circuits using a mode-based approach.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KoteshwaraKP1710.1109/ISCAS.2017.8050319https://doi.org/10.1109/ISCAS.2017.8050319https://dblp.org/rec/conf/iscas/KoteshwaraKP17URL#2974681Shashikant KoulTimothy K. HoriuchiEffect of synaptic charge convergence on path planning over a neural network.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KoulH1710.1109/ISCAS.2017.8050982https://doi.org/10.1109/ISCAS.2017.8050982https://dblp.org/rec/conf/iscas/KoulH17URL#2974682Anoop KoyilyChen ZhouChris H. KimKeshab K. ParhiAn entropy test for determining whether a MUX PUF is linear or nonlinear.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KoyilyZKP1710.1109/ISCAS.2017.8050670https://doi.org/10.1109/ISCAS.2017.8050670https://dblp.org/rec/conf/iscas/KoyilyZKP17URL#2974683James R. KozloskiSynaptic integrators implement inhibitory plasticity, eliminate loops and create a "winnerless" Network.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Kozloski1710.1109/ISCAS.2017.8050979https://doi.org/10.1109/ISCAS.2017.8050979https://dblp.org/rec/conf/iscas/Kozloski17URL#2974684Gleb KrylovEby G. FriedmanTest point insertion for RSFQ circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KrylovF1710.1109/ISCAS.2017.8050794https://doi.org/10.1109/ISCAS.2017.8050794https://dblp.org/rec/conf/iscas/KrylovF17URL#2974685Adwaya KulkarniTahmid AbtahiColin SheaAmey M. KulkarniTinoosh MohseninPACENet: Energy efficient acceleration for convolutional network on embedded platform.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KulkarniASKM1710.1109/ISCAS.2017.8050342https://doi.org/10.1109/ISCAS.2017.8050342https://dblp.org/rec/conf/iscas/KulkarniASKM17URL#2974686Sumit KumarNagendra KrishnapuraOptimum scaling of stages in a frequency divider chain for best jitter FoM.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KumarK1710.1109/ISCAS.2017.8051001https://doi.org/10.1109/ISCAS.2017.8051001https://dblp.org/rec/conf/iscas/KumarK17URL#2974687Vinay KumarRakesh KumarDeepraj PatkarAjit S. BopardikarA method to identify dynamic zones for efficient control of HVAC systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KumarKPB1710.1109/ISCAS.2017.8050507https://doi.org/10.1109/ISCAS.2017.8050507https://dblp.org/rec/conf/iscas/KumarKPB17URL#2974688Somnath KunduChris H. KimA multi-phase VCO quantizer based adaptive digital LDO in 65nm CMOS technology.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KunduK1710.1109/ISCAS.2017.8050960https://doi.org/10.1109/ISCAS.2017.8050960https://dblp.org/rec/conf/iscas/KunduK17URL#2974689Ragh KuttappaLeo FilippiniScott LernerBaris TaskinStability of Rotary Traveling Wave Oscillators under process variations and NBTI.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/KuttappaFLT1710.1109/ISCAS.2017.8050435https://doi.org/10.1109/ISCAS.2017.8050435https://dblp.org/rec/conf/iscas/KuttappaFLT17URL#2974690Carson LabradoHimanshu ThapliyalFabrizio LombardiDesign of majority logic based approximate arithmetic circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LabradoTL1710.1109/ISCAS.2017.8050819https://doi.org/10.1109/ISCAS.2017.8050819https://dblp.org/rec/conf/iscas/LabradoTL17URL#2974691Salim LahmiriMounir BoukadoumAn accurate automatic system for distinguishing neuropathy and healthy electromyography signals.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LahmiriB1710.1109/ISCAS.2017.8050424https://doi.org/10.1109/ISCAS.2017.8050424https://dblp.org/rec/conf/iscas/LahmiriB17URL#2974692Mika LaihoMika GrönroosJussi H. PoikonenEero LehtonenReon KatsumuraAtsushi T.-FukuchiMasashi AritaYasuo TakahashiAssociative search using pseudo-analog memristors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LaihoGPLKTAT1710.1109/ISCAS.2017.8050986https://doi.org/10.1109/ISCAS.2017.8050986https://dblp.org/rec/conf/iscas/LaihoGPLKTAT17URL#2974693Sreekesh LakshminarayananKlaus HofmannA wideband RF power detector with -56 dB sensitivity and 64 dB dynamic range in SiGe BiCMOS technology.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Lakshminarayanan1710.1109/ISCAS.2017.8050666https://doi.org/10.1109/ISCAS.2017.8050666https://dblp.org/rec/conf/iscas/Lakshminarayanan17URL#2974694Benoit LarrasPaul CholletCyril LahuecFabrice SeguinMatthieu ArzelA 65-nm CMOS 7fJ per synaptic event clique-based neural network in scalable architecture.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LarrasCLSA1710.1109/ISCAS.2017.8050658https://doi.org/10.1109/ISCAS.2017.8050658https://dblp.org/rec/conf/iscas/LarrasCLSA17URL#2974695Kin Keung Jeff LauA 7μΑ 1.6ppm/ °C bandgap design realizable in CMOS process.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Lau1710.1109/ISCAS.2017.8050741https://doi.org/10.1109/ISCAS.2017.8050741https://dblp.org/rec/conf/iscas/Lau17URL#2974696Nhu Y. LeZhiping Lin 0001David B. H. TayLi Xu 0004Jiuwen CaoDesign of orthogonal filterbanks with rational coefficients using Grobner bases.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeLTXC1710.1109/ISCAS.2017.8050938https://doi.org/10.1109/ISCAS.2017.8050938https://dblp.org/rec/conf/iscas/LeLTXC17URL#2974697Khoa LeFakhreddine GhaffariDavid DeclercqBane VasicHardware optimization of the perturbation for probabilistic gradient descent bit flipping decoders.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeTrungGDV1710.1109/ISCAS.2017.8050695https://doi.org/10.1109/ISCAS.2017.8050695https://dblp.org/rec/conf/iscas/LeTrungGDV17URL#2974698Wai Lee3D machine vision in IoT for factory and building automation (Invited).ISCAS12017Conference and Workshop Papersclosedconf/iscas/Lee1710.1109/ISCAS.2017.8050234https://doi.org/10.1109/ISCAS.2017.8050234https://dblp.org/rec/conf/iscas/Lee17URL#2974699Edward K. F. LeeA rectifier/AC shunt regulator combo circuit with inherent AM demodulation front-end for wireless powered implants.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Lee17a10.1109/ISCAS.2017.8050363https://doi.org/10.1109/ISCAS.2017.8050363https://dblp.org/rec/conf/iscas/Lee17aURL#2974700Tsz-Kwan LeeYui-Lam ChanWan-Chi SiuDepth-projected determination for adaptive search range in motion estimation for HEVC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeeCS1710.1109/ISCAS.2017.8050261https://doi.org/10.1109/ISCAS.2017.8050261https://dblp.org/rec/conf/iscas/LeeCS17URL#2974701Jaehan LeeKyung-Rae KimChang-Su Kim 0001Subpixel rendering without color distortions for diamond-shaped PenTile displays.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeeKK1710.1109/ISCAS.2017.8050386https://doi.org/10.1109/ISCAS.2017.8050386https://dblp.org/rec/conf/iscas/LeeKK17URL#2974702Yueh-Ying LeePin-Hung KuoChia-Han LeeYen-Kuang ChenShao-Yi ChienDistributed video codec with spatiotemporal side information.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeeKLCC1710.1109/ISCAS.2017.8050299https://doi.org/10.1109/ISCAS.2017.8050299https://dblp.org/rec/conf/iscas/LeeKLCC17URL#2974703Lieuwe B. LeeneTimothy G. ConstandinouA 0.5V time-domain instrumentation circuit with clocked and unclocked ΔΣ operation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeeneC1710.1109/ISCAS.2017.8050956https://doi.org/10.1109/ISCAS.2017.8050956https://dblp.org/rec/conf/iscas/LeeneC17URL#2974704Ka-Meng LeiPui-In MakRui Paulo MartinsA 0.4V 4.8μW 16MHz CMOS crystal oscillator achieving 74-fold startup-time reduction using momentary detuning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeiMM1710.1109/ISCAS.2017.8051002https://doi.org/10.1109/ISCAS.2017.8051002https://dblp.org/rec/conf/iscas/LeiMM17URL#2974705Martina LeistnerSamantha WangRalph Etienne-CummingsFrank LayLouis BornZahra AlikhassyAli Karim AhmedJohn W. HarmonIontophoresis instrumentation for the enhancement of gene therapy in wound healing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LeistnerWELBAAH1710.1109/ISCAS.2017.8050729https://doi.org/10.1109/ISCAS.2017.8050729https://dblp.org/rec/conf/iscas/LeistnerWELBAAH17URL#2974706Rodrigo LellisRafael Iankowski SoaresAdão Antônio de Souza Jr.An energy-based attack flow for temporal misalignment coutermeasures on cryptosystems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LellisSS1710.1109/ISCAS.2017.8050844https://doi.org/10.1109/ISCAS.2017.8050844https://dblp.org/rec/conf/iscas/LellisSS17URL#2974707Juan A. Leñero-BardalloFernando Perez-PeñaRicardo Carmona-GalánÁngel Rodríguez-VázquezPipeline AER arbitration with event aging.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Lenero-Bardallo1710.1109/ISCAS.2017.8050977https://doi.org/10.1109/ISCAS.2017.8050977https://dblp.org/rec/conf/iscas/Lenero-Bardallo17URL#2974708Adrian LeuciucSampling time calibration method for multi-channel interleaved ADCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Leuciuc1710.1109/ISCAS.2017.8050247https://doi.org/10.1109/ISCAS.2017.8050247https://dblp.org/rec/conf/iscas/Leuciuc17URL#2974709Jinbo LiQun Jane GuA low-noise cartesian error feedback architecture.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiG1710.1109/ISCAS.2017.8050253https://doi.org/10.1109/ISCAS.2017.8050253https://dblp.org/rec/conf/iscas/LiG17URL#2974710Hao Li 0023Jie GuoZhigang WangHoujun WangAn efficient parallel resampling structure based on iterated short convolution algorithm.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiGWW1710.1109/ISCAS.2017.8050373https://doi.org/10.1109/ISCAS.2017.8050373https://dblp.org/rec/conf/iscas/LiGWW17URL#2974711Lijuan Li 0002Shuguo LiFast inversion in GF(2m) with polynomial basis using optimal addition chains.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiL1710.1109/ISCAS.2017.8050627https://doi.org/10.1109/ISCAS.2017.8050627https://dblp.org/rec/conf/iscas/LiL17URL#2974712Min Li 0006Dake LiuChen Gong 0003Wan QiaoA 3-coil simultaneous power and uplink data transmission inductive link for battery-less implantable devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiLGQ1710.1109/ISCAS.2017.8050362https://doi.org/10.1109/ISCAS.2017.8050362https://dblp.org/rec/conf/iscas/LiLGQ17URL#2974713Xiaojie LiCong LiXiang Li 0010Vaccinating SIS epidemics in networks with zero-determinant strategy.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiLL1710.1109/ISCAS.2017.8050858https://doi.org/10.1109/ISCAS.2017.8050858https://dblp.org/rec/conf/iscas/LiLL17URL#2974714Qin Li 0016Zheyu LiuFei QiaoXing Wu 0005Chaolun WangQi Wei 0001Huazhong YangFrom "MISSION: IMPOSSIBLE" to mission possible: Fully flexible intelligent contact lens for image classification with analog-to-information processing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiLQWWWY1710.1109/ISCAS.2017.8050607https://doi.org/10.1109/ISCAS.2017.8050607https://dblp.org/rec/conf/iscas/LiLQWWWY17URL#2974715Zhuo LiXingtong LiuXiang XieGuolin LiSongping MaiZhihua Wang 0001An optical tracker based registration method using feedback for robot-assisted insertion surgeries.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiLXLMW1710.1109/ISCAS.2017.8050420https://doi.org/10.1109/ISCAS.2017.8050420https://dblp.org/rec/conf/iscas/LiLXLMW17URL#2974716Dengrong LiLiji WuShuai Yuan 0005Xiangmin ZhangA 50Gb/s repeater and 2 × 50Gb/s 27-1 PRBS generator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiWYZ1710.1109/ISCAS.2017.8050971https://doi.org/10.1109/ISCAS.2017.8050971https://dblp.org/rec/conf/iscas/LiWYZ17URL#2974717Sen LiXiang XieGuolin LiZhihua Wang 0001Bare-finger Projector-Camera-Touchpad (PCT) HCI system using color structured light.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiXLW1710.1109/ISCAS.2017.8050814https://doi.org/10.1109/ISCAS.2017.8050814https://dblp.org/rec/conf/iscas/LiXLW17URL#2974718Qingjiang LiJinling XingZhaolin SunFei JingHui Xu 0010A compliance current circuit with nanosecond response time for ReRAM characterization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiXSJX1710.1109/ISCAS.2017.8050783https://doi.org/10.1109/ISCAS.2017.8050783https://dblp.org/rec/conf/iscas/LiXSJX17URL#2974719Rui LiYongxiang XiaChi K. TseOptimal resource allocation with node and link capacity constraints in complex networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiXT1710.1109/ISCAS.2017.8050861https://doi.org/10.1109/ISCAS.2017.8050861https://dblp.org/rec/conf/iscas/LiXT17URL#2974720Jiangyi LiTeng YangMingoo SeokA technique to transform 6T-SRAM arrays into robust analog PUF with minimal overhead.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiYS1710.1109/ISCAS.2017.8050630https://doi.org/10.1109/ISCAS.2017.8050630https://dblp.org/rec/conf/iscas/LiYS17URL#2974721Yunfeng LiangZhiping Lin 0001Lei Sun 0006Jiuwen CaoDocument image binarization via optimized hybrid thresholding.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiangLSC1710.1109/ISCAS.2017.8050993https://doi.org/10.1109/ISCAS.2017.8050993https://dblp.org/rec/conf/iscas/LiangLSC17URL#2974722James LimWeng-Geng HoKwen-Siong ChongBah-Hwee GweeDPA-resistant QDI dual-rail AES S-Box based on power-balanced weak-conditioned half-buffer.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LimHCG1710.1109/ISCAS.2017.8050749https://doi.org/10.1109/ISCAS.2017.8050749https://dblp.org/rec/conf/iscas/LimHCG17URL#2974723Li-Chi LinKuan-Yu ChenWen-Hau YangRu-Yu HuangKe-Horng ChenYing-Hsi LinShian-Ru LinTsung-Yen TsaiA digital reverse current self-calibration technique in 90% high efficiency rectified power supply for near field communication through magnetic field induction.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinCYHCLLT1710.1109/ISCAS.2017.8050866https://doi.org/10.1109/ISCAS.2017.8050866https://dblp.org/rec/conf/iscas/LinCYHCLLT17URL#2974724Jianfu LinHanjun JiangBaoyong ChiA 5-bit phase-interpolator-based fractional-N frequency divider for digital phase-locked loops.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinJC1710.1109/ISCAS.2017.8050351https://doi.org/10.1109/ISCAS.2017.8050351https://dblp.org/rec/conf/iscas/LinJC17URL#2974725Yu-Jin LinShuenn-Yuh LeeA microstimulator with parameter adjustment for bladder dysfunction.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinL1710.1109/ISCAS.2017.8050301https://doi.org/10.1109/ISCAS.2017.8050301https://dblp.org/rec/conf/iscas/LinL17URL#2974726Dongyun LinZhiping Lin 0001Lei Sun 0006Kar-Ann TohJiuwen CaoLLC encoded BoW features and softmax regression for microscopic image classification.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinLSTC1710.1109/ISCAS.2017.8050243https://doi.org/10.1109/ISCAS.2017.8050243https://dblp.org/rec/conf/iscas/LinLSTC17URL#2974727Shih-Ting LinYuan-Hsin LiaoYu Tsao 0001Shao-Yi ChienObject-based on-line video summarization for internet of video things.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinLTC1710.1109/ISCAS.2017.8050237https://doi.org/10.1109/ISCAS.2017.8050237https://dblp.org/rec/conf/iscas/LinLTC17URL#2974728Dongyun LinZhiping Lin 0001Ramraj VelmuruganRaimund J. OberAutomatic endosomal structure detection and localization in fluorescence microscopic images.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinLVO1710.1109/ISCAS.2017.8050242https://doi.org/10.1109/ISCAS.2017.8050242https://dblp.org/rec/conf/iscas/LinLVO17URL#2974729Longyang LinKien Trinh QuangMassimo AliotoTransistor sizing strategy for simultaneous energy-delay optimization in CMOS buffers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinQA1710.1109/ISCAS.2017.8050997https://doi.org/10.1109/ISCAS.2017.8050997https://dblp.org/rec/conf/iscas/LinQA17URL#2974730Yingyan LinCharbel SakrYongjune Kim 0001Naresh R. ShanbhagPredictiveNet: An energy-efficient convolutional neural network via zero prediction.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinSKS1710.1109/ISCAS.2017.8050797https://doi.org/10.1109/ISCAS.2017.8050797https://dblp.org/rec/conf/iscas/LinSKS17URL#2974731Jian-Qiang LinHo-Chun WuShing-Chow ChanA new regularized recursive dynamic factor analysis with variable forgetting factor for wireless sensor networks with missing data.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinWC1710.1109/ISCAS.2017.8050594https://doi.org/10.1109/ISCAS.2017.8050594https://dblp.org/rec/conf/iscas/LinWC17URL#2974732Hsin-Tzu LinYi-Chung WuPing-Hsuan HsiehChia-Hsiang YangIntegration of energy-recycling logic and wireless power transfer for ultra-low-power implantables.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LinWHY1710.1109/ISCAS.2017.8050378https://doi.org/10.1109/ISCAS.2017.8050378https://dblp.org/rec/conf/iscas/LinWHY17URL#2974733Qianqian LiuVictor AdrianBah-Hwee GweeJoseph S. ChangA class-E RF power amplifier with a novel matching network for high-efficiency dynamic load modulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuAGC1710.1109/ISCAS.2017.8050498https://doi.org/10.1109/ISCAS.2017.8050498https://dblp.org/rec/conf/iscas/LiuAGC17URL#2974734Yuntao Liu 0001Chongxi BaoYang XieAnkur Srivastava 0001Introducing TFUE: The trusted foundry and untrusted employee model in IC supply chain security.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuBXS1710.1109/ISCAS.2017.8050884https://doi.org/10.1109/ISCAS.2017.8050884https://dblp.org/rec/conf/iscas/LiuBXS17URL#2974735Zhiqiang LiuDegang Chen 0001A voltage reference generator targeted at extracting the silicon bandgap Vgo from Vbe.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuC1710.1109/ISCAS.2017.8050750https://doi.org/10.1109/ISCAS.2017.8050750https://dblp.org/rec/conf/iscas/LiuC17URL#2974736Rui Liu 0005Pai-Yu ChenShimeng YuDesign and optimization of a strong PUF exploiting sneak paths in resistive cross-point array.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuCY1710.1109/ISCAS.2017.8050792https://doi.org/10.1109/ISCAS.2017.8050792https://dblp.org/rec/conf/iscas/LiuCY17URL#2974737Min LiuTobi DelbrückBlock-matching optical flow for dynamic vision sensors: Algorithm and FPGA implementation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuD1710.1109/ISCAS.2017.8050295https://doi.org/10.1109/ISCAS.2017.8050295https://dblp.org/rec/conf/iscas/LiuD17URL#2974738Zhiqiang LiuNanqi LiuShravan K. ChagantiDegang Chen 0001Amitava MajumdarA digital clock-less pulse stretcher with application in deep sub-nanosecond pulse detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuLCCM1710.1109/ISCAS.2017.8050822https://doi.org/10.1109/ISCAS.2017.8050822https://dblp.org/rec/conf/iscas/LiuLCCM17URL#2974739Qinglai LiuYong Ching LimZhiping Lin 0001Xiaoping LaiDesign of IIR frequency-response masking filters with near linear phase using constrained optimization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuLLL1710.1109/ISCAS.2017.8050935https://doi.org/10.1109/ISCAS.2017.8050935https://dblp.org/rec/conf/iscas/LiuLLL17URL#2974740Maoqiang LiuArthur H. M. van RoermundPieter HarpeA 0.9V-VDD sub-nW resistor-less duty-cycled CMOS voltage reference in 65nm for IoT.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuRH1710.1109/ISCAS.2017.8050287https://doi.org/10.1109/ISCAS.2017.8050287https://dblp.org/rec/conf/iscas/LiuRH17URL#2974741Zhi-Song LiuWan-Chi SiuYui-Lam ChanFast image super-resolution via Randomized Multi-split Forests.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuSC1710.1109/ISCAS.2017.8050991https://doi.org/10.1109/ISCAS.2017.8050991https://dblp.org/rec/conf/iscas/LiuSC17URL#2974742Zexue LiuFan Yang 0077Haoyun JiangXiucheng HaoJunhua LiuHuailin LiaoAn 89 μW MICS/ISM band receiver for ultra-low-power applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuYJHLL1710.1109/ISCAS.2017.8050667https://doi.org/10.1109/ISCAS.2017.8050667https://dblp.org/rec/conf/iscas/LiuYJHLL17URL#2974743Chun-Yi Liu 0001Yu-Cheng YaoMeng-Siou SieEdmund Wen Jen LeongHenry Lopez DavilaChih-Wei JenShyh-Jye JouResidual sampling clocking offset estimation and compensation for FBMC-OQAM baseband receiver in the 60 GHz band.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuYSLDJJ1710.1109/ISCAS.2017.8050817https://doi.org/10.1109/ISCAS.2017.8050817https://dblp.org/rec/conf/iscas/LiuYSLDJJ17URL#2974744Chao Qun LiuYue ZhengChip-Hong ChangA new write-contention based dual-port SRAM PUF with multiple response bits per cell.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuZC1710.1109/ISCAS.2017.8050700https://doi.org/10.1109/ISCAS.2017.8050700https://dblp.org/rec/conf/iscas/LiuZC17URL#2974745Xilin LiuMilin Zhang 0001Xiaotie WuAndrew G. RichardsonSolymar T. MaldonadoSam DeLucciaYohannes GhenbotTimothy H. LucasJan Van der SpiegelA wireless neuroprosthetic for augmenting perception through modulated electrical stimulation of somatosensory cortex.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuZWRMDGLS1710.1109/ISCAS.2017.8050364https://doi.org/10.1109/ISCAS.2017.8050364https://dblp.org/rec/conf/iscas/LiuZWRMDGLS17URL#2974746Dong Liu 0012Xi Zhang 0007Choujun ZhanChi K. TseModeling of cascading failures in cyber-coupled power systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuZZT1710.1109/ISCAS.2017.8050860https://doi.org/10.1109/ISCAS.2017.8050860https://dblp.org/rec/conf/iscas/LiuZZT17URL#2974747Xilin LiuHongjie ZhuMilin Zhang 0001Xiaotie WuAndrew G. RichardsonSrihari Y. SritharanDengteng GeYang ShuTimothy H. LucasJan Van der SpiegelA fully integrated wireless sensor-brain interface system to restore finger sensation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LiuZZWRSGSLS1710.1109/ISCAS.2017.8050619https://doi.org/10.1109/ISCAS.2017.8050619https://dblp.org/rec/conf/iscas/LiuZZWRSGSLS17URL#2974748Shen-Li LoChing-Te ChiuSingle image super-resolution using hybrid patch search and local self-similarity.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LoC1710.1109/ISCAS.2017.8050765https://doi.org/10.1109/ISCAS.2017.8050765https://dblp.org/rec/conf/iscas/LoC17URL#2974749Matteo LodiAndrey ShilnikovMarco StoraceCEPAGE: A toolbox for Central Pattern Generator analysis.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LodiSS1710.1109/ISCAS.2017.8050580https://doi.org/10.1109/ISCAS.2017.8050580https://dblp.org/rec/conf/iscas/LodiSS17URL#2974750Wu-Sheng LuTakao HinamotoDesign of composite filters with equiripple passbands and least-squares stopbands.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuH1710.1109/ISCAS.2017.8050766https://doi.org/10.1109/ISCAS.2017.8050766https://dblp.org/rec/conf/iscas/LuH17URL#2974751Zelun LuWenxuan LiZhen Li 0004Xi Chen 0014Herbert H. C. IuNing DongXiangdong LiuAdaptive droop control with self-adjusted virtual impedance for three-phase inverter under unbalanced conditions.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuLLCLDL1710.1109/ISCAS.2017.8050928https://doi.org/10.1109/ISCAS.2017.8050928https://dblp.org/rec/conf/iscas/LuLLCLDL17URL#2974752Tao Lu 0001Lanlan PanHao WangYanduo ZhangBo WangZixiang XiongFace hallucination using deep collaborative representation for local and non-local patches.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuPWZWX1710.1109/ISCAS.2017.8050763https://doi.org/10.1109/ISCAS.2017.8050763https://dblp.org/rec/conf/iscas/LuPWZWX17URL#2974753Yuehai LuDong Yuan QiuBo Zhang 0011Yanfeng ChenYanwei JiangImplementation of power factor corrector with fractional capacitor.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuQZCJ1710.1109/ISCAS.2017.8050924https://doi.org/10.1109/ISCAS.2017.8050924https://dblp.org/rec/conf/iscas/LuQZCJ17URL#2974754Guangyi LuYuan Wang 0001Yize WangXing Zhang 0002Power-rail ESD clamp circuit with hybrid-detection enhanced triggering in a 65-nm, 1.2-V CMOS process.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuWWZ1710.1109/ISCAS.2017.8050381https://doi.org/10.1109/ISCAS.2017.8050381https://dblp.org/rec/conf/iscas/LuWWZ17URL#2974755Tianyi LuShouyi YinXianqing YaoZhicong XieLeibo LiuShaojun WeiMemory fartitioning-based modulo scheduling for high-level synthesis.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuYYXLW1710.1109/ISCAS.2017.8050969https://doi.org/10.1109/ISCAS.2017.8050969https://dblp.org/rec/conf/iscas/LuYYXLW17URL#2974756Michael LunglmayrBernhard HiptmairMario HuemerScaled linearized Bregman iterations for fixed point implementation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LunglmayrHH1710.1109/ISCAS.2017.8050534https://doi.org/10.1109/ISCAS.2017.8050534https://dblp.org/rec/conf/iscas/LunglmayrHH17URL#2974757Iulia-Alexandra LunguFederico CorradiTobi DelbrückLive demonstration: Convolutional neural network driven by dynamic vision sensor playing RoShamBo.ISCAS12017Conference and Workshop Papersclosedconf/iscas/LunguCD1710.1109/ISCAS.2017.8050403https://doi.org/10.1109/ISCAS.2017.8050403https://dblp.org/rec/conf/iscas/LunguCD17URL#2974758Yuxuan LuoChun-Huat HengA mixed-signal adaptive filter for level-crossing analog-to-digital converter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuoH1710.1109/ISCAS.2017.8050872https://doi.org/10.1109/ISCAS.2017.8050872https://dblp.org/rec/conf/iscas/LuoH17URL#2974759Yi Luo 0005Shahriar MirabbasiAlways-on CMOS image sensor pixel design for pixel-wise binary coded exposure.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuoM1710.1109/ISCAS.2017.8050545https://doi.org/10.1109/ISCAS.2017.8050545https://dblp.org/rec/conf/iscas/LuoM17URL#2974760Yingyi LuoSeda Ogrenci MemikJie GuCell-to-array thermal-aware analysis of stacked RRAM.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuoMG1710.1109/ISCAS.2017.8050966https://doi.org/10.1109/ISCAS.2017.8050966https://dblp.org/rec/conf/iscas/LuoMG17URL#2974761Hao LuoLiter SiekA 0.9-V input PWM DCM boost converter with low output ripples and fast load transient response based on a novel square-root voltage mode (SRVM) control approach.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuoS1710.1109/ISCAS.2017.8050653https://doi.org/10.1109/ISCAS.2017.8050653https://dblp.org/rec/conf/iscas/LuoS17URL#2974762Falei LuoShanshe WangSiwei MaNan Zhang 0015Yun ZhouWen Gao 0001Fast intra coding unit size decision for HEVC with GPU based keypoint detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/LuoWMZZG1710.1109/ISCAS.2017.8050260https://doi.org/10.1109/ISCAS.2017.8050260https://dblp.org/rec/conf/iscas/LuoWMZZG17URL#2974763Lin MaKuan Chuang KoayPak Kwong ChanA merged window comparator based relaxation oscillator with low temperature coefficient.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaKC1710.1109/ISCAS.2017.8050737https://doi.org/10.1109/ISCAS.2017.8050737https://dblp.org/rec/conf/iscas/MaKC17URL#2974764Yufei Ma 0002Minkyu Kim 0001Yu Cao 0001Sarma B. K. VrudhulaJae-sun SeoEnd-to-end scalable FPGA accelerator for deep residual networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaKCVS1710.1109/ISCAS.2017.8050344https://doi.org/10.1109/ISCAS.2017.8050344https://dblp.org/rec/conf/iscas/MaKCVS17URL#2974765John MacKayAhana GangopadhyayShantanu ChakrabarttyFPGA demonstration of spiking support vector networks based on growth transform neurons.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MacKayGC1710.1109/ISCAS.2017.8050401https://doi.org/10.1109/ISCAS.2017.8050401https://dblp.org/rec/conf/iscas/MacKayGC17URL#2974766Giuseppe MaceraPatrick CrowleyA new 1.8V fierce-gate crystal oscillator based on the constant cell in 28nm CMOS technology for automotive radar applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaceraC1710.1109/ISCAS.2017.8050736https://doi.org/10.1109/ISCAS.2017.8050736https://dblp.org/rec/conf/iscas/MaceraC17URL#2974767Siroos MadaniMagdy A. BayoumiFault tolerant techniques for TSV-based interconnects in 3-D ICs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MadaniB1710.1109/ISCAS.2017.8050944https://doi.org/10.1109/ISCAS.2017.8050944https://dblp.org/rec/conf/iscas/MadaniB17URL#2974768Hamidreza MaghamiPedram PayandehniaHossein MirzaieKartikeya MayaramRamin ZanbaghiTerri S. FiezA highly linear OTA-free VCO-based 1-1 MASH ΔΣ ADC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaghamiPMMZF1710.1109/ISCAS.2017.8050625https://doi.org/10.1109/ISCAS.2017.8050625https://dblp.org/rec/conf/iscas/MaghamiPMMZF17URL#2974769Esmaeel MaghsoudlooMasoud RezaeiBenoit GosselinA wirelessly powered high-speed transceiver for high-density bidirectional neural interfaces.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaghsoudlooRG1710.1109/ISCAS.2017.8050941https://doi.org/10.1109/ISCAS.2017.8050941https://dblp.org/rec/conf/iscas/MaghsoudlooRG17URL#2974770Mojtaba Mahdavi 0001Ove EdforsViktor ÖwallLiang Liu 0002A low latency and area efficient FFT processor for massive MIMO systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MahdaviEOL1710.1109/ISCAS.2017.8050692https://doi.org/10.1109/ISCAS.2017.8050692https://dblp.org/rec/conf/iscas/MahdaviEOL17URL#2974771Aref MajdaraSaeid NooshabadiEfficient data structures for density estimation for large high-dimensional data.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MajdaraN1710.1109/ISCAS.2017.8050592https://doi.org/10.1109/ISCAS.2017.8050592https://dblp.org/rec/conf/iscas/MajdaraN17URL#2974772Anamitra MakurTunable FIR digital filters using FIR approximation of spectral transformation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Makur1710.1109/ISCAS.2017.8050339https://doi.org/10.1109/ISCAS.2017.8050339https://dblp.org/rec/conf/iscas/Makur17URL#2974773Henrique S. MalvarTidbits on tunable analog filters and image demosaicing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Malvar1710.1109/ISCAS.2017.8050335https://doi.org/10.1109/ISCAS.2017.8050335https://dblp.org/rec/conf/iscas/Malvar17URL#2974774Pezhman MamdouhAlice C. ParkerA switched-capacitor dendritic arbor for low-power neuromorphic applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MamdouhP1710.1109/ISCAS.2017.8050806https://doi.org/10.1109/ISCAS.2017.8050806https://dblp.org/rec/conf/iscas/MamdouhP17URL#2974775Kuntal MandalAbdullah AbusorrahMohammed M. Al-HindawiYusuf Al-Turki 0001Abdelali El AroudiDamian GiaourisSoumitro BanerjeeControl-oriented design guidelines to extend the stability margin of switching converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MandalAAAAGB1710.1109/ISCAS.2017.8050578https://doi.org/10.1109/ISCAS.2017.8050578https://dblp.org/rec/conf/iscas/MandalAAAAGB17URL#2974776Mauro MangiaFabio PareschiRiccardo RovattiGianluca SettiCountering the false myth of democracy: Boosting compressed sensing performance with maximum-energy approach.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MangiaPRS1710.1109/ISCAS.2017.8050532https://doi.org/10.1109/ISCAS.2017.8050532https://dblp.org/rec/conf/iscas/MangiaPRS17URL#2974777Wei Mao 0002Yongfu Li 0002Chun-Huat HengYong Lian 0001Zero-bias true random number generator using LFSR-based scrambler.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaoLHL1710.1109/ISCAS.2017.8050474https://doi.org/10.1109/ISCAS.2017.8050474https://dblp.org/rec/conf/iscas/MaoLHL17URL#2974778Khawaja Qasim MaqboolDuona LuoGuang ZhuXingyun LuoHuichun YuC. Patrick YueEMI common-mode (CM) noise suppression from self-calibration of high-speed SST driver using on-chip process monitoring circuit.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaqboolLZLYY1710.1109/ISCAS.2017.8050879https://doi.org/10.1109/ISCAS.2017.8050879https://dblp.org/rec/conf/iscas/MaqboolLZLYY17URL#2974779Theodoros MarinakisAlexandros-Herodotos HaritatosKonstantinos NikasGeorgios I. GoumasIraklis AnagnostopoulosAn efficient and fair scheduling policy for multiprocessor platforms.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MarinakisHNGA1710.1109/ISCAS.2017.8050758https://doi.org/10.1109/ISCAS.2017.8050758https://dblp.org/rec/conf/iscas/MarinakisHNGA17URL#2974780Wagner dos Santos MarquesPaulo Silas Severo de SouzaArthur Francisco LorenzonAntonio Carlos Schneider BeckMateus Beck RutzigFábio Diniz RossiImproving EDP in multi-core embedded systems through multidimensional frequency scaling.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MarquesSLBRR1710.1109/ISCAS.2017.8050515https://doi.org/10.1109/ISCAS.2017.8050515https://dblp.org/rec/conf/iscas/MarquesSLBRR17URL#2974781Julien N. P. MartelLorenz K. MüllerStephen J. CareyPiotr DudekHigh-speed depth from focus on a programmable vision chip using a focus tunable lens.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MartelMCD1710.1109/ISCAS.2017.8050548https://doi.org/10.1109/ISCAS.2017.8050548https://dblp.org/rec/conf/iscas/MartelMCD17URL#2974782Julien N. P. MartelLorenz K. MüllerStephen J. CareyJonathan MüllerYulia SandamirskayaPiotr DudekLive demonstration: Depth from focus on a focal plane processor using a focus tunable liquid lens.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MartelMCMSD1710.1109/ISCAS.2017.8050715https://doi.org/10.1109/ISCAS.2017.8050715https://dblp.org/rec/conf/iscas/MartelMCMSD17URL#2974783Dimo MartevSven HampelUlf SchlichtmannMethodology for automated phase noise minimization in RF circuit interconnect trees.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MartevHS1710.1109/ISCAS.2017.8051013https://doi.org/10.1109/ISCAS.2017.8051013https://dblp.org/rec/conf/iscas/MartevHS17URL#2974784Poorna MarthiNazir HossainHuan Wang 0009Jean-François MillithalerMartin MargalaIgnacio Iñiguez-de-la-TorreJavier MateosTomás GonzálezA high performance Full Adder based on Ballistic Deflection Transistor technology.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MarthiHWMMIMG1710.1109/ISCAS.2017.8050782https://doi.org/10.1109/ISCAS.2017.8050782https://dblp.org/rec/conf/iscas/MarthiHWMMIMG17URL#2974785Pablo Martín-LloretAntonio Toro-FríasJavier Martín-MartínezRafael Castro-LópezElisenda RocaRosana RodríguezMontserrat NafríaFrancisco V. Fernández 0001A size-adaptive time-step algorithm for accurate simulation of aging in analog ICs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Martin-LloretTM1710.1109/ISCAS.2017.8050753https://doi.org/10.1109/ISCAS.2017.8050753https://dblp.org/rec/conf/iscas/Martin-LloretTM17URL#2974786Blanca Areli Martínez-TreviñoAbdelali El AroudiLuis Martínez-SalameroSliding-mode approach for start-up control and voltage regulation of a boost converter driving a constant power load.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Martinez-Trevino1710.1109/ISCAS.2017.8050516https://doi.org/10.1109/ISCAS.2017.8050516https://dblp.org/rec/conf/iscas/Martinez-Trevino17URL#2974787Isadora F. MartinsJosé Edil G. de MedeirosJosé A. A. de AndradeSandro A. P. HaddadA novel wavelet-based analog-to-digital converter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MartinsMAH1710.1109/ISCAS.2017.8050622https://doi.org/10.1109/ISCAS.2017.8050622https://dblp.org/rec/conf/iscas/MartinsMAH17URL#2974788Andre L. M. MartinsMarcelo RuaroAnderson C. Sant'AnaFernando Gehm MoraesRuntime energy management under real-time constraints in MPSoCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MartinsRSM1710.1109/ISCAS.2017.8050947https://doi.org/10.1109/ISCAS.2017.8050947https://dblp.org/rec/conf/iscas/MartinsRSM17URL#2974789Alexandre MasEric AndreCaroline Lelandais-PerraultFilipe Vinci dos SantosPhilippe BénabèsAnalog bandwidth mismatch compensation for time-interleaved ADCs using FD-SOI technology.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MasALSB1710.1109/ISCAS.2017.8050246https://doi.org/10.1109/ISCAS.2017.8050246https://dblp.org/rec/conf/iscas/MasALSB17URL#2974790Michal MaslikYan Liu 0016Tor Sverre LandeTimothy G. ConstandinouA charge-based ultra-low power continuous-time ADC for data driven neural spike processing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MaslikLLC1710.1109/ISCAS.2017.8050620https://doi.org/10.1109/ISCAS.2017.8050620https://dblp.org/rec/conf/iscas/MaslikLLC17URL#2974791Roberto de MatosRogerio PaludoNikolay I. ChervyakovPavel Alekseevich LyakhovHéctor PettenghiEfficient implementation of modular multiplication by constants applied to RNS reverse converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MatosPCLP1710.1109/ISCAS.2017.8050779https://doi.org/10.1109/ISCAS.2017.8050779https://dblp.org/rec/conf/iscas/MatosPCLP17URL#2974792Marcello De MatteisAntonio A. D'AmicoFulvio CiciottiAndrea BaschirottoClosed-loop continuous-time analog filter with almost constant IIP3 over the pass-band.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MatteisDCB1710.1109/ISCAS.2017.8050505https://doi.org/10.1109/ISCAS.2017.8050505https://dblp.org/rec/conf/iscas/MatteisDCB17URL#2974793John A. McNeillSulin LiJianping GongLong PhamFundamental limits on energy efficiency performance of VCO-based ADCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/McNeillLGP1710.1109/ISCAS.2017.8050481https://doi.org/10.1109/ISCAS.2017.8050481https://dblp.org/rec/conf/iscas/McNeillLGP17URL#2974794John A. McNeillDevdip SenYitzhak MendelsonMatthew CrivelloShamsur MazumderAmanda AgdeppaSyed Ali HusseinHyunsoo KimVictoria LoehleRaymond DunnKelli HickleWearable wireless sensor patch for continuous monitoring of skin temperature, pressure, and relative humidity.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/McNeillSMCMAHKL1710.1109/ISCAS.2017.8050898https://doi.org/10.1109/ISCAS.2017.8050898https://dblp.org/rec/conf/iscas/McNeillSMCMAHKL17URL#2974795Travis MeadeZheng Zhao 0003Shaojie ZhangDavid Z. PanYier JinRevisit sequential logic obfuscation: Attacks and defenses.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MeadeZZPJ1710.1109/ISCAS.2017.8050606https://doi.org/10.1109/ISCAS.2017.8050606https://dblp.org/rec/conf/iscas/MeadeZZPJ17URL#2974796José E. G. MedeirosSandro A. P. HaddadNonlinear quantizer design in data conversion systems using the unscented transform.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MedeirosH1710.1109/ISCAS.2017.8050478https://doi.org/10.1109/ISCAS.2017.8050478https://dblp.org/rec/conf/iscas/MedeirosH17URL#2974797Darshit MehtaEge AltanRishabh ChandakBaranidharan RamanShantanu ChakrabarttyBehaving cyborg locusts for standoff chemical sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MehtaACRC1710.1109/ISCAS.2017.8050610https://doi.org/10.1109/ISCAS.2017.8050610https://dblp.org/rec/conf/iscas/MehtaACRC17URL#2974798Darshit MehtaEge AltanRishabh ChandakBaranidharan RamanShantanu ChakrabarttyLive demonstration: Behaving cyborg locusts for standoff chemical sensing.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MehtaACRC17a10.1109/ISCAS.2017.8050717https://doi.org/10.1109/ISCAS.2017.8050717https://dblp.org/rec/conf/iscas/MehtaACRC17aURL#2974799Alexander MelzerMario HuemerAlexander OnicNovel mixed-signal based short-range leakage canceler for FMCW radar transceiver MMICs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MelzerHO1710.1109/ISCAS.2017.8050524https://doi.org/10.1109/ISCAS.2017.8050524https://dblp.org/rec/conf/iscas/MelzerHO17URL#2974800Ioannis MessarisSpyridon Nikolaidis 0001Alexandru SerbSpyros StathopoulosIsha GuptaAli KhiatThemistoklis ProdromakisLive demonstration: A TiO2 ReRAM parameter extraction method.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MessarisNSSGKP1710.1109/ISCAS.2017.8050398https://doi.org/10.1109/ISCAS.2017.8050398https://dblp.org/rec/conf/iscas/MessarisNSSGKP17URL#2974801Yun MiaoValencia Joyner KoomsonA silicon based fdNIRS system with integrated tDCS on chip for non-invasive closed-loop neuro stimulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MiaoK1710.1109/ISCAS.2017.8050618https://doi.org/10.1109/ISCAS.2017.8050618https://dblp.org/rec/conf/iscas/MiaoK17URL#2974802Moritz B. MildeAlexander DietmüllerHermann BlumGiacomo IndiveriYulia SandamirskayaObstacle avoidance and target acquisition in mobile robots equipped with neuromorphic sensory-processing systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MildeDBIS1710.1109/ISCAS.2017.8050984https://doi.org/10.1109/ISCAS.2017.8050984https://dblp.org/rec/conf/iscas/MildeDBIS17URL#2974803Shervin MinaeeYao Wang 0001Palmprint recognition using deep scattering network.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MinaeeW1710.1109/ISCAS.2017.8050421https://doi.org/10.1109/ISCAS.2017.8050421https://dblp.org/rec/conf/iscas/MinaeeW17URL#2974804Shervin MinaeeYao Wang 0001Subspace learning in the presence of sparse structured outliers and noise.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MinaeeW17a10.1109/ISCAS.2017.8050533https://doi.org/10.1109/ISCAS.2017.8050533https://dblp.org/rec/conf/iscas/MinaeeW17aURL#2974805Bradley A. MinchA CMOS differential-difference amplifier with class-AB input stages featuring wide differential-mode input range.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Minch1710.1109/ISCAS.2017.8050488https://doi.org/10.1109/ISCAS.2017.8050488https://dblp.org/rec/conf/iscas/Minch17URL#2974806Narasinga Rao MiniskarRaj Narayana GaddeYoung-chul Rams ChoSukjin KimFast cycle-accurate compile based simulator for reconfigurable processor.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MiniskarGCK1710.1109/ISCAS.2017.8050318https://doi.org/10.1109/ISCAS.2017.8050318https://dblp.org/rec/conf/iscas/MiniskarGCK17URL#2974807Debasish MitraDusan M. MilosevicSalvatore DragoJan van SinderenLucien J. BreemsImpact of amplifier bandwidth limitations on gain-boosted N-path receivers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MitraMDSB1710.1109/ISCAS.2017.8050601https://doi.org/10.1109/ISCAS.2017.8050601https://dblp.org/rec/conf/iscas/MitraMDSB17URL#2974808Diederik Paul MoeysCheng-Han LiJulien N. P. MartelSimeon A. BamfordLuca LonginottiVasyl MotsnyiDavid San Segundo BelloTobi DelbrückColor temporal contrast sensitivity in dynamic vision sensors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MoeysLMBLMBD1710.1109/ISCAS.2017.8050412https://doi.org/10.1109/ISCAS.2017.8050412https://dblp.org/rec/conf/iscas/MoeysLMBLMBD17URL#2974809Saqib MohamadChao WuJie YuanAmine BermakA power minimized 74 fJ/conversion-step 88.6 dB SNR incremental ΣΔ ADC with an asynchronous SAR quantizer.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MohamadWYB1710.1109/ISCAS.2017.8050248https://doi.org/10.1109/ISCAS.2017.8050248https://dblp.org/rec/conf/iscas/MohamadWYB17URL#2974810Anup MohanKent GauenYung-Hsiang LuWei Wayne LiXuemin ChenInternet of video things in 2030: A world with many cameras.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MohanGLLC1710.1109/ISCAS.2017.8050296https://doi.org/10.1109/ISCAS.2017.8050296https://dblp.org/rec/conf/iscas/MohanGLLC17URL#2974811Mohammad-Mahdi MohsenpourCarlos E. SaavedraHighly linear reconfigurable mixer designed for environment-aware receiver.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MohsenpourS1710.1109/ISCAS.2017.8050880https://doi.org/10.1109/ISCAS.2017.8050880https://dblp.org/rec/conf/iscas/MohsenpourS17URL#2974812Jamal Lottier MolinAdebayo EisapeRalph Etienne-CummingsLive demonstration: Event-based image processing on CMOS Mihalas-Niebur neuron array transceiver.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MolinEE1710.1109/ISCAS.2017.8050406https://doi.org/10.1109/ISCAS.2017.8050406https://dblp.org/rec/conf/iscas/MolinEE17URL#2974813Jamal Lottier MolinAdebayo EisapeChetan Singh ThakurVigil VargheseChristian BrandliRalph Etienne-CummingsLow-power, low-mismatch, highly-dense array of VLSI Mihalas-Niebur neurons.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MolinETVBE1710.1109/ISCAS.2017.8050933https://doi.org/10.1109/ISCAS.2017.8050933https://dblp.org/rec/conf/iscas/MolinETVBE17URL#2974814Jamal Lottier MolinJohn M. RattrayRalph Etienne-CummingsLive demonstration: FPGA neural array emulation for real-time, event-based simultaneous dewarping and filtering for aerial vehicles.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MolinRE1710.1109/ISCAS.2017.8050407https://doi.org/10.1109/ISCAS.2017.8050407https://dblp.org/rec/conf/iscas/MolinRE17URL#2974815Jamal Lottier MolinChristopher SimmonsGarrett NixonRalph Etienne-CummingsLive demonstration: Real-time, dynamic visual saliency computation in a VR environment seeing through the eyes of a mobile robot.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MolinSNE1710.1109/ISCAS.2017.8050720https://doi.org/10.1109/ISCAS.2017.8050720https://dblp.org/rec/conf/iscas/MolinSNE17URL#2974816John V. MonacoManuel M. VindiolaInteger factorization with a neuromorphic sieve.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MonacoV1710.1109/ISCAS.2017.8050978https://doi.org/10.1109/ISCAS.2017.8050978https://dblp.org/rec/conf/iscas/MonacoV17URL#2974817Somok MondalDrew A. HallAn ECG chopper amplifier achieving 0.92 NEF and 0.85 PEF with AC-coupled inverter-stacking for noise efficiency enhancement.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MondalH1710.1109/ISCAS.2017.8050957https://doi.org/10.1109/ISCAS.2017.8050957https://dblp.org/rec/conf/iscas/MondalH17URL#2974818Byron J. MontgomeryYifei Li 0001Nathan M. NeihartCommon-mode termination requirements in concurrent dual-band push-pull power amplifiers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MontgomeryLN1710.1109/ISCAS.2017.8050599https://doi.org/10.1109/ISCAS.2017.8050599https://dblp.org/rec/conf/iscas/MontgomeryLN17URL#2974819Conrad J. MoorePeikun WangAmir Masoud GharehbaghiMasahiro FujitaTest pattern generation for multiple stuck-at faults not covered by test patterns for single faults.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MooreWGF1710.1109/ISCAS.2017.8050913https://doi.org/10.1109/ISCAS.2017.8050913https://dblp.org/rec/conf/iscas/MooreWGF17URL#2974820Nicolas Moser 0001Chi Leng LeongYuanqi HuMartyn G. BoutellePantelis GeorgiouLive demonstration: Real-time chemical imaging of ionic solutions using an ISFET array.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MoserLHBG1710.1109/ISCAS.2017.8050722https://doi.org/10.1109/ISCAS.2017.8050722https://dblp.org/rec/conf/iscas/MoserLHBG17URL#2974821Nicolas Moser 0001Jesus Rodriguez-ManzanoLing-Shan YuMelpomeni KalofonouSara de MateoXiaoxiang LiTor Sverre LandeChristofer ToumazouPantelis GeorgiouLive demonstration: A CMOS-based ISFET array for rapid diagnosis of the Zika virus.ISCAS12017Conference and Workshop Papersclosedconf/iscas/MoserRYKMLLTG1710.1109/ISCAS.2017.8050721https://doi.org/10.1109/ISCAS.2017.8050721https://dblp.org/rec/conf/iscas/MoserRYKMLLTG17URL#2974822Tiffany MoyWarren Rieutort-LouisLiechao HuangSigurd WagnerJames C. SturmNaveen VermaInformation-processing-driven interfaces in hybrid large-area electronics systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MoyRHWSV1710.1109/ISCAS.2017.8050267https://doi.org/10.1109/ISCAS.2017.8050267https://dblp.org/rec/conf/iscas/MoyRHWSV17URL#2974823Seyed Nima MozaffariSpyros TragoudasThemistoklis HaniotakisReducing power, area, and delay of threshold logic gates considering non-integer weights.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MozaffariTH1710.1109/ISCAS.2017.8050380https://doi.org/10.1109/ISCAS.2017.8050380https://dblp.org/rec/conf/iscas/MozaffariTH17URL#2974824Jason MuhlesteinFarshad FarahbakhshianPraveen Kumar VenkatachalaUn-Ku MoonA multi-path ring amplifier with dynamic biasing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MuhlesteinFVM1710.1109/ISCAS.2017.8050677https://doi.org/10.1109/ISCAS.2017.8050677https://dblp.org/rec/conf/iscas/MuhlesteinFVM17URL#2974825Jayanta MukhopadhyayFiltering and enhancement of color images in the block DCT domain.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Mukhopadhyay1710.1109/ISCAS.2017.8050270https://doi.org/10.1109/ISCAS.2017.8050270https://dblp.org/rec/conf/iscas/Mukhopadhyay17URL#2974826Lorenz K. MüllerManu V. NairGiacomo IndiveriRandomized unregulated step descent for limited precision synaptic elements.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MullerNI1710.1109/ISCAS.2017.8050217https://doi.org/10.1109/ISCAS.2017.8050217https://dblp.org/rec/conf/iscas/MullerNI17URL#2974827Raga Lasya MunagalaU. K. VijayA novel 3-tap adaptive feed forward equalizer for high speed wireline receivers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/MunagalaV1710.1109/ISCAS.2017.8050225https://doi.org/10.1109/ISCAS.2017.8050225https://dblp.org/rec/conf/iscas/MunagalaV17URL#2974828Yuya NakagawaHirotaka KoizumiA hybrid nine-level inverter with series/parallel conversion.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NakagawaK1710.1109/ISCAS.2017.8050652https://doi.org/10.1109/ISCAS.2017.8050652https://dblp.org/rec/conf/iscas/NakagawaK17URL#2974829Shoaleh Hashemi NaminAnkit MehtaParham Hosseinzadeh NaminRashid RashidzadehMajid AhmadiA secure test solution for sensor nodes containing crypto-cores.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NaminMNRA1710.1109/ISCAS.2017.8050885https://doi.org/10.1109/ISCAS.2017.8050885https://dblp.org/rec/conf/iscas/NaminMNRA17URL#2974830Pritish NarayananLucas L. SanchesAlessandro FumarolaRobert M. ShelbyStefano AmbrogioJun-Woo JangHyunsang HwangYusuf LeblebiciGeoffrey W. BurrReducing circuit design complexity for neuromorphic machine learning systems based on Non-Volatile Memory arrays.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NarayananSFSAJH1710.1109/ISCAS.2017.8050988https://doi.org/10.1109/ISCAS.2017.8050988https://dblp.org/rec/conf/iscas/NarayananSFSAJH17URL#2974831Shusuke NariedaComputational complexity reduction for signal cyclostationarity detection based spectrum sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Narieda1710.1109/ISCAS.2017.8050564https://doi.org/10.1109/ISCAS.2017.8050564https://dblp.org/rec/conf/iscas/Narieda17URL#2974832Bayan NasriSunit P. SebastianKae-Dyi YouRamKumar RanjithKumarDavood ShahrjerdiA 700 μW 1GS/s 4-bit folding-flash ADC in 65nm CMOS for wideband wireless communications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NasriSYRS1710.1109/ISCAS.2017.8050624https://doi.org/10.1109/ISCAS.2017.8050624https://dblp.org/rec/conf/iscas/NasriSYRS17URL#2974833Mahesh NatarajAlexandre LevisseBastien GiraudJean-Philippe NoëlPascal Andreas MeinerzhagenJean-Michel PortalPierre-Emmanuel GaillardonDesign methodology for area and energy efficient OxRAM-based non-volatile flip-flop.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NatarajLGNMPG1710.1109/ISCAS.2017.8050759https://doi.org/10.1109/ISCAS.2017.8050759https://dblp.org/rec/conf/iscas/NatarajLGNMPG17URL#2974834Aishwarya NatarajanJennifer HaslerUsing SoC FPAA and integrated simulator for implementation of circuits and systems in education.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NatarajanH1710.1109/ISCAS.2017.8050518https://doi.org/10.1109/ISCAS.2017.8050518https://dblp.org/rec/conf/iscas/NatarajanH17URL#2974835Sebastien NausIoulia TzouvadakiPierre-Emmanuel GaillardonArmando BiscontiniGiovanni De MicheliSandro CarraraAn efficient electronic measurement interface for memristive biosensors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NausTGBMC1710.1109/ISCAS.2017.8050685https://doi.org/10.1109/ISCAS.2017.8050685https://dblp.org/rec/conf/iscas/NausTGBMC17URL#2974836Mir Mohammad NavidiDavid W. GrahamA regulated charge pump for injecting floating-gate transistors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NavidiG1710.1109/ISCAS.2017.8050856https://doi.org/10.1109/ISCAS.2017.8050856https://dblp.org/rec/conf/iscas/NavidiG17URL#2974837Mir Mohammad NavidiDavid W. GrahamBrandon RumbergBelow-ground injection of floating-gate transistors for programmable analog circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NavidiGR1710.1109/ISCAS.2017.8050352https://doi.org/10.1109/ISCAS.2017.8050352https://dblp.org/rec/conf/iscas/NavidiGR17URL#2974838Emre NeftciCharles AugustineSomnath PaulGeorgios DetorakisEvent-driven random backpropagation: Enabling neuromorphic deep learning machines.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NeftciAPD1710.1109/ISCAS.2017.8050529https://doi.org/10.1109/ISCAS.2017.8050529https://dblp.org/rec/conf/iscas/NeftciAPD17URL#2974839Alessandro Neri 0001Federica BattistiFederico ColangeloMarco CarliUnsupervised video orchestration based on aesthetic features.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NeriBCC1710.1109/ISCAS.2017.8050337https://doi.org/10.1109/ISCAS.2017.8050337https://dblp.org/rec/conf/iscas/NeriBCC17URL#2974840Sebastian NesslerMaximilian Marx 0002Yiannos ManoliA self-test on wafer level for a MEM gyroscope readout based on ΔΣ modulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NesslerMM1710.1109/ISCAS.2017.8050332https://doi.org/10.1109/ISCAS.2017.8050332https://dblp.org/rec/conf/iscas/NesslerMM17URL#2974841Robert W. NewcombReminiscence: 60 Years of teaching within 84 years of life.ISCAS12017Conference and Workshop Papersclosedconf/iscas/Newcomb1710.1109/ISCAS.2017.8050521https://doi.org/10.1109/ISCAS.2017.8050521https://dblp.org/rec/conf/iscas/Newcomb17URL#2974842Tse Nga Tina NgPrinted organic TFT sensor tags.ISCAS1-32017Conference and Workshop Papersclosedconf/iscas/Ng1710.1109/ISCAS.2017.8050680https://doi.org/10.1109/ISCAS.2017.8050680https://dblp.org/rec/conf/iscas/Ng17URL#2974843Waichiu NgJie YuanA 1-8 Gb/s optical wireless communication dual-mode receiver.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NgY1710.1109/ISCAS.2017.8050452https://doi.org/10.1109/ISCAS.2017.8050452https://dblp.org/rec/conf/iscas/NgY17URL#2974844Xuan-Thuan NguyenHong-Thu NguyenKatsumi InoueOsamu ShimojoCong-Kha PhamHighly parallel bitmap-based regular expression matching for text analytics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NguyenNISP1710.1109/ISCAS.2017.8050968https://doi.org/10.1109/ISCAS.2017.8050968https://dblp.org/rec/conf/iscas/NguyenNISP17URL#2974845Yun NiJie Chen 0026Lap-Pui ChauReflection removal based on single light field capture.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NiCC1710.1109/ISCAS.2017.8050813https://doi.org/10.1109/ISCAS.2017.8050813https://dblp.org/rec/conf/iscas/NiCC17URL#2974846Guanghan NingZhi ZhangChen HuangXiaobo RenHaohong WangCanhui CaiZhihai HeSpatially supervised recurrent convolutional neural networks for visual object tracking.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NingZHRWCH1710.1109/ISCAS.2017.8050867https://doi.org/10.1109/ISCAS.2017.8050867https://dblp.org/rec/conf/iscas/NingZHRWCH17URL#2974847Michael W. NonteJoseph K. ConroyPeter GadfortWilliam D. HairstonOnline adaptive data acquisition enabling ultra-low power real-world EEG.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NonteCGH1710.1109/ISCAS.2017.8050347https://doi.org/10.1109/ISCAS.2017.8050347https://dblp.org/rec/conf/iscas/NonteCGH17URL#2974848Fereidoon Hashemi NoshahrMohamad SawanA compact and low power bandpass amplifier for low bandwidth signal applications in 65-nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NoshahrS1710.1109/ISCAS.2017.8050492https://doi.org/10.1109/ISCAS.2017.8050492https://dblp.org/rec/conf/iscas/NoshahrS17URL#2974849Juan Núñez 0002Maria J. AvedilloExploring logic architectures suitable for TFETs devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/NunezA1710.1109/ISCAS.2017.8050781https://doi.org/10.1109/ISCAS.2017.8050781https://dblp.org/rec/conf/iscas/NunezA17URL#2974850Uwadiae ObahiagbonJoseph T. SmithHany ArafaDixie E. KullmanJennifer Blain ChristenLive demonstration: A highly sensitive and quantitative fluorescence sensing platform, for disease diagnosis.ISCAS12017Conference and Workshop Papersclosedconf/iscas/ObahiagbonSAKC1710.1109/ISCAS.2017.8050723https://doi.org/10.1109/ISCAS.2017.8050723https://dblp.org/rec/conf/iscas/ObahiagbonSAKC17URL#2974851Fabian OliveraAntonio PetragliaAnalytic modeling of static noise margin considering DIBL and body bias effects.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/OliveraP1710.1109/ISCAS.2017.8050353https://doi.org/10.1109/ISCAS.2017.8050353https://dblp.org/rec/conf/iscas/OliveraP17URL#2974852Olufemi Akindele OlumodejiMassimo GottardiA pulse-based memristor programming circuit.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/OlumodejiG1710.1109/ISCAS.2017.8050793https://doi.org/10.1109/ISCAS.2017.8050793https://dblp.org/rec/conf/iscas/OlumodejiG17URL#2974853Luke OsbornHarrison NguyenRahul R. KalikiNitish V. ThakorLive demonstration: Prosthesis grip force modulation using neuromorphic tactile sensing.ISCAS12017Conference and Workshop Papersclosedconf/iscas/OsbornNKT1710.1109/ISCAS.2017.8050718https://doi.org/10.1109/ISCAS.2017.8050718https://dblp.org/rec/conf/iscas/OsbornNKT17URL#2974854Ari PaasioLocal memory and logic arrangement for ultra-low power array processors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Paasio1710.1109/ISCAS.2017.8050224https://doi.org/10.1109/ISCAS.2017.8050224https://dblp.org/rec/conf/iscas/Paasio17URL#2974855Chandrajit PalDwaipayan BiswasKoushik MaharatnaAmlan ChakrabartiArchitecture for complex network measures of brain connectivity.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PalBMC1710.1109/ISCAS.2017.8050239https://doi.org/10.1109/ISCAS.2017.8050239https://dblp.org/rec/conf/iscas/PalBMC17URL#2974856Jofre PallaresKeith SabineLluís TerésFrancisco Serra-GraellsAn academic EDA suite for the full-custom design of mixed-mode integrated circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PallaresSTS1710.1109/ISCAS.2017.8050519https://doi.org/10.1109/ISCAS.2017.8050519https://dblp.org/rec/conf/iscas/PallaresSTS17URL#2974857Ali Akbar PammuKwen-Siong ChongBah-Hwee GweeHighly secured state-shift local clock circuit to countermeasure against side channel attack.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PammuCG1710.1109/ISCAS.2017.8050845https://doi.org/10.1109/ISCAS.2017.8050845https://dblp.org/rec/conf/iscas/PammuCG17URL#2974858Sining PanKofi A. A. MakinwaOptimum synchronous phase detection and its application in smart sensor interfaces.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PanM1710.1109/ISCAS.2017.8050417https://doi.org/10.1109/ISCAS.2017.8050417https://dblp.org/rec/conf/iscas/PanM17URL#2974859Jeevan K. PantSridhar Krishnan 0001Two-pass ℓp-regularized least-squares algorithm for compressive sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PantK1710.1109/ISCAS.2017.8050535https://doi.org/10.1109/ISCAS.2017.8050535https://dblp.org/rec/conf/iscas/PantK17URL#2974860Ioannis A. PapistasVasilis F. PavlidisContactless inter-tier communication for heterogeneous 3-D ICs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PapistasP1710.1109/ISCAS.2017.8050946https://doi.org/10.1109/ISCAS.2017.8050946https://dblp.org/rec/conf/iscas/PapistasP17URL#2974861Keshab K. ParhiAnalysis of stochastic logic circuits in unipolar, bipolar and hybrid formats.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Parhi1710.1109/ISCAS.2017.8050568https://doi.org/10.1109/ISCAS.2017.8050568https://dblp.org/rec/conf/iscas/Parhi17URL#2974862Jaeyoung ParkArea-efficient STT/CMOS non-volatile flip-flop.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Park1710.1109/ISCAS.2017.8050697https://doi.org/10.1109/ISCAS.2017.8050697https://dblp.org/rec/conf/iscas/Park17URL#2974863Johannes PartzschSebastian HöppnerMatthias EberleinRené SchüffnyChristian Mayr 0001David R. LesterSteve B. FurberA fixed point exponential function accelerator for a neuromorphic many-core system.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PartzschHESMLF1710.1109/ISCAS.2017.8050528https://doi.org/10.1109/ISCAS.2017.8050528https://dblp.org/rec/conf/iscas/PartzschHESMLF17URL#2974864Farhana ParveenShaahin AngiziZhezhi HeDeliang FanHybrid polymorphic logic gate using 6 terminal magnetic domain wall motion device.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ParveenAHF1710.1109/ISCAS.2017.8050921https://doi.org/10.1109/ISCAS.2017.8050921https://dblp.org/rec/conf/iscas/ParveenAHF17URL#2974865Shanthi PavanOn linear periodically time varying (LPTV) systems with modulated inputs, and their application to smoothing filters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Pavan1710.1109/ISCAS.2017.8050952https://doi.org/10.1109/ISCAS.2017.8050952https://dblp.org/rec/conf/iscas/Pavan17URL#2974866Reza PazhouhandehOmid ShoaeiRoman GenovTwo-electrode impedance-sensing cardiac rhythm monitor for charge-aware shock delivery in cardiac arrest.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PazhouhandehSG1710.1109/ISCAS.2017.8050953https://doi.org/10.1109/ISCAS.2017.8050953https://dblp.org/rec/conf/iscas/PazhouhandehSG17URL#2974867Nikolaos G. PechlivanidisKonstantinos I. PapadimitriouDaniel EvansNikolaos VasilakisThemistoklis ProdromakisTowards a smartphone-aided electronic ELISA for real-time electrochemical monitoring.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PechlivanidisPE1710.1109/ISCAS.2017.8050616https://doi.org/10.1109/ISCAS.2017.8050616https://dblp.org/rec/conf/iscas/PechlivanidisPE17URL#2974868Bruno U. PedroniSadique SheikGert CauwenberghsPipelined parallel contrastive divergence for continuous generative model learning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PedroniSC1710.1109/ISCAS.2017.8050273https://doi.org/10.1109/ISCAS.2017.8050273https://dblp.org/rec/conf/iscas/PedroniSC17URL#2974869Qi PengKun WangXuelian LiuWeifeng Liu 0003Xiaoming LiYiqi ZhuangA low power read-out circuit with frequency accuracy of 0.2% for capacitive and resistive sensors.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PengWLLLZ1710.1109/ISCAS.2017.8050473https://doi.org/10.1109/ISCAS.2017.8050473https://dblp.org/rec/conf/iscas/PengWLLLZ17URL#2974870Nuno Pereira 0002Hugo SerraJoão GoesA two-step radio receiver architecture fully embedded into a charge-sharing SAR ADC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PereiraSG1710.1109/ISCAS.2017.8050563https://doi.org/10.1109/ISCAS.2017.8050563https://dblp.org/rec/conf/iscas/PereiraSG17URL#2974871Jorge Pérez-BailónAlejandro MárquezBelén CalvoNicolás J. Medrano-MarquésTransient-enhanced output-capacitorless CMOS LDO regulator for battery-operated systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Perez-BailonMCM1710.1109/ISCAS.2017.8050961https://doi.org/10.1109/ISCAS.2017.8050961https://dblp.org/rec/conf/iscas/Perez-BailonMCM17URL#2974872Fernando Perez-PeñaJuan Antonio Leñero-BardalloAlejandro Linares-BarrancoElisabetta ChiccaTowards bioinspired close-loop local motor control: A simulated approach supporting neuromorphic implementations.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Perez-PenaLLC1710.1109/ISCAS.2017.8050808https://doi.org/10.1109/ISCAS.2017.8050808https://dblp.org/rec/conf/iscas/Perez-PenaLLC17URL#2974873José-Cruz Nuñez PérezEdgar Allende-ChavezJosé Ricardo Cárdenas-ValdezEsteban Tlelo-CuautleCoefficient extraction for MPM using LSE, ORLS and SLS applied to RF-PA modeling.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PerezACT1710.1109/ISCAS.2017.8050649https://doi.org/10.1109/ISCAS.2017.8050649https://dblp.org/rec/conf/iscas/PerezACT17URL#2974874Antonio PetragliaRoberto CamposJosé Gabriel Rodríguez Carneiro GomesMariane R. PetragliaPipeline tracking and event classification for an automatic inspection vision system.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PetragliaCGP1710.1109/ISCAS.2017.8050761https://doi.org/10.1109/ISCAS.2017.8050761https://dblp.org/rec/conf/iscas/PetragliaCGP17URL#2974875Antonio PetragliaMariane R. PetragliaManoel C. A. PerezSecond-order analog filter sections with independently tunable center frequency and bandwidth.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PetragliaPP1710.1109/ISCAS.2017.8050336https://doi.org/10.1109/ISCAS.2017.8050336https://dblp.org/rec/conf/iscas/PetragliaPP17URL#2974876Mihai A. PetroviciSebastian SchmittJohann KlähnRobert D. St. LouisAnna SchroederGuillaume BellecJohannes BillOliver BreitwieserIlja BytschokAndreas GrüblMaurice GüttlerAndreas HartelStephan Hartmann 0002Dan Husmann de OliveiraKai HusmannSebastian JeltschVitali KarasenkoMitja KleiderChristoph KokeAlexander KononovChristian MauchEric Müller 0001Paul Müller 0002Johannes PartzschThomas PfeilStefan SchieferStefan ScholzeAnand SubramoneyVasilis N. ThanasoulisBernhard VoggingerRobert LegensteinWolfgang Maass 0001René SchüffnyChristian Mayr 0001Johannes SchemmelKarlheinz MeierPattern representation and recognition with accelerated analog neuromorphic systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PetroviciSKLSBB1710.1109/ISCAS.2017.8050530https://doi.org/10.1109/ISCAS.2017.8050530https://dblp.org/rec/conf/iscas/PetroviciSKLSBB17URL#2974877Oto PeturaUgo MuredduNathalie BochardViktor FischerOptimization of the PLL based TRNG design using the genetic algorithm.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PeturaMBF1710.1109/ISCAS.2017.8050839https://doi.org/10.1109/ISCAS.2017.8050839https://dblp.org/rec/conf/iscas/PeturaMBF17URL#2974878Gaël PillonnetHervé FanetSamer HouriAdiabatic capacitive logic: A paradigm for low-power logic.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PillonnetFH1710.1109/ISCAS.2017.8050996https://doi.org/10.1109/ISCAS.2017.8050996https://dblp.org/rec/conf/iscas/PillonnetFH17URL#2974879Michail PligouroudisKonstantinos I. PapadimitriouDaniel EvansThemistoklis ProdromakisA dual switched-capacitor integrator architecture for versatile, real-time amperometric biosensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PligouroudisPEP1710.1109/ISCAS.2017.8050728https://doi.org/10.1109/ISCAS.2017.8050728https://dblp.org/rec/conf/iscas/PligouroudisPEP17URL#2974880Jussi H. PoikonenMika LaihoA mixed-mode array computing architecture for online dictionary learning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PoikonenL1710.1109/ISCAS.2017.8050589https://doi.org/10.1109/ISCAS.2017.8050589https://dblp.org/rec/conf/iscas/PoikonenL17URL#2974881Michael PoppWolfgang MathisMalte JohnOlga KorolovaAxel MertensBernd PonickA modified CCM approach for simulating hierarchical interconnected dynamical systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PoppMJKMP1710.1109/ISCAS.2017.8050579https://doi.org/10.1109/ISCAS.2017.8050579https://dblp.org/rec/conf/iscas/PoppMJKMP17URL#2974882Miodrag PotkonjakGang Qu 0001Farinaz KoushanfarChip-Hong Chang20 Years of research on intellectual property protection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PotkonjakQKC1710.1109/ISCAS.2017.8050602https://doi.org/10.1109/ISCAS.2017.8050602https://dblp.org/rec/conf/iscas/PotkonjakQKC17URL#2974883Rajiv Damodaran PrabhaGabriel A. Rincón-MoraHow to design battery-assisted photovoltaic switched-inductor CMOS charger-supplies.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PrabhaR1710.1109/ISCAS.2017.8050863https://doi.org/10.1109/ISCAS.2017.8050863https://dblp.org/rec/conf/iscas/PrabhaR17URL#2974884N. Prasad 0001Rajit KarmakarSantanu ChattopadhyayIndrajit ChakrabartiRuntime mitigation of illegal packet request attacks in Networks-on-Chip.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PrasadKCC1710.1109/ISCAS.2017.8050633https://doi.org/10.1109/ISCAS.2017.8050633https://dblp.org/rec/conf/iscas/PrasadKCC17URL#2974885Mika PulkkinenJarno SalomaaMohammad Mehdi MoayerTuomas HaapalaKari Halonen462-nW 2-axis gesture sensor interface based on capacitively controlled ring oscillators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PulkkinenSMHH1710.1109/ISCAS.2017.8051005https://doi.org/10.1109/ISCAS.2017.8051005https://dblp.org/rec/conf/iscas/PulkkinenSMHH17URL#2974886Meera PunjiyaHojatollah Rezaei NejadPooria MostafaluSameer SonkusalepH sensing threads with CMOS readout for Smart Bandages.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/PunjiyaNMS1710.1109/ISCAS.2017.8050730https://doi.org/10.1109/ISCAS.2017.8050730https://dblp.org/rec/conf/iscas/PunjiyaNMS17URL#2974887Fengyu QianYanping GongLei Wang 0003A memristor based image sensor exploiting compressive measurement for low-power video streaming.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/QianGW1710.1109/ISCAS.2017.8050795https://doi.org/10.1109/ISCAS.2017.8050795https://dblp.org/rec/conf/iscas/QianGW17URL#2974888Antônio Carlos M. de QueirozLuiz Carlos Macedo de Oliveira FilhoLive demonstration: Unipolar symmetrical variable-capacitance generators for energy harvesting.ISCAS12017Conference and Workshop Papersclosedconf/iscas/QueirozF1710.1109/ISCAS.2017.8050712https://doi.org/10.1109/ISCAS.2017.8050712https://dblp.org/rec/conf/iscas/QueirozF17URL#2974889Salah RabbaYifeng HeMatthew J. KyanLing GuanPupil localization for gaze estimation using unsupervised graph-based model.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RabbaHKG1710.1109/ISCAS.2017.8050660https://doi.org/10.1109/ISCAS.2017.8050660https://dblp.org/rec/conf/iscas/RabbaHKG17URL#2974890Rahul ThottathilVeeresh Babu VulligaddalaBibhudatta Sahoo 0002CMOS mixed signal SoC for low-side current sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RahulVS1710.1109/ISCAS.2017.8050496https://doi.org/10.1109/ISCAS.2017.8050496https://dblp.org/rec/conf/iscas/RahulVS17URL#2974891Jeyavijayan (JV) RajendranAn overview of hardware intellectual property protection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Rajendran1710.1109/ISCAS.2017.8050883https://doi.org/10.1109/ISCAS.2017.8050883https://dblp.org/rec/conf/iscas/Rajendran17URL#2974892Chithira RaviDiego JamesVineeth SarmaBibhudatta Sahoo 0002Amol InamdarThermal noise canceling pipelined ADC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RaviJSSI1710.1109/ISCAS.2017.8050626https://doi.org/10.1109/ISCAS.2017.8050626https://dblp.org/rec/conf/iscas/RaviJSSI17URL#2974893Phillip A. RegaliaOn secure communications without eavesdropper channel state.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Regalia1710.1109/ISCAS.2017.8050271https://doi.org/10.1109/ISCAS.2017.8050271https://dblp.org/rec/conf/iscas/Regalia17URL#2974894Md Farhadur RezaDan Zhao 0001Magdy A. BayoumiDark silicon-power-thermal aware runtime mapping and configuration in heterogeneous many-core NoC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RezaZB1710.1109/ISCAS.2017.8050254https://doi.org/10.1109/ISCAS.2017.8050254https://dblp.org/rec/conf/iscas/RezaZB17URL#2974895Charbel G. RizkFrancisco TejadaJohn HughesDavid BarbehennPhilippe O. PouliquenAndreas G. AndreouCharacterization of RTN noise in the analog front-end of digital pixel imagers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RizkTHBPA1710.1109/ISCAS.2017.8050294https://doi.org/10.1109/ISCAS.2017.8050294https://dblp.org/rec/conf/iscas/RizkTHBPA17URL#2974896Raffaele De RoseMarco LanuzzaFelice CrupiGiulio SiracusanoRiccardo TomaselloGiovanni FinocchioMario CarpentieriMassimo AliotoA variation-aware simulation framework for hybrid CMOS/spintronic circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RoseLCSTFCA1710.1109/ISCAS.2017.8050920https://doi.org/10.1109/ISCAS.2017.8050920https://dblp.org/rec/conf/iscas/RoseLCSTFCA17URL#2974897Juliano B. RosinhaSérgio J. M. de AlmeidaJosé C. M. BermudezA new kernel Kalman filter algorithm for estimating time-varying nonlinear systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RosinhaAB1710.1109/ISCAS.2017.8050875https://doi.org/10.1109/ISCAS.2017.8050875https://dblp.org/rec/conf/iscas/RosinhaAB17URL#2974898Bita Darvish RouhaniAzalia MirhoseiniFarinaz KoushanfarTinyDL: Just-in-time deep learning solution for constrained embedded systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RouhaniMK1710.1109/ISCAS.2017.8050343https://doi.org/10.1109/ISCAS.2017.8050343https://dblp.org/rec/conf/iscas/RouhaniMK17URL#2974899Samprajani RoutWouter A. SerdijnStructured electronic design of high-pass ΣΔ converters and their application to cardiac signal acquisition.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RoutS1710.1109/ISCAS.2017.8050897https://doi.org/10.1109/ISCAS.2017.8050897https://dblp.org/rec/conf/iscas/RoutS17URL#2974900Marcelo RuaroFernando Gehm MoraesDemystifying the cost of task migration in distributed memory many-core systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RuaroM1710.1109/ISCAS.2017.8050257https://doi.org/10.1109/ISCAS.2017.8050257https://dblp.org/rec/conf/iscas/RuaroM17URL#2974901Luis E. Rueda G.Marco BalliniNick Van HelleputteSrinjoy MitraAnalysis of passive charge balancing for safe current-mode neural stimulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RuedaBHM1710.1109/ISCAS.2017.8050621https://doi.org/10.1109/ISCAS.2017.8050621https://dblp.org/rec/conf/iscas/RuedaBHM17URL#2974902Marcel RungeFriedel GerfersA digital compensation method canceling static and non-linear time-variant feedback DAC errors in ΣΔ analog-to-digital converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/RungeG1710.1109/ISCAS.2017.8050485https://doi.org/10.1109/ISCAS.2017.8050485https://dblp.org/rec/conf/iscas/RungeG17URL#2974903Mohamed Saad 0002Elisenda Bou-BalustEduard AlarcónSwitch-mode gyrator-based emulated inductor enabling self-tunability in WPT receivers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SaadBA1710.1109/ISCAS.2017.8050853https://doi.org/10.1109/ISCAS.2017.8050853https://dblp.org/rec/conf/iscas/SaadBA17URL#2974904Mehdi SadiSukeshwar KannanLuke EnglandMark M. TehranipoorDesign of a digital IP for 3D-IC die-to-die clock synchronization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SadiKET1710.1109/ISCAS.2017.8050431https://doi.org/10.1109/ISCAS.2017.8050431https://dblp.org/rec/conf/iscas/SadiKET17URL#2974905Hamidreza SadreazamiAmir AsifArash Mohammadi 0001Data-adaptive color image denoising and enhancement using graph-based filtering.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SadreazamiAM1710.1109/ISCAS.2017.8050992https://doi.org/10.1109/ISCAS.2017.8050992https://dblp.org/rec/conf/iscas/SadreazamiAM17URL#2974906Zina SahebEzz I. El-MasryJean-Francois BousquetA 69-Mbps dual tuning 8PSK/QPSK transmitter using injection locking and RF phase modulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SahebEB1710.1109/ISCAS.2017.8051004https://doi.org/10.1109/ISCAS.2017.8051004https://dblp.org/rec/conf/iscas/SahebEB17URL#2974907Bibhu Datta Sahoo 0002Ring oscillator based sub-1V leaky integrate-and-fire neuron circuit.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Sahoo1710.1109/ISCAS.2017.8050980https://doi.org/10.1109/ISCAS.2017.8050980https://dblp.org/rec/conf/iscas/Sahoo17URL#2974908Gaole SaiBasel HalakMark ZwolinskiA cost-efficient delay-fault monitor.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SaiHZ1710.1109/ISCAS.2017.8050830https://doi.org/10.1109/ISCAS.2017.8050830https://dblp.org/rec/conf/iscas/SaiHZ17URL#2974909Soheil SalehiRonald F. DeMaraProcess variation immune and energy aware sense amplifiers for resistive non-volatile memories.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SalehiD1710.1109/ISCAS.2017.8050788https://doi.org/10.1109/ISCAS.2017.8050788https://dblp.org/rec/conf/iscas/SalehiD17URL#2974910Armia SalibBarry CardiffMark F. FlanaganA low-complexity correlation-based time skew estimation technique for time-interleaved SAR ADCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SalibCF1710.1109/ISCAS.2017.8050309https://doi.org/10.1109/ISCAS.2017.8050309https://dblp.org/rec/conf/iscas/SalibCF17URL#2974911Arunkumar SalimathGiovanni GonanoEdoardo BonizzoniDavide Luigi BrambillaEdoardo BottiFranco MalobertiA high-speed level shifting technique and its application in high-voltage, synchronous DC-DC converters with quasi-ZVS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SalimathGBBBM1710.1109/ISCAS.2017.8050654https://doi.org/10.1109/ISCAS.2017.8050654https://dblp.org/rec/conf/iscas/SalimathGBBBM17URL#2974912Llewyn SaltGiacomo IndiveriYulia SandamirskayaObstacle avoidance with LGMD neuron: Towards a neuromorphic UAV implementation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SaltIS1710.1109/ISCAS.2017.8050976https://doi.org/10.1109/ISCAS.2017.8050976https://dblp.org/rec/conf/iscas/SaltIS17URL#2974913Ahmed SammoudAshok Kumar 0001Magdy A. BayoumiTarek A. ElarabiReal-time streaming challenges in Internet of Video Things (IoVT).ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SammoudKBE1710.1109/ISCAS.2017.8050815https://doi.org/10.1109/ISCAS.2017.8050815https://dblp.org/rec/conf/iscas/SammoudKBE17URL#2974914Gustavo SanchezLuciano Volcan AgostiniCésar A. M. MarconComplexity reduction by modes reduction in RD-list for intra-frame prediction in 3D-HEVC depth maps.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SanchezAM1710.1109/ISCAS.2017.8050461https://doi.org/10.1109/ISCAS.2017.8050461https://dblp.org/rec/conf/iscas/SanchezAM17URL#2974915Atresh SanneSaungeun ParkRudresh GhoshMaruthi N. YogeeshChison LiuDeji AkinwandeSanjay Kumar BanerjeeLeo MathewRajesh RaoRecord fT, fmax, and GHz amplification in 2dimensional CVD MoS2 embedded gate fets.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SannePGYLABMR1710.1109/ISCAS.2017.8051016https://doi.org/10.1109/ISCAS.2017.8051016https://dblp.org/rec/conf/iscas/SannePGYLABMR17URL#2974916Ideh SarbisheiShervin VakiliJ. M. Pierre LangloisYvon SavariaScalable memory-less architecture for string matching with FPGAs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SarbisheiVLS1710.1109/ISCAS.2017.8050818https://doi.org/10.1109/ISCAS.2017.8050818https://dblp.org/rec/conf/iscas/SarbisheiVLS17URL#2974917Quentin SauveDamien FavreGabriel Morin-LaporteMohammad Taherzadeh-SaniNicolas ConstantinFrederic NabkiA calibration-free 13-bit 0.9 V differential SAR-ADC with hybrid DAC and dithering.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SauveFMTCN1710.1109/ISCAS.2017.8050308https://doi.org/10.1109/ISCAS.2017.8050308https://dblp.org/rec/conf/iscas/SauveFMTCN17URL#2974918Maximilian SchaferRudolf RabensteinChristian StroblA multidimensional transfer function model for frequency dependent transmission lines.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SchaferRS1710.1109/ISCAS.2017.8050506https://doi.org/10.1109/ISCAS.2017.8050506https://dblp.org/rec/conf/iscas/SchaferRS17URL#2974919Laszlo SchäfferZoltán Nagy 0001Zoltán KincsesRichárd FiáthFPGA-based neural probe positioning to improve spike sorting with OSort algorithm.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SchafferNKF1710.1109/ISCAS.2017.8050608https://doi.org/10.1109/ISCAS.2017.8050608https://dblp.org/rec/conf/iscas/SchafferNKF17URL#2974920Brittany ScheidShantanu ChakrabarttyFeasibility of hybrid ultrasound-electrical nerve stimulation for electroceuticals.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ScheidC1710.1109/ISCAS.2017.8050555https://doi.org/10.1109/ISCAS.2017.8050555https://dblp.org/rec/conf/iscas/ScheidC17URL#2974921Joseph A. SchmitzMahir Kabeer GharzaiSina BalkirMichael W. HoffmanMark BauerA low-power 10-bit multichannel analyzer chip for radiation detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SchmitzGBHB1710.1109/ISCAS.2017.8051007https://doi.org/10.1109/ISCAS.2017.8051007https://dblp.org/rec/conf/iscas/SchmitzGBHB17URL#2974922Dean ScribnerThomas PettyPeter MuiNeuromorphic readout integrated circuits and related spike-based image processing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ScribnerPM1710.1109/ISCAS.2017.8050293https://doi.org/10.1109/ISCAS.2017.8050293https://dblp.org/rec/conf/iscas/ScribnerPM17URL#2974923Lucia SeminaraMarta FranceschiLuigi PinnaAli IbrahimMaurizio ValleStrahinja DosenDario FarinaElectronic skin and electrocutaneous stimulation to restore the sense of touch in hand prosthetics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SeminaraFPIVDF1710.1109/ISCAS.2017.8050264https://doi.org/10.1109/ISCAS.2017.8050264https://dblp.org/rec/conf/iscas/SeminaraFPIVDF17URL#2974924Mohammad S. Eslampanah SendiSiavash KananianElaheh ZendehrouhMohammad SharifkhaniAmir M. SodagarMahdi ShabanyA low-power temperature-compensated CMOS peaking current reference in subthreshold region.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SendiKZSSS1710.1109/ISCAS.2017.8050744https://doi.org/10.1109/ISCAS.2017.8050744https://dblp.org/rec/conf/iscas/SendiKZSSS17URL#2974925Bathiya SenevirathnaSheung LuPamela AbshireCharacterization of a high dynamic range lab-on-CMOS capacitance sensor array.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SenevirathnaLA1710.1109/ISCAS.2017.8050735https://doi.org/10.1109/ISCAS.2017.8050735https://dblp.org/rec/conf/iscas/SenevirathnaLA17URL#2974926Alexander SerbChristos PapavassiliouThemistoklis ProdromakisA memristor-CMOS hybrid architecture concept for on-line template matching.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SerbPP1710.1109/ISCAS.2017.8050964https://doi.org/10.1109/ISCAS.2017.8050964https://dblp.org/rec/conf/iscas/SerbPP17URL#2974927Hugo SerraJoão Pedro Oliveira 0003Nuno Paulino 0002A 50 Hz SC notch filter for IoT applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SerraOP1710.1109/ISCAS.2017.8050904https://doi.org/10.1109/ISCAS.2017.8050904https://dblp.org/rec/conf/iscas/SerraOP17URL#2974928Josimar SfreddoRafael Fao de MouraMichael Guilherme JordanJeckson Dellagostin SouzaAntonio Carlos Schneider BeckMateus Beck RutzigA framework to automatically generate heterogeneous organization reconfigurable multiprocessing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SfreddoMJSBR1710.1109/ISCAS.2017.8050438https://doi.org/10.1109/ISCAS.2017.8050438https://dblp.org/rec/conf/iscas/SfreddoMJSBR17URL#2974929Maryam ShafieeSule OzevReceiver echo cancellation with real-time self calibration for passive implanted neuron recorders.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShafieeO1710.1109/ISCAS.2017.8050426https://doi.org/10.1109/ISCAS.2017.8050426https://dblp.org/rec/conf/iscas/ShafieeO17URL#2974930Sahil ShahJennifer HaslerLow power speech detector on a FPAA.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShahH1710.1109/ISCAS.2017.8050755https://doi.org/10.1109/ISCAS.2017.8050755https://dblp.org/rec/conf/iscas/ShahH17URL#2974931Shahriar ShahabuddinMarkku J. JunttiChristoph StuderADMM-based infinity norm detection for large MU-MIMO: Algorithm and VLSI architecture.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShahabuddinJS1710.1109/ISCAS.2017.8050311https://doi.org/10.1109/ISCAS.2017.8050311https://dblp.org/rec/conf/iscas/ShahabuddinJS17URL#2974932Mohammad ShahradMahdi ShabanySymmetric split-row LDPC decoders.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShahradS1710.1109/ISCAS.2017.8050909https://doi.org/10.1109/ISCAS.2017.8050909https://dblp.org/rec/conf/iscas/ShahradS17URL#2974933Amirhossein ShahshahaniDavood Raeisi NafchiZeljko ZilicUltrasound sensors and its application in human heart rate monitoring.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShahshahaniNZ1710.1109/ISCAS.2017.8050899https://doi.org/10.1109/ISCAS.2017.8050899https://dblp.org/rec/conf/iscas/ShahshahaniNZ17URL#2974934Ahmed H. ShaltoutStefano GregoriDesign trade-offs of integrated polygonal inductors for DC-DC power converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShaltoutG1710.1109/ISCAS.2017.8050655https://doi.org/10.1109/ISCAS.2017.8050655https://dblp.org/rec/conf/iscas/ShaltoutG17URL#2974935Fazel SharifiHimanshu ThapliyalEnergy-efficient magnetic circuits based on nanoelectronic devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SharifiT1710.1109/ISCAS.2017.8050919https://doi.org/10.1109/ISCAS.2017.8050919https://dblp.org/rec/conf/iscas/SharifiT17URL#2974936Prateek Kumar SharmaNagarjuna NallamA widely tunable balun based on 2-port N-path bandpass filters with embedded phase shifting.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SharmaN1710.1109/ISCAS.2017.8050500https://doi.org/10.1109/ISCAS.2017.8050500https://dblp.org/rec/conf/iscas/SharmaN17URL#2974937Prateek Kumar SharmaNagarjuna NallamA transformer-less duplexer with out-of-band filtering for same-channel full-duplex radios.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SharmaN17a10.1109/ISCAS.2017.8050668https://doi.org/10.1109/ISCAS.2017.8050668https://dblp.org/rec/conf/iscas/SharmaN17aURL#2974938Boyu ShenSoumya BoseMatthew L. JohnstonOn-chip high-voltage SPAD bias generation using a dual-mode, closed-loop charge pump.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShenBJ1710.1109/ISCAS.2017.8050855https://doi.org/10.1109/ISCAS.2017.8050855https://dblp.org/rec/conf/iscas/ShenBJ17URL#2974939Haihua ShenYuehui ZhaoHTChecker: Detecting hardware trojans based on static characteristics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShenZ1710.1109/ISCAS.2017.8050674https://doi.org/10.1109/ISCAS.2017.8050674https://dblp.org/rec/conf/iscas/ShenZ17URL#2974940Sameh SherifYehya H. GhallabHamdy AbdelhamidYehea IsmailDielectric analysis of changes in electric properties of leukemic cells through travelling and negative dielectrophoresis with 2-D electrodes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SherifGAI1710.1109/ISCAS.2017.8050732https://doi.org/10.1109/ISCAS.2017.8050732https://dblp.org/rec/conf/iscas/SherifGAI17URL#2974941Seung-Hun ShinPil-Ho LeeJin-Woo ParkYu-Jeong HwangYoung-Chan Jang0.5 kHz-32 MHz digital fractional-N frequency synthesizer with burst-frequency switch.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShinLPHJ1710.1109/ISCAS.2017.8050286https://doi.org/10.1109/ISCAS.2017.8050286https://dblp.org/rec/conf/iscas/ShinLPHJ17URL#2974942Ryo ShiraiJin KonoTetsuya HiroseMasanori HashimotoNear-field dual-use antenna for magnetic-field based communication and electrical-field based distance sensing in mm3-class sensor node.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShiraiKHH1710.1109/ISCAS.2017.8050251https://doi.org/10.1109/ISCAS.2017.8050251https://dblp.org/rec/conf/iscas/ShiraiKHH17URL#2974943Vahideh ShirmohammadliAlireza SaberkariHerminio Martínez-GarciaEduard Alarcón-CotLow power output-capacitorless class-AB CMOS LDO regulator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShirmohammadliS1710.1109/ISCAS.2017.8050958https://doi.org/10.1109/ISCAS.2017.8050958https://dblp.org/rec/conf/iscas/ShirmohammadliS17URL#2974944Gholamreza ShomalnasabLihong ZhangAnalog layout density uniformity improvement using interconnect widening and dummy fill insertion.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShomalnasabZ1710.1109/ISCAS.2017.8050745https://doi.org/10.1109/ISCAS.2017.8050745https://dblp.org/rec/conf/iscas/ShomalnasabZ17URL#2974945Abdulhadi ShoufanAbdulla AlnaqbiAn intrinsic complexity model for the problem of total resistance determination.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShoufanA1710.1109/ISCAS.2017.8050517https://doi.org/10.1109/ISCAS.2017.8050517https://dblp.org/rec/conf/iscas/ShoufanA17URL#2974946Kai-Ting ShrChieh-Yu ChenJin-Wei JhangYuan-Hao HuangPower-aware space-time-trellis-coded MIMO detector with SNR estimation and state-purging.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShrCJH1710.1109/ISCAS.2017.8050310https://doi.org/10.1109/ISCAS.2017.8050310https://dblp.org/rec/conf/iscas/ShrCJH17URL#2974947Chang ShuHongsheng LiuFanruo MengOptimizing deep neural network structure for face recognition.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ShuLM1710.1109/ISCAS.2017.8050275https://doi.org/10.1109/ISCAS.2017.8050275https://dblp.org/rec/conf/iscas/ShuLM17URL#2974948Shreya SinghPydi BahubalindruniJoão GoesA robust fully-dynamic residue amplifier for two-stage SAR assisted pipeline ADCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SinghBG1710.1109/ISCAS.2017.8050490https://doi.org/10.1109/ISCAS.2017.8050490https://dblp.org/rec/conf/iscas/SinghBG17URL#2974949Ali SkafJean SimaticLaurent FesquetSeeking low-power synchronous/asynchronous systems: A FIR implementation case study.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SkafSF1710.1109/ISCAS.2017.8050379https://doi.org/10.1109/ISCAS.2017.8050379https://dblp.org/rec/conf/iscas/SkafSF17URL#2974950Gustavo H. SmaniottoRegis ZanandreaMaicon Schneider CardosoRenato Souza de SouzaMatheus T. MoreiraFelipe S. Marques 0001Leomar S. da Rosa Jr.Post-processing of supergate networks aiming cell layout optimization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SmaniottoZCSMMR1710.1109/ISCAS.2017.8050570https://doi.org/10.1109/ISCAS.2017.8050570https://dblp.org/rec/conf/iscas/SmaniottoZCSMMR17URL#2974951Mathias SoekenPierre-Emmanuel GaillardonGiovanni De MicheliRM3 based logic synthesis (Special session paper).ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SoekenGM1710.1109/ISCAS.2017.8050223https://doi.org/10.1109/ISCAS.2017.8050223https://dblp.org/rec/conf/iscas/SoekenGM17URL#2974952Christopher SoellJuergen RoeberHeinrich MilosiuRobert WeigelAmelie HagelauerArea-efficient fully integrated dual-band class-E/F power amplifier with switchable output power for a BPSK/OOK transmitter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SoellRMWH1710.1109/ISCAS.2017.8050676https://doi.org/10.1109/ISCAS.2017.8050676https://dblp.org/rec/conf/iscas/SoellRMWH17URL#2974953Mazen SolimanShih-Chang HungJeyanandh ParameshA four-antenna baseband multipath emulator for millimeter-wave channels.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SolimanHP1710.1109/ISCAS.2017.8050472https://doi.org/10.1109/ISCAS.2017.8050472https://dblp.org/rec/conf/iscas/SolimanHP17URL#2974954Haochuan SongShunqing ZhangXiaohu You 0001Chuan Zhang 0001Efficient metric sorting schemes for successive cancellation list decoding of polar codes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SongZYZ1710.1109/ISCAS.2017.8050906https://doi.org/10.1109/ISCAS.2017.8050906https://dblp.org/rec/conf/iscas/SongZYZ17URL#2974955Paul P. SotiriadisCharis BasetasSingle-bit all digital frequency synthesis with homodyne sigma-delta modulation for Internet of Things applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SotiriadisB1710.1109/ISCAS.2017.8050552https://doi.org/10.1109/ISCAS.2017.8050552https://dblp.org/rec/conf/iscas/SotiriadisB17URL#2974956Miguel Angelo de Abreu de SousaEmilio Del-Moral-HernandezComparison of three FPGA architectures for embedded multidimensional categorization through Kohonen's self-organizing maps.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SousaD1710.1109/ISCAS.2017.8050799https://doi.org/10.1109/ISCAS.2017.8050799https://dblp.org/rec/conf/iscas/SousaD17URL#2974957Narayan SrinivasaImplications of a spontaneously active ground state for computing with brain-inspired circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Srinivasa1710.1109/ISCAS.2017.8050929https://doi.org/10.1109/ISCAS.2017.8050929https://dblp.org/rec/conf/iscas/Srinivasa17URL#2974958François StasDavid BolIntegration of level shifting in a TSPC flip-flop for low-power robust timing closure in dual-Vdd ULV circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/StasB1710.1109/ISCAS.2017.8050571https://doi.org/10.1109/ISCAS.2017.8050571https://dblp.org/rec/conf/iscas/StasB17URL#2974959François StasDavid BolA 0.4V 0.08fJ/cycle retentive True-Single-Phase-Clock 18T Flip-Flop in 28nm FDSOI CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/StasB17a10.1109/ISCAS.2017.8050999https://doi.org/10.1109/ISCAS.2017.8050999https://dblp.org/rec/conf/iscas/StasB17aURL#2974960David G. StorkThomas VogelsangJames TringaliPatrick R. GillMark KellamEvan EricksonReducing electrical power dissipation in computational imaging systems through special-purpose optics.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/StorkVTGKE1710.1109/ISCAS.2017.8050292https://doi.org/10.1109/ISCAS.2017.8050292https://dblp.org/rec/conf/iscas/StorkVTGKE17URL#2974961Zhan SuHossein NooriFa Foster DaiWei ZhouYudong WangJun FuOffset based feedforward amplifier with nonlinearity compensation and P1dB expansion.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SuNDZWF1710.1109/ISCAS.2017.8050489https://doi.org/10.1109/ISCAS.2017.8050489https://dblp.org/rec/conf/iscas/SuNDZWF17URL#2974962Amr SuleimanYu-Hsin ChenJoel S. EmerVivienne SzeTowards closing the energy gap between HOG and CNN features for embedded vision (Invited paper).ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SuleimanCES1710.1109/ISCAS.2017.8050341https://doi.org/10.1109/ISCAS.2017.8050341https://dblp.org/rec/conf/iscas/SuleimanCES17URL#2974963Yin SunVictor AdrianJoseph S. ChangA novel high-rate hybrid window ADC design for monolithic digitally-controlled DC-DC converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SunAC1710.1109/ISCAS.2017.8050487https://doi.org/10.1109/ISCAS.2017.8050487https://dblp.org/rec/conf/iscas/SunAC17URL#2974964Heming SunZhengxue ChengAmir Masoud GharehbaghiShinji KimuraMasahiro FujitaA low-cost approximate 32-point transform architecture.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SunCGKF1710.1109/ISCAS.2017.8050263https://doi.org/10.1109/ISCAS.2017.8050263https://dblp.org/rec/conf/iscas/SunCGKF17URL#2974965Dapeng SunMan-Kay LawBo Wang 0012Pui-In MakRui Paulo MartinsPiecewise BJT process spread compensation exploiting base recombination current.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SunLWMM1710.1109/ISCAS.2017.8050475https://doi.org/10.1109/ISCAS.2017.8050475https://dblp.org/rec/conf/iscas/SunLWMM17URL#2974966Rongdi SunPeilin LiuJun Wang 0137Zunquan ZhouA low latency feature extraction accelerator with reduced internal memory.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SunLWZ1710.1109/ISCAS.2017.8050258https://doi.org/10.1109/ISCAS.2017.8050258https://dblp.org/rec/conf/iscas/SunLWZ17URL#2974967Yuchong SunRyoko SuganoXiuqin WeiTakashi HikiharaHiroo SekiyaHigh-speed driver for SiC MOSFET based on class-E inverter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SunSWHS1710.1109/ISCAS.2017.8050851https://doi.org/10.1109/ISCAS.2017.8050851https://dblp.org/rec/conf/iscas/SunSWHS17URL#2974968Wenyu SunQinghang ZhaoFei QiaoYongpan LiuHuazhong YangXiaojun GuoLei ZhouLei WangAn 8b 0.8kS/s configurable VCO-based ADC using oxide TFTs with Inkjet printing interconnection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SunZQLYGZW1710.1109/ISCAS.2017.8050682https://doi.org/10.1109/ISCAS.2017.8050682https://dblp.org/rec/conf/iscas/SunZQLYGZW17URL#2974969Muhammad SwilamAhmed Naguib MohamedBrian DupaixWaleed KhalilAyman A. FayedA calibration-free low-power supply-pushing reduction circuit (SPRC) for LC VCOs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SwilamNDKF1710.1109/ISCAS.2017.8050751https://doi.org/10.1109/ISCAS.2017.8050751https://dblp.org/rec/conf/iscas/SwilamNDKF17URL#2974970Asif E. SyedAmit PatraDynamic ADC-quantization for oscillation-free performance of digitally controlled converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SyedP1710.1109/ISCAS.2017.8050514https://doi.org/10.1109/ISCAS.2017.8050514https://dblp.org/rec/conf/iscas/SyedP17URL#2974971Ioannis L. SyllaiosHybrid-DPLL-based constant-envelope modulator for Internet-of-Things chipsets.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Syllaios1710.1109/ISCAS.2017.8050551https://doi.org/10.1109/ISCAS.2017.8050551https://dblp.org/rec/conf/iscas/Syllaios17URL#2974972Jacques SzczupakLeontina PintoGabriel TorresSignal processing and climate understanding.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/SzczupakPT1710.1109/ISCAS.2017.8050338https://doi.org/10.1109/ISCAS.2017.8050338https://dblp.org/rec/conf/iscas/SzczupakPT17URL#2974973Ramiro TacoItamar LeviMarco LanuzzaAlexander FishEvaluation of Dual Mode Logic in 28nm FD-SOI technology.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TacoLLF1710.1109/ISCAS.2017.8050998https://doi.org/10.1109/ISCAS.2017.8050998https://dblp.org/rec/conf/iscas/TacoLLF17URL#2974974Ming-Yi TaiWei-Chih TuShao-Yi ChienVLSI architecture design of layer-based bilateral and median filtering for 4k2k videos at 30fps.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TaiTC1710.1109/ISCAS.2017.8050703https://doi.org/10.1109/ISCAS.2017.8050703https://dblp.org/rec/conf/iscas/TaiTC17URL#2974975Nishil TalatiZhiying Wang 0001Shahar KvatinskyRate-compatible and high-throughput architecture designs for encoding LDPC codes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TalatiWK1710.1109/ISCAS.2017.8050836https://doi.org/10.1109/ISCAS.2017.8050836https://dblp.org/rec/conf/iscas/TalatiWK17URL#2974976Min Tan 0004Wing-Hung KiStability conditions for hybrid supply modulators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TanK1710.1109/ISCAS.2017.8050513https://doi.org/10.1109/ISCAS.2017.8050513https://dblp.org/rec/conf/iscas/TanK17URL#2974977Tuy Nguyen TanHanho LeeA delay-efficient ring-LWE cryptography architecture for biometric security.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TanL1710.1109/ISCAS.2017.8050841https://doi.org/10.1109/ISCAS.2017.8050841https://dblp.org/rec/conf/iscas/TanL17URL#2974978Zhichao TanRoberto MaurinoRobert Adams 0001Khiem NguyenSubtractive dithering technique for delta-sigma modulator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TanMAN1710.1109/ISCAS.2017.8050371https://doi.org/10.1109/ISCAS.2017.8050371https://dblp.org/rec/conf/iscas/TanMAN17URL#2974979Tomonori TanakaKosuke FuruichiHiromu UemuraRyosuke NoguchiNatsuyuki KodaKoki ArauchiDaichi OmotoHiromi InabaKeiji KishineShinsuke NakanoMasafumi NogawaHideyuki Nosaka25-Gb/s clock and data recovery IC using latch-load combined with CML buffer circuit for delay generation with 65-nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TanakaFUNKAOIKN1710.1109/ISCAS.2017.8050696https://doi.org/10.1109/ISCAS.2017.8050696https://dblp.org/rec/conf/iscas/TanakaFUNKAOIKN17URL#2974980Liangxiao TangWeixin GaiLinqi ShiXiao XiangA 40 Gb/s 74.9 mW PAM4 receiver with novel clock and data recovery.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TangGSX1710.1109/ISCAS.2017.8050226https://doi.org/10.1109/ISCAS.2017.8050226https://dblp.org/rec/conf/iscas/TangGSX17URL#2974981Khawaja Taimoor TanweerSyed Rafay HasanAwais Mehmood KambohMotion artifact reduction from PPG signals during intense exercise using filtered X-LMS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TanweerHK1710.1109/ISCAS.2017.8050418https://doi.org/10.1109/ISCAS.2017.8050418https://dblp.org/rec/conf/iscas/TanweerHK17URL#2974982Sen TaoNaveen VermaRyan M. CoreyAndrew C. SingerA 10-b statistical ADC employing pipelining and sub-ranging in 32nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TaoVCS1710.1109/ISCAS.2017.8050245https://doi.org/10.1109/ISCAS.2017.8050245https://dblp.org/rec/conf/iscas/TaoVCS17URL#2974983M. Rizwan TariqShuichi OhnoAn indirect approach to synthesis of noise shaping IIR filters in ΔΣ modulators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TariqO1710.1109/ISCAS.2017.8050767https://doi.org/10.1109/ISCAS.2017.8050767https://dblp.org/rec/conf/iscas/TariqO17URL#2974984Chance TarverMahmoud AbdelazizLauri AnttilaJoseph R. CavallaroMulti component carrier, sub-band DPD and GNURadio implementation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TarverAAC1710.1109/ISCAS.2017.8050455https://doi.org/10.1109/ISCAS.2017.8050455https://dblp.org/rec/conf/iscas/TarverAAC17URL#2974985Masashi TawadaShinji KimuraMasao YanagisawaNozomu TogawaEffective write-reduction method for MLC non-volatile memory.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TawadaKYT1710.1109/ISCAS.2017.8050699https://doi.org/10.1109/ISCAS.2017.8050699https://dblp.org/rec/conf/iscas/TawadaKYT17URL#2974986Fatemeh TehranipoorNima KarimianWei Yan 0005John A. ChandyInvestigation of DRAM PUFs reliability under device accelerated aging effects.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TehranipoorKYC1710.1109/ISCAS.2017.8050629https://doi.org/10.1109/ISCAS.2017.8050629https://dblp.org/rec/conf/iscas/TehranipoorKYC17URL#2974987Chetan Singh ThakurJamal Lottier MolinTao XiongJie Zhang 0063Ernst NieburRalph Etienne-CummingsNeuromorphic visual saliency implementation using stochastic computation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ThakurMXZNE1710.1109/ISCAS.2017.8050868https://doi.org/10.1109/ISCAS.2017.8050868https://dblp.org/rec/conf/iscas/ThakurMXZNE17URL#2974988Nguyen Van ThangHyuk-Jae LeeAn efficient non-selective adaptive motion compensated frame rate up conversion.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ThangL1710.1109/ISCAS.2017.8050462https://doi.org/10.1109/ISCAS.2017.8050462https://dblp.org/rec/conf/iscas/ThangL17URL#2974989Lucas A. ThomazAllan F. da SilvaEduardo A. B. da SilvaSergio L. NettoHamid KrimDetection of abandoned objects using robust subspace recovery with intrinsic video alignment.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ThomazSSNK1710.1109/ISCAS.2017.8050385https://doi.org/10.1109/ISCAS.2017.8050385https://dblp.org/rec/conf/iscas/ThomazSSNK17URL#2974990Krishnaiyan ThulasiramanMamta YadavWeighted Kirchhoff index of a resistance network and generalization of Foster's theorem.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ThulasiramanY1710.1109/ISCAS.2017.8051011https://doi.org/10.1109/ISCAS.2017.8051011https://dblp.org/rec/conf/iscas/ThulasiramanY17URL#2974991Philip TovstoganHsu-Feng HsiaoVideo streaming optimization using degradation estimation with unequal error protection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TovstoganH1710.1109/ISCAS.2017.8050577https://doi.org/10.1109/ISCAS.2017.8050577https://dblp.org/rec/conf/iscas/TovstoganH17URL#2974992Martin TrautmannMarius OhlendorfBenedikt SanftlRobert WeigelAlexander KoelpinDimensioning and comparison of common compensation topologies for IPT systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TrautmannOSWK1710.1109/ISCAS.2017.8050511https://doi.org/10.1109/ISCAS.2017.8050511https://dblp.org/rec/conf/iscas/TrautmannOSWK17URL#2974993Soumya Ranjan TripathyKingshuk ChakravartyAniruddha SinhaDebatri ChatterjeeSanjoy Kumar Saha 0001Constrained Kalman filter for improving Kinect based measurements.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TripathyCSCS1710.1109/ISCAS.2017.8050664https://doi.org/10.1109/ISCAS.2017.8050664https://dblp.org/rec/conf/iscas/TripathyCSCS17URL#2974994Zachary TrujilloViswam NathanGerard L. CotéRoozbeh JafariDesign and parametric analysis of a wearable dual-photoplethysmograph based system for pulse wave velocity detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TrujilloNCJ1710.1109/ISCAS.2017.8050900https://doi.org/10.1109/ISCAS.2017.8050900https://dblp.org/rec/conf/iscas/TrujilloNCJ17URL#2974995Hung-Yu TsengPo-Chen WuYu-Sheng LinShao-Yi ChienD-PET: A direct 6 DoF pose estimation and tracking system on graphics processing units.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TsengWLC1710.1109/ISCAS.2017.8050811https://doi.org/10.1109/ISCAS.2017.8050811https://dblp.org/rec/conf/iscas/TsengWLC17URL#2974996Giorgos TsiarasVassilis PaliourasLogarithmic number system addition-subtraction using fractional normalization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TsiarasP1710.1109/ISCAS.2017.8050569https://doi.org/10.1109/ISCAS.2017.8050569https://dblp.org/rec/conf/iscas/TsiarasP17URL#2974997Jamo TuominenEero LehtonenMojtaba Jafari TadiJuho KoskinenMikko PänkääläTero KoivistoA miniaturized low power biomedical sensor node for clinical research and long term monitoring of cardiovascular signals.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/TuominenLTKPK1710.1109/ISCAS.2017.8050684https://doi.org/10.1109/ISCAS.2017.8050684https://dblp.org/rec/conf/iscas/TuominenLTKPK17URL#2974998Kodai UeyoshiTakao MarukameTetsuya AsaiMasato MotomuraAlexandre SchmidLive demonstration: Feature extraction system using restricted Boltzmann machines on FPGA.ISCAS12017Conference and Workshop Papersclosedconf/iscas/UeyoshiMAMS1710.1109/ISCAS.2017.8050402https://doi.org/10.1109/ISCAS.2017.8050402https://dblp.org/rec/conf/iscas/UeyoshiMAMS17URL#2974999Yoko UwateYoshifumi NishioSynchronization in dynamical oscillatory networks with non-uniform coupling distributions.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/UwateN1710.1109/ISCAS.2017.8050464https://doi.org/10.1109/ISCAS.2017.8050464https://dblp.org/rec/conf/iscas/UwateN17URL#2975000Boris VaisbandEby G. FriedmanHybrid energy harvesting in 3-D IC IoT devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VaisbandF1710.1109/ISCAS.2017.8050943https://doi.org/10.1109/ISCAS.2017.8050943https://dblp.org/rec/conf/iscas/VaisbandF17URL#2975001Virgilio ValenteAndreas DemosthenousA 32-by-32 CMOS microelectrode array for capacitive biosensing and impedance spectroscopy.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ValenteD1710.1109/ISCAS.2017.8050734https://doi.org/10.1109/ISCAS.2017.8050734https://dblp.org/rec/conf/iscas/ValenteD17URL#2975002Vinay VashishthaManoj VangalaParv SharmaLawrence T. ClarkRobust 7-nm SRAM design on a predictive PDK.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VashishthaVSC1710.1109/ISCAS.2017.8050316https://doi.org/10.1109/ISCAS.2017.8050316https://dblp.org/rec/conf/iscas/VashishthaVSC17URL#2975003Alvaro VelasquezSumit Kumar Jha 0001Computation of Boolean matrix chain products in 3D ReRAM.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VelasquezJ1710.1109/ISCAS.2017.8050962https://doi.org/10.1109/ISCAS.2017.8050962https://dblp.org/rec/conf/iscas/VelasquezJ17URL#2975004Simon VellasGeorge LentarisKonstantinos Maragos 0001Dimitrios SoudrisZacharias KandylakisKonstantinos KarantzalosFPGA acceleration of hyperspectral image processing for high-speed detection applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VellasLMSKK1710.1109/ISCAS.2017.8050773https://doi.org/10.1109/ISCAS.2017.8050773https://dblp.org/rec/conf/iscas/VellasLMSKK17URL#2975005Praveen Kumar VenkatachalaAhmed ElShaterYang Xu 0005Manar El-ChammasUn-Ku MoonVoltage domain correction technique for timing skew errors in time interleaved ADCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VenkatachalaEXE1710.1109/ISCAS.2017.8050623https://doi.org/10.1109/ISCAS.2017.8050623https://dblp.org/rec/conf/iscas/VenkatachalaEXE17URL#2975006Vili ViitamäkiPanu SjovallJarno VanneTimo D. HämäläinenHigh-level synthesized 2-D IDCT/IDST implementation for HEVC codecs on FPGA.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ViitamakiSVH1710.1109/ISCAS.2017.8050323https://doi.org/10.1109/ISCAS.2017.8050323https://dblp.org/rec/conf/iscas/ViitamakiSVH17URL#2975007Natan Vinshtok-MelnikRobert GitermanJoseph ShorUltra miniature offset cancelled bandgap reference with ±0.534% inaccuracy from -10°C to 110°C.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Vinshtok-Melnik1710.1109/ISCAS.2017.8050747https://doi.org/10.1109/ISCAS.2017.8050747https://dblp.org/rec/conf/iscas/Vinshtok-Melnik17URL#2975008Tuan Minh VoCarlo SamoriAndrea L. LacaitaSalvatore LevantinoA novel segmentation scheme for DTC-based ΔΣ fractional-N PLL.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VoSLL1710.1109/ISCAS.2017.8050285https://doi.org/10.1109/ISCAS.2017.8050285https://dblp.org/rec/conf/iscas/VoSLL17URL#2975009Aaron R. VoelkerBen Varkey BenjaminTerrence C. StewartKwabena Boahen 0001Chris EliasmithExtending the neural engineering framework for nonideal silicon synapses.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VoelkerBSBE1710.1109/ISCAS.2017.8050810https://doi.org/10.1109/ISCAS.2017.8050810https://dblp.org/rec/conf/iscas/VoelkerBSBE17URL#2975010Matthias VölkelMarco DietzAmelie HagelauerRobert WeigelDietmar KissingerA 60-GHz low-noise variable-gain amplifier in a 130-nm BiCMOS technology for sixport applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Volkel1710.1109/ISCAS.2017.8050493https://doi.org/10.1109/ISCAS.2017.8050493https://dblp.org/rec/conf/iscas/Volkel17URL#2975011Ion VornicuRicardo Carmona-GalánÁngel Rodríguez-VázquezLive demonstration: Photon counting and direct ToF camera prototype based on CMOS SPADs.ISCAS12017Conference and Workshop Papersclosedconf/iscas/VornicuCR1710.1109/ISCAS.2017.8050392https://doi.org/10.1109/ISCAS.2017.8050392https://dblp.org/rec/conf/iscas/VornicuCR17URL#2975012Ion VornicuRicardo Carmona-GalánÁngel Rodríguez-VázquezPhoton counting and direct ToF camera prototype based on CMOS SPADs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/VornicuCR17a10.1109/ISCAS.2017.8050410https://doi.org/10.1109/ISCAS.2017.8050410https://dblp.org/rec/conf/iscas/VornicuCR17aURL#2975013Johannes Wagner 0003Jiazuo ChiMaurits OrtmannsDesigning CT bandpass ΣΔ modulators with arbitrary STF shapes.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WagnerCO1710.1109/ISCAS.2017.8050480https://doi.org/10.1109/ISCAS.2017.8050480https://dblp.org/rec/conf/iscas/WagnerCO17URL#2975014Nicolás WainsteinShahar KvatinskyAn RF memristor model and memristive single-pole double-throw switches.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WainsteinK1710.1109/ISCAS.2017.8050963https://doi.org/10.1109/ISCAS.2017.8050963https://dblp.org/rec/conf/iscas/WainsteinK17URL#2975015Florian WalterMarwin SandnerFlorian RöhrbeinAlois C. KnollTowards a neuromorphic implementation of hierarchical temporal memory on SpiNNaker.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WalterSRK1710.1109/ISCAS.2017.8050983https://doi.org/10.1109/ISCAS.2017.8050983https://dblp.org/rec/conf/iscas/WalterSRK17URL#2975016Simon WalzJens Müller 0006Ronald TetzlaffImage classification by cellular nonlinear networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WalzMT1710.1109/ISCAS.2017.8050869https://doi.org/10.1109/ISCAS.2017.8050869https://dblp.org/rec/conf/iscas/WalzMT17URL#2975017Tutu WanYasha KarimiMilutin StanacevicEmre SalmanEnergy efficient AC computing methodology for wirelessly powered IoT devices.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WanKSS1710.1109/ISCAS.2017.8050358https://doi.org/10.1109/ISCAS.2017.8050358https://dblp.org/rec/conf/iscas/WanKSS17URL#2975018Zheng Wang 0027Yi Chen 0012Aakash PatilChip-Hong ChangArindam BasuCurrent mirror array: A novel lightweight strong PUF topology with enhanced reliability.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangCPCB1710.1109/ISCAS.2017.8050476https://doi.org/10.1109/ISCAS.2017.8050476https://dblp.org/rec/conf/iscas/WangCPCB17URL#2975019Xueyan WangYici CaiQiang Zhou 0001Cell spreading optimization for force-directed global placers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangCZ1710.1109/ISCAS.2017.8050572https://doi.org/10.1109/ISCAS.2017.8050572https://dblp.org/rec/conf/iscas/WangCZ17URL#2975020Jing V. WangNuwan GanganathChi-Tsun ChengChi K. TseA heuristics-based VM allocation mechanism for cloud data centers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangGCT1710.1109/ISCAS.2017.8050470https://doi.org/10.1109/ISCAS.2017.8050470https://dblp.org/rec/conf/iscas/WangGCT17URL#2975021Bindi WangHao Gao 0001Marion K. Matters-KammererPeter G. M. BaltusInterpolation based wideband beamforming architecture.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangGMB1710.1109/ISCAS.2017.8050834https://doi.org/10.1109/ISCAS.2017.8050834https://dblp.org/rec/conf/iscas/WangGMB17URL#2975022Junshi WangLetian HuangMasoumeh EbrahimiQiang Li 0021Guangjun LiAxel JantschNon-blocking BIST for continuous reliability monitoring of Networks-on-Chip.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangHELLJ1710.1109/ISCAS.2017.8050828https://doi.org/10.1109/ISCAS.2017.8050828https://dblp.org/rec/conf/iscas/WangHELLJ17URL#2975023Yong WangYan HongWang Ling GohKevin T. C. ChaiXin LouWen Bin Ye 0001A passively compensated capacitive sensor readout with biased varactor temperature compensation and temperature coherent quantization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangHGCLY1710.1109/ISCAS.2017.8050416https://doi.org/10.1109/ISCAS.2017.8050416https://dblp.org/rec/conf/iscas/WangHGCLY17URL#2975024Yi Wang 0068Hui LiuLap-Pui ChauSingle underwater image restoration using attenuation-curve prior.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangLC1710.1109/ISCAS.2017.8050994https://doi.org/10.1109/ISCAS.2017.8050994https://dblp.org/rec/conf/iscas/WangLC17URL#2975025Ruocheng WangWengao LuYuze NiuZhaokai LiuMeng ZhaoYacong ZhangZhongjian ChenA2.1-ppm/°C current-mode CMOS bandgap reference with piecewise curvature compensation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangLNLZZC1710.1109/ISCAS.2017.8050288https://doi.org/10.1109/ISCAS.2017.8050288https://dblp.org/rec/conf/iscas/WangLNLZZC17URL#2975026Yujia WangTruong NguyenPhotonic allpass filter: A versatile building block for all-optical signal processing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangN1710.1109/ISCAS.2017.8050272https://doi.org/10.1109/ISCAS.2017.8050272https://dblp.org/rec/conf/iscas/WangN17URL#2975027Xiaozhe WangKonstantin S. TuritsynPMU-based estimation of dynamic state Jacobian matrix.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangT1710.1109/ISCAS.2017.8050926https://doi.org/10.1109/ISCAS.2017.8050926https://dblp.org/rec/conf/iscas/WangT17URL#2975028Gang WangWenmin WangJingzhuo WangYaohua BuBetter deep visual attention with reinforcement learning in action recognition.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangWWB1710.1109/ISCAS.2017.8050638https://doi.org/10.1109/ISCAS.2017.8050638https://dblp.org/rec/conf/iscas/WangWWB17URL#2975029Meng Wang 0017Xiaodong XieHongfei FanShanshe WangJunru LiShengfu DongGuoqing XiangHuizhu JiaFast rate distortion optimized quantization method for HEVC.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangXFWLDXJ1710.1109/ISCAS.2017.8050460https://doi.org/10.1109/ISCAS.2017.8050460https://dblp.org/rec/conf/iscas/WangXFWLDXJ17URL#2975030Hanfeng WangSong YuanSyed K. IslamCharles L. Britton Jr.A novel charge sensitive pre-amplifier structure for biological temperature readout applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangYIB1710.1109/ISCAS.2017.8050502https://doi.org/10.1109/ISCAS.2017.8050502https://dblp.org/rec/conf/iscas/WangYIB17URL#2975031Feng Wang 0046Jiaxi Zhang 0001Lange WuWentai Zhang 0001Guojie LuoSearch space reduction for the non-exact projective NPNP Boolean matching problem.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WangZWZL1710.1109/ISCAS.2017.8050970https://doi.org/10.1109/ISCAS.2017.8050970https://dblp.org/rec/conf/iscas/WangZWZL17URL#2975032Adam WatkinsSpyros TragoudasMETS: A multiple event transient simulator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WatkinsT1710.1109/ISCAS.2017.8050442https://doi.org/10.1109/ISCAS.2017.8050442https://dblp.org/rec/conf/iscas/WatkinsT17URL#2975033Yuejiang WenYingjie LaoEnhancing PUF reliability by machine learning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WenL1710.1109/ISCAS.2017.8050672https://doi.org/10.1109/ISCAS.2017.8050672https://dblp.org/rec/conf/iscas/WenL17URL#2975034Matthias WessSai Manoj P. D.Axel JantschNeural network based ECG anomaly detection on FPGA and trade-off analysis.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WessDJ1710.1109/ISCAS.2017.8050805https://doi.org/10.1109/ISCAS.2017.8050805https://dblp.org/rec/conf/iscas/WessDJ17URL#2975035Omar WingDistributed circuit theory: Reminiscences.ISCAS1-22017Conference and Workshop Papersclosedconf/iscas/Wing1710.1109/ISCAS.2017.8050520https://doi.org/10.1109/ISCAS.2017.8050520https://dblp.org/rec/conf/iscas/Wing17URL#2975036Theodore A. WoodMarc DandinCybersecurity and the electric grid: Innovation and intellectual property.ISCAS12017Conference and Workshop Papersclosedconf/iscas/WoodD1710.1109/ISCAS.2017.8050603https://doi.org/10.1109/ISCAS.2017.8050603https://dblp.org/rec/conf/iscas/WoodD17URL#2975037Chung-Hao WuWei-Chen HsiHenry Horng-Shing LuHsueh-Ming HangOnline multiclass passive-aggressive learning on a fixed budget.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WuHLH1710.1109/ISCAS.2017.8050803https://doi.org/10.1109/ISCAS.2017.8050803https://dblp.org/rec/conf/iscas/WuHLH17URL#2975038Ching-Da WuJian-Yu HsiehChun-Han WuYang-Sheng ChengChun-Chang WuShey-Shi LuAn 1.1 V 0.1-1.6 GHz tunable-bandwidth elliptic filter with 6 dB linearity improvement by precise zero location control in 40 nm CMOS technology for 5G applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WuHWCWL1710.1109/ISCAS.2017.8050250https://doi.org/10.1109/ISCAS.2017.8050250https://dblp.org/rec/conf/iscas/WuHWCWL17URL#2975039Yu Wu 0007Dai JiangAndy BardillSerena De GelidiRichard H. BayfordAndreas DemosthenousLive demonstration: A wearable EIT system using active electrodes for monitoring respiration.ISCAS12017Conference and Workshop Papersclosedconf/iscas/WuJBGBD1710.1109/ISCAS.2017.8050713https://doi.org/10.1109/ISCAS.2017.8050713https://dblp.org/rec/conf/iscas/WuJBGBD17URL#2975040Xufan WuShuguo LiA new digital true random number generator based on delay chain feedback loop.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WuL1710.1109/ISCAS.2017.8050821https://doi.org/10.1109/ISCAS.2017.8050821https://dblp.org/rec/conf/iscas/WuL17URL#2975041Chun-Hun WuChin-Yi LiuPei-Yun TsaiDesign of an SVD engine for 8×8 MIMO precoding systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/WuLT1710.1109/ISCAS.2017.8050313https://doi.org/10.1109/ISCAS.2017.8050313https://dblp.org/rec/conf/iscas/WuLT17URL#2975042Constantinos XanthopoulosAli AhmadiSirish BoddikurapatiAmit NaharBob OrrYiorgos MakrisWafer-level adaptive trim seed forecasting based on E-tests.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/XanthopoulosABN1710.1109/ISCAS.2017.8050756https://doi.org/10.1109/ISCAS.2017.8050756https://dblp.org/rec/conf/iscas/XanthopoulosABN17URL#2975043Wei XiaoNicholas MiscouridesPantelis GeorgiouA novel ISFET sensor architecture using through-Silicon vias for DNA sequencing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/XiaoMG1710.1109/ISCAS.2017.8050609https://doi.org/10.1109/ISCAS.2017.8050609https://dblp.org/rec/conf/iscas/XiaoMG17URL#2975044Lan XieChao Zhou 0003Xinggong ZhangZongming GuoDynamic threshold based rate adaptation for HTTP live streaming.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/XieZZG1710.1109/ISCAS.2017.8050574https://doi.org/10.1109/ISCAS.2017.8050574https://dblp.org/rec/conf/iscas/XieZZG17URL#2975045Tao XiongJie Zhang 0063Chetan Singh ThakurJohn M. RattraySang Peter ChinTrac D. TranRalph Etienne-CummingsLive demonstration: A compact all-CMOS spatiotemporal compressed sensing video camera.ISCAS12017Conference and Workshop Papersclosedconf/iscas/XiongZTRCTE1710.1109/ISCAS.2017.8050405https://doi.org/10.1109/ISCAS.2017.8050405https://dblp.org/rec/conf/iscas/XiongZTRCTE17URL#2975046Liming XiuAll digital FPGA-implementable time-average-frequency direct period synthesis for IoT applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/Xiu1710.1109/ISCAS.2017.8050550https://doi.org/10.1109/ISCAS.2017.8050550https://dblp.org/rec/conf/iscas/Xiu17URL#2975047Yudong XuDong Sam HaMing XuEnergy harvesting circuit with input matching in boundary conduction mode for electromagnetic generators.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/XuHX1710.1109/ISCAS.2017.8050864https://doi.org/10.1109/ISCAS.2017.8050864https://dblp.org/rec/conf/iscas/XuHX17URL#2975048Yang Xu 0005Praveen Kumar VenkatachalaUn-Ku MoonA highly compact wideband continuous-time transimpedance low-pass filter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/XuVM1710.1109/ISCAS.2017.8050678https://doi.org/10.1109/ISCAS.2017.8050678https://dblp.org/rec/conf/iscas/XuVM17URL#2975049Zhongming XueDan Li 0011Wei GouLina ZhangShiquan FanLi GengA delay time controlled active rectifier with 95.3% peak efficiency for wireless power transmission systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/XueLGZFG1710.1109/ISCAS.2017.8050846https://doi.org/10.1109/ISCAS.2017.8050846https://dblp.org/rec/conf/iscas/XueLGZFG17URL#2975050Yoshitaka YamauchiToru SaiTakayasu SakuraiMakoto TakamiyaModeling of 3-level buck converters in discontinuous conduction mode for stand-by mode power supply.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YamauchiSST1710.1109/ISCAS.2017.8050584https://doi.org/10.1109/ISCAS.2017.8050584https://dblp.org/rec/conf/iscas/YamauchiSST17URL#2975051Chen YanZhihua GanEmre SalmanIn-package spiral inductor characterization for high efficiency buck converters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YanGS1710.1109/ISCAS.2017.8050892https://doi.org/10.1109/ISCAS.2017.8050892https://dblp.org/rec/conf/iscas/YanGS17URL#2975052Chen YanScott KontakHailang WangEmre SalmanOpen source cell library Mono3D to develop large-scale monolithic 3D integrated circuits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YanKWS1710.1109/ISCAS.2017.8050945https://doi.org/10.1109/ISCAS.2017.8050945https://dblp.org/rec/conf/iscas/YanKWS17URL#2975053Ning YanDong Liu 0002Houqiang LiFeng Wu 0001A convolutional neural network approach for half-pel interpolation in video coding.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YanLLW1710.1109/ISCAS.2017.8050458https://doi.org/10.1109/ISCAS.2017.8050458https://dblp.org/rec/conf/iscas/YanLLW17URL#2975054Han Yang 0001Jun Soo ChoYoungtae YangSuhwan KimA load variation tolerant readout interface for high linear MEMS capacitive microphones.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangCYK1710.1109/ISCAS.2017.8050499https://doi.org/10.1109/ISCAS.2017.8050499https://dblp.org/rec/conf/iscas/YangCYK17URL#2975055Fan Yang 0001Charles C. ChiangXuan Zeng 0001Dian ZhouEfficient SVM-based hotspot detection using spectral clustering.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangCZZ1710.1109/ISCAS.2017.8050428https://doi.org/10.1109/ISCAS.2017.8050428https://dblp.org/rec/conf/iscas/YangCZZ17URL#2975056Yunfan YangSong JiaYuan Wang 0001Shaonan ZhangChao LiuA reliable true random number generator based on novel chaotic ring oscillator.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangJWZL1710.1109/ISCAS.2017.8050843https://doi.org/10.1109/ISCAS.2017.8050843https://dblp.org/rec/conf/iscas/YangJWZL17URL#2975057Jianguo YangYinyin LinYarong FuXiaoyong XueB. A. ChenA small area and low power true random number generator using write speed variation of oxidebased RRAM for IoT security application.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangLFXC1710.1109/ISCAS.2017.8051019https://doi.org/10.1109/ISCAS.2017.8051019https://dblp.org/rec/conf/iscas/YangLFXC17URL#2975058Saboya YangJiaying Liu 0001Shuai Yang 0001Wenhan YangZongming GuoJoint-domain unsupervised stylization for portraits.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangLYYG1710.1109/ISCAS.2017.8050770https://doi.org/10.1109/ISCAS.2017.8050770https://dblp.org/rec/conf/iscas/YangLYYG17URL#2975059Shao-Wen YangOmesh TickooYen-Kuang ChenA framework for visual fog computing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangTC1710.1109/ISCAS.2017.8050297https://doi.org/10.1109/ISCAS.2017.8050297https://dblp.org/rec/conf/iscas/YangTC17URL#2975060Kaiyi YangShihao WangJian-Bin ZhouTakeshi YoshimuraEnergy-efficient scheduling method with cross-loop model for resource-limited CNN accelerator designs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangWZY1710.1109/ISCAS.2017.8050800https://doi.org/10.1109/ISCAS.2017.8050800https://dblp.org/rec/conf/iscas/YangWZY17URL#2975061Yunfeng YangFan Yang 0001Wai-Shing LukChanghao YanXuan Zeng 0001Xiangdong HuLayout decomposition for hybrid E-beam and DSA double patterning lithography.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YangYLYZH1710.1109/ISCAS.2017.8050912https://doi.org/10.1109/ISCAS.2017.8050912https://dblp.org/rec/conf/iscas/YangYLYZH17URL#2975062Chia-Yu YaoShui-Chin WangA QCQP design method of the symmetric pulse-shaping filters against receiver timing jitter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YaoW1710.1109/ISCAS.2017.8050777https://doi.org/10.1109/ISCAS.2017.8050777https://dblp.org/rec/conf/iscas/YaoW17URL#2975063Chun-Ting YenWan-Yu ChenLiang-Gee ChenA 120 fps 1080p resolution block-based feature extraction architecture implementation for real-time action recognition.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YenCC1710.1109/ISCAS.2017.8050640https://doi.org/10.1109/ISCAS.2017.8050640https://dblp.org/rec/conf/iscas/YenCC17URL#2975064Ramazan YeniceriAlptekin VardarMüstak E. YalçinFull digital implementation of a chaotic time-delay sampled-data system.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YeniceriVY1710.1109/ISCAS.2017.8050862https://doi.org/10.1109/ISCAS.2017.8050862https://dblp.org/rec/conf/iscas/YeniceriVY17URL#2975065Sungwon YimYujin ParkHan Yang 0001Suhwan KimPower efficient SAR ADC adaptive to input activity for ECG monitoring applications.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YimPYK1710.1109/ISCAS.2017.8050477https://doi.org/10.1109/ISCAS.2017.8050477https://dblp.org/rec/conf/iscas/YimPYK17URL#2975066Shouyi YinDajiang LiuLifeng SunLeibo LiuShaojun WeiDFGNet: Mapping dataflow graph onto CGRA by a deep learning approach.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YinLSLW1710.1109/ISCAS.2017.8050274https://doi.org/10.1109/ISCAS.2017.8050274https://dblp.org/rec/conf/iscas/YinLSLW17URL#2975067Heyu YinHao WanAndrew J. MasonSeparation and electrochemical detection platform for portable individual PM2.5 monitoring.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YinWM1710.1109/ISCAS.2017.8050733https://doi.org/10.1109/ISCAS.2017.8050733https://dblp.org/rec/conf/iscas/YinWM17URL#2975068Amirreza YousefzadehMiroslaw JablonskiTaras IakymchukAlejandro Linares-BarrancoAlfredo Rosado MuñozLuis A. PlanaTeresa Serrano-GotarredonaSteve B. FurberBernabé Linares-BarrancoLive demonstration: Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systems.ISCAS12017Conference and Workshop Papersclosedconf/iscas/YousefzadehJILM1710.1109/ISCAS.2017.8050408https://doi.org/10.1109/ISCAS.2017.8050408https://dblp.org/rec/conf/iscas/YousefzadehJILM17URL#2975069Amirreza YousefzadehMiroslaw JablonskiTaras IakymchukAlejandro Linares-BarrancoAlfredo Rosado MuñozLuis A. PlanaTeresa Serrano-GotarredonaStephen B. FurberBernabé Linares-BarrancoMultiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YousefzadehJILM17a10.1109/ISCAS.2017.8050802https://doi.org/10.1109/ISCAS.2017.8050802https://dblp.org/rec/conf/iscas/YousefzadehJILM17aURL#2975070Amirreza YousefzadehTimothée MasquelierTeresa Serrano-GotarredonaBernabé Linares-BarrancoLive demonstration: Hardware implementation of convolutional STDP for on-line visual feature learning.ISCAS12017Conference and Workshop Papersclosedconf/iscas/YousefzadehMSL1710.1109/ISCAS.2017.8050395https://doi.org/10.1109/ISCAS.2017.8050395https://dblp.org/rec/conf/iscas/YousefzadehMSL17URL#2975071Amirreza YousefzadehTimothée MasquelierTeresa Serrano-GotarredonaBernabé Linares-BarrancoHardware implementation of convolutional STDP for on-line visual feature learning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YousefzadehMSL17a10.1109/ISCAS.2017.8050870https://doi.org/10.1109/ISCAS.2017.8050870https://dblp.org/rec/conf/iscas/YousefzadehMSL17aURL#2975072Hayder YousifJianhe YuanRoland KaysZhihai HeFast human-animal detection from highly cluttered camera-trap images using joint background modeling and deep learning classification.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YousifYKH1710.1109/ISCAS.2017.8050762https://doi.org/10.1109/ISCAS.2017.8050762https://dblp.org/rec/conf/iscas/YousifYKH17URL#2975073Abdul Hamid Bin YousufNahid M. HossainMasud H. ChowdhuryImpacts of different shapes of through-silicon-via core on 3D IC performance.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YousufHC1710.1109/ISCAS.2017.8050434https://doi.org/10.1109/ISCAS.2017.8050434https://dblp.org/rec/conf/iscas/YousufHC17URL#2975074Weize YuSelçuk KöseImplications of noise insertion mechanisms of different countermeasures against side-channel attacks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YuK1710.1109/ISCAS.2017.8050635https://doi.org/10.1109/ISCAS.2017.8050635https://dblp.org/rec/conf/iscas/YuK17URL#2975075Mingquan YuanKeng-ku LiuSrikanth SingamaneniShantanu ChakrabarttyAnalyte sampling in paper biosensors powered by graphite-based light absorption.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/YuanLSC1710.1109/ISCAS.2017.8050686https://doi.org/10.1109/ISCAS.2017.8050686https://dblp.org/rec/conf/iscas/YuanLSC17URL#2975076Seyed Alireza ZahraiNicolas Le DortzMarvin OnabajoDesign of clock generation circuitry for high-speed subranging time-interleaved ADCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZahraiDO1710.1109/ISCAS.2017.8050895https://doi.org/10.1109/ISCAS.2017.8050895https://dblp.org/rec/conf/iscas/ZahraiDO17URL#2975077Siarhei S. ZalivakaAlexander A. IvaniukChip-Hong ChangLow-cost fortification of arbiter PUF against modeling attack.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZalivakaIC1710.1109/ISCAS.2017.8050671https://doi.org/10.1109/ISCAS.2017.8050671https://dblp.org/rec/conf/iscas/ZalivakaIC17URL#2975078Hossein ZamaniHamid Bahrami 0002Paul A. GarrisPedram MohseniOn the use of compressive sensing (CS) for brain dopamine recording with fast-scan cyclic voltammetry (FSCV).ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZamaniBGM1710.1109/ISCAS.2017.8050302https://doi.org/10.1109/ISCAS.2017.8050302https://dblp.org/rec/conf/iscas/ZamaniBGM17URL#2975079Huijing ZhanBoxin ShiAlex C. KotCross-domain shoe retrieval using a three-level deep feature representation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhanSK1710.1109/ISCAS.2017.8050639https://doi.org/10.1109/ISCAS.2017.8050639https://dblp.org/rec/conf/iscas/ZhanSK17URL#2975080Chenming ZhangLucien J. BreemsGeorgi I. RadulovMuhammed BolatkaleQilong LiuHans HegtArthur H. M. van RoermundCurrent-mode multi-path excess loop delay compensation for GHz sampling CT ΣΔ ADCs.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangBRBLHR1710.1109/ISCAS.2017.8050369https://doi.org/10.1109/ISCAS.2017.8050369https://dblp.org/rec/conf/iscas/ZhangBRBLHR17URL#2975081Yudong Zhang 0006Xiaofeng LiuWoogeun RheeHanjun JiangZhihua Wang 0001A 0.6V 50-to-145MHz PVT tolerant digital PLL with DCO-dedicated ΔΣ LDO and temperature compensation circuits in 65nm CMOS.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangLRJW1710.1109/ISCAS.2017.8050743https://doi.org/10.1109/ISCAS.2017.8050743https://dblp.org/rec/conf/iscas/ZhangLRJW17URL#2975082Yuchi ZhangGuolin LiXiang XieZhihua Wang 0001A new algorithm for accurate and automatic chessboard corner detection.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangLXW1710.1109/ISCAS.2017.8050637https://doi.org/10.1109/ISCAS.2017.8050637https://dblp.org/rec/conf/iscas/ZhangLXW17URL#2975083Li Zhang 0041H. C. Wu 0001Jian-Qiang LinS. C. Chan 0001Dynamic gene regulatory network analysis using Saccharomyces cerevisiae large-scale time-course microarray data.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangWLC1710.1109/ISCAS.2017.8050662https://doi.org/10.1109/ISCAS.2017.8050662https://dblp.org/rec/conf/iscas/ZhangWLC17URL#2975084Lei Zhang 0089Chenghua WangWeiqiang Liu 0001Máire O'NeillFabrizio LombardiXOR gate based low-cost configurable RO PUF.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangWLOL1710.1109/ISCAS.2017.8050628https://doi.org/10.1109/ISCAS.2017.8050628https://dblp.org/rec/conf/iscas/ZhangWLOL17URL#2975085Jin ZhangYuan Wang 0001Xing Zhang 0002Ru HuangCompact digital-controlled neuromorphic circuit with low power consumption.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangWZH1710.1109/ISCAS.2017.8050804https://doi.org/10.1109/ISCAS.2017.8050804https://dblp.org/rec/conf/iscas/ZhangWZH17URL#2975086Qing ZhangLu Yu 0003A higher order transform domain filter exploiting non-local spatial correlation for video coding.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangY1710.1109/ISCAS.2017.8050324https://doi.org/10.1109/ISCAS.2017.8050324https://dblp.org/rec/conf/iscas/ZhangY17URL#2975087Jieyun ZhangWenbin Ye 0001Ashfaq AhmedZhurui QiuYuan Cao 0003Xiaojin ZhaoA novel smoothness-based interpolation algorithm for division of focal plane Polarimeters.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangYAQCZ1710.1109/ISCAS.2017.8050355https://doi.org/10.1109/ISCAS.2017.8050355https://dblp.org/rec/conf/iscas/ZhangYAQCZ17URL#2975088Lei ZhangJianxun YangChengbo XueYue MaShan CaoA two-stage variation-aware task mapping scheme for fault-tolerant multi-core Network-on-Chips.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangYXMC1710.1109/ISCAS.2017.8050632https://doi.org/10.1109/ISCAS.2017.8050632https://dblp.org/rec/conf/iscas/ZhangYXMC17URL#2975089Ye Zhang 0011Fan Yang 0001Dian ZhouXuan Zeng 0001Xiangdong HuA grid-based detailed routing algorithm for advanced 1D process.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangYZZH1710.1109/ISCAS.2017.8050430https://doi.org/10.1109/ISCAS.2017.8050430https://dblp.org/rec/conf/iscas/ZhangYZZH17URL#2975090Shuhan ZhangFan Yang 0001Xuan Zeng 0001Dian ZhouShun LiXiangdong HuEfficient spectral graph sparsification via Krylov-subspace based spectral perturbation analysis.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangYZZLH1710.1109/ISCAS.2017.8050468https://doi.org/10.1109/ISCAS.2017.8050468https://dblp.org/rec/conf/iscas/ZhangYZZLH17URL#2975091Sheng Zhang 0006Wei Xing Zheng 0001A comparison of NLMS and LMS algorithms for cyclostationary input signals.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangZ1710.1109/ISCAS.2017.8050874https://doi.org/10.1109/ISCAS.2017.8050874https://dblp.org/rec/conf/iscas/ZhangZ17URL#2975092Xi Zhang 0007Choujun ZhanChi K. TseModeling cascading failure propagation in power systems.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhangZT1710.1109/ISCAS.2017.8050859https://doi.org/10.1109/ISCAS.2017.8050859https://dblp.org/rec/conf/iscas/ZhangZT17URL#2975093Meng ZhaoZhongjian ChenZhaofeng HuangGuangyi ChenWengao LuYacong ZhangA low-noise fully-differential open-loop interface for high-G capacitive micro-accelerometers with 112.2 dB dynamic range.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhaoCHCLZ1710.1109/ISCAS.2017.8050229https://doi.org/10.1109/ISCAS.2017.8050229https://dblp.org/rec/conf/iscas/ZhaoCHCLZ17URL#2975094Haixiang ZhaoSoumyajit MandalPhase-locked loops using switched-gain control.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhaoM1710.1109/ISCAS.2017.8051003https://doi.org/10.1109/ISCAS.2017.8051003https://dblp.org/rec/conf/iscas/ZhaoM17URL#2975095Xueyuan ZhaoVidyasagar SadhuTuan LeDario PompiliMehdi JavanmardTowards low-power wearable wireless sensors for molecular biomarker and physiological signal monitoring.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhaoSLPJ1710.1109/ISCAS.2017.8050558https://doi.org/10.1109/ISCAS.2017.8050558https://dblp.org/rec/conf/iscas/ZhaoSLPJ17URL#2975096Kang ZhaoYuheng ZhaoJunrui LiangLive demo of a vibration-powered Bluetooth sensor with running PFC power conditioning.ISCAS12017Conference and Workshop Papersclosedconf/iscas/ZhaoZL1710.1109/ISCAS.2017.8050714https://doi.org/10.1109/ISCAS.2017.8050714https://dblp.org/rec/conf/iscas/ZhaoZL17URL#2975097Kang ZhaoYuheng ZhaoJunrui LiangA vibration-powered Bluetooth wireless sensor node with running PFC power conditioning.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhaoZL17a10.1109/ISCAS.2017.8050854https://doi.org/10.1109/ISCAS.2017.8050854https://dblp.org/rec/conf/iscas/ZhaoZL17aURL#2975098Li ZhengAimin JiangHon Keung KwanSparse FIR filter design via partial L1 optimization.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhengJK1710.1109/ISCAS.2017.8050776https://doi.org/10.1109/ISCAS.2017.8050776https://dblp.org/rec/conf/iscas/ZhengJK17URL#2975099Jian ZhongJiajing WuZhenhao ChenZibin ZhengOptimal design of coupling preferences to mitigate traffic congestion in interconnected networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhongWCZ1710.1109/ISCAS.2017.8050466https://doi.org/10.1109/ISCAS.2017.8050466https://dblp.org/rec/conf/iscas/ZhongWCZ17URL#2975100Liang Zhou 0004Shantanu ChakrabarttySecure dynamic authentication of passive assets and passive IoTs using self-powered timers.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhouC1710.1109/ISCAS.2017.8050842https://doi.org/10.1109/ISCAS.2017.8050842https://dblp.org/rec/conf/iscas/ZhouC17URL#2975101Yangcan ZhouJun Lin 0001Zhongfeng Wang 0001Efficient approximate layered LDPC decoder.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhouLW1710.1109/ISCAS.2017.8050908https://doi.org/10.1109/ISCAS.2017.8050908https://dblp.org/rec/conf/iscas/ZhouLW17URL#2975102Jian-Bin ZhouDajiang ZhouLi Guo 0006Takeshi YoshimuraSatoshi GotoMeasurement-domain intra prediction framework for compressively sensed images.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhouZGYG1710.1109/ISCAS.2017.8050262https://doi.org/10.1109/ISCAS.2017.8050262https://dblp.org/rec/conf/iscas/ZhouZGYG17URL#2975103Ranran ZhouYining ZhangWoogeun RheeZhihua Wang 0001An energy/bandwidth/area efficient frequency-domain OOK transmitter with phase rotated modulation.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhouZRW1710.1109/ISCAS.2017.8050497https://doi.org/10.1109/ISCAS.2017.8050497https://dblp.org/rec/conf/iscas/ZhouZRW17URL#2975104Jian-Bin ZhouDajiang ZhouTakeshi YoshimuraSatoshi GotoApproximate-DCT-derived measurement matrices for compressed sensing.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhouZYG1710.1109/ISCAS.2017.8050536https://doi.org/10.1109/ISCAS.2017.8050536https://dblp.org/rec/conf/iscas/ZhouZYG17URL#2975105Kehan ZhuSakkarapani BalagopalXinyu Wu 0002Vishal SaxenaRealization of a 10 GHz PLL in IBM 130 nm SiGe BiCMOS process for optical transmitter.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhuBWS1710.1109/ISCAS.2017.8050878https://doi.org/10.1109/ISCAS.2017.8050878https://dblp.org/rec/conf/iscas/ZhuBWS17URL#2975106Yuming ZhuangDegang Chen 0001Accurate spectral testing of the signals with amplitude drift.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZhuangC1710.1109/ISCAS.2017.8050333https://doi.org/10.1109/ISCAS.2017.8050333https://dblp.org/rec/conf/iscas/ZhuangC17URL#2975107Alexandra L. ZimpeckYgor AguiarCristina MeinhardtRicardo Reis 0001Robustness of Sub-22nm multigate devices against physical variability.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZimpeckAMR1710.1109/ISCAS.2017.8050441https://doi.org/10.1109/ISCAS.2017.8050441https://dblp.org/rec/conf/iscas/ZimpeckAMR17URL#2975108Zhiheng ZuoQingjun FanJinghong ChenA 14-bit 2.5 GS/s digital pre-distorted DAC in 65 nm CMOS with SFDR > 70 dB up to 1.2 GHz.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZuoFC1710.1109/ISCAS.2017.8050688https://doi.org/10.1109/ISCAS.2017.8050688https://dblp.org/rec/conf/iscas/ZuoFC17URL#2975109Abdullah M. ZyarahNicholas SouresLydia HaysRobin Jacobs-GedrimSapan AgarwalMatthew J. MarinellaDhireesha KudithipudiZiksa: On-chip learning accelerator with memristor crossbars for multilevel neural networks.ISCAS1-42017Conference and Workshop Papersclosedconf/iscas/ZyarahSHJAMK1710.1109/ISCAS.2017.8050531https://doi.org/10.1109/ISCAS.2017.8050531https://dblp.org/rec/conf/iscas/ZyarahSHJAMK17URL#2975110IEEE International Symposium on Circuits and Systems, ISCAS 2017, Baltimore, MD, USA, May 28-31, 2017ISCASIEEE2017Editorshipconf/iscas/2017https://ieeexplore.ieee.org/xpl/conhome/8014728/proceedinghttps://dblp.org/rec/conf/iscas/2017URL#3037430