Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "stream:streams/conf/hotchips:"
@inproceedings{DBLP:conf/hotchips/Bruce23, author = {Magnus Bruce}, title = {Arm Neoverse {V2} platform: Leadership Performance and Power Efficiency for Next-Generation Cloud Computing, {ML} and {HPC} Workloads}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--25}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254718}, doi = {10.1109/HCS59251.2023.10254718}, timestamp = {Tue, 03 Oct 2023 19:13:28 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Bruce23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Dally23, author = {Bill Dally}, title = {Hardware for Deep Learning}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--58}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254716}, doi = {10.1109/HCS59251.2023.10254716}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Dally23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DeanV23, author = {Jeff Dean and Amin Vahdat}, title = {Exciting Directions for {ML} Models and the Implications for Computing Hardware}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--87}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254704}, doi = {10.1109/HCS59251.2023.10254704}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/DeanV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Deierling23, author = {Kevin Deierling}, title = {NVIDIA's Resource Transmutable Network Processing {ASIC}}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--14}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254697}, doi = {10.1109/HCS59251.2023.10254697}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Deierling23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DhruvanarayanB23, author = {Srivi Dhruvanarayan and Victor Bittorf}, title = {MLSoC\({}^{\mbox{{\texttrademark}}}\) - An Overview}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--13}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254705}, doi = {10.1109/HCS59251.2023.10254705}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/DhruvanarayanB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Esposito23, author = {Ben Esposito}, title = {Intel Agilex{\textregistered} 9 Direct RF-Series FPGAs with Integrated 64 Gsps Data Converters}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--35}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254707}, doi = {10.1109/HCS59251.2023.10254707}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Esposito23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gaitonde23, author = {Dinesh Gaitonde}, title = {{AMD} Next-Generation {FPGA} Built from Chiplets}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--28}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254722}, doi = {10.1109/HCS59251.2023.10254722}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Gaitonde23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gianos23, author = {Chris Gianos}, title = {Architecting for Flexibility and Value with Next Gen Intel{\textregistered} Xeon{\textregistered} Processors}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--15}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254694}, doi = {10.1109/HCS59251.2023.10254694}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Gianos23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GuoKMNLCAAABCFLPPSSTWYFPNPN23, author = {Felicia Guo and Nayiri Krzysztofowicz and Alex Moreno and Jeffrey Ni and Daniel Lovell and Yufeng Chi and Kareem Ahmad and Sherwin Afshar and Josh Alexander and Dylan Brater and Cheng Cao and Daniel Fan and Ryan Lund and Jackson Paddock and Griffin Prechter and Troy Sheldon and Shreesha Sreedhara and Anson Tsai and Eric Wu and Kerry Yu and Daniel Fritchman and Aviral Pandey and Ali Niknejad and Kristofer S. J. Pister and Borivoje Nikolic}, title = {A Heterogeneous SoC for Bluetooth {LE} in 28nm}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--11}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254696}, doi = {10.1109/HCS59251.2023.10254696}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/GuoKMNLCAAABCFLPPSSTWYFPNPN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Howard23, author = {Jason Howard}, title = {The First Direct Mesh-to-Mesh Photonic Fabric}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--17}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254719}, doi = {10.1109/HCS59251.2023.10254719}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Howard23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JouppiS23, author = {Norman P. Jouppi and Andy Swing}, title = {A Machine Learning Supercomputer with an Optically Reconfigurable Interconnect and Embeddings Support}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--24}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254691}, doi = {10.1109/HCS59251.2023.10254691}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/JouppiS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23, author = {Jin Hyun Kim and Yuhwan Ro and Jinin So and Sukhan Lee and Shinhaeng Kang and YeonGon Cho and Hyeonsu Kim and Byeongho Kim and Kyungsoo Kim and Sangsoo Park and Jin{-}Seong Kim and Sanghoon Cha and Won{-}Jo Lee and Jin Jung and Jonggeon Lee and Jieun Lee and Joon{-}Ho Song and Seungwon Lee and Jeonghyeon Cho and Jaehoon Yu and Kyomin Sohn}, title = {Samsung {PIM/PNM} for Transfmer Based {AI} : Energy Efficiency on {PIM/PNM} Cluster}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--31}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254711}, doi = {10.1109/HCS59251.2023.10254711}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kona23, author = {Anitha Kona}, title = {{CSS} {N2:} Arm Neoverse {N2} Platform, Delivered to Partners as a Fully Verified, Customizable Subsystem}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--23}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254706}, doi = {10.1109/HCS59251.2023.10254706}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Kona23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonKKSWJCASYKKKPPSYLPLLKKJKLC23, author = {Yongkee Kwon and Guhyun Kim and Nahsung Kim and Woojae Shin and Jongsoon Won and Hyunha Joo and Haerang Choi and Byeongju An and Gyeongcheol Shin and Dayeon Yun and Jeongbin Kim and Changhyun Kim and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyeongdeok Lee and Seungyeong Park and Wonjun Lee and Seongju Lee and Kyuyoung Kim and Daehan Kwon and Chunseok Jeong and John Kim and Euicheol Lim and Junhyun Chun}, title = {Memory-Centric Computing with {SK} Hynix's Domain-Specific Memory}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--26}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254717}, doi = {10.1109/HCS59251.2023.10254717}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KwonKKSWJCASYKKKPPSYLPLLKKJKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lie23, author = {Sean Lie}, title = {Inside the Cerebras Wafer-Scale Cluster: Cerebras Systems}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--41}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254700}, doi = {10.1109/HCS59251.2023.10254700}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Lie23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mahurin23, author = {Eric Mahurin}, title = {Qualocmm{\textregistered} Hexagon{\texttrademark} {NPU}}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--19}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254715}, doi = {10.1109/HCS59251.2023.10254715}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Mahurin23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ModhaAAAACDDEOSTABCFGGIKKMMNNRSSSS23, author = {Dharmendra S. Modha and Filipp Akopyan and Alexander Andreopoulos and Rathinakumar Appuswamy and John V. Arthur and Andrew S. Cassidy and Pallab Datta and Michael V. DeBole and Steven K. Esser and Carlos Ortega Otero and Jun Sawada and Brian Taba and Arnon Amir and Deepika Bablani and Peter J. Carlson and Myron D. Flickner and Rajamohan Gandhasri and Guillaume Garreau and Megumi Ito and Jennifer L. Klamo and Jeffrey A. Kusnitz and Nathaniel J. McClatchey and Jeffrey L. McKinstry and Yutaka Y. Nakamura and Tapan K. Nayak and William P. Risk and Kai Schleupen and Ben Shaw and Jay Sivagnaname and Daniel F. Smith and Ignacio Terrizzano and Takanori Ueda}, title = {{IBM} NorthPole Neural Inference Machine}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--58}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254721}, doi = {10.1109/HCS59251.2023.10254721}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ModhaAAAACDDEOSTABCFGGIKKMMNNRSSSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MoonKKCCHK23, author = {Seungjae Moon and Junsoo Kim and Jung{-}Hoon Kim and Junseo Cha and Gyubin Choi and Seongmin Hong and Joo{-}Young Kim}, title = {HyperAccel Latency Processing Unit (LPU\({}^{\mbox{TM}}\)) Accelerating Hyperscale Models for Generative {AI}}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254693}, doi = {10.1109/HCS59251.2023.10254693}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MoonKKCCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rotem23, author = {Efraim Rotem}, title = {Intel{\textregistered} Energy Efficiency Architecture}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--17}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254695}, doi = {10.1109/HCS59251.2023.10254695}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Rotem23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ShiLWTCCWLZWXM23, author = {Guiming Shi and Yi Li and Xueqiang Wang and Zhanhong Tan and Dapeng Cao and Jingwei Cai and Yuchen Wei and Zehua Li and Wuke Zhang and Yifu Wu and Wei Xu and Kaisheng Ma}, title = {{PHEP:} Paillier Homomorphic Encryption Processors for Privacy-Preserving Applications in Cloud Computing}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--20}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254692}, doi = {10.1109/HCS59251.2023.10254692}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ShiLWTCCWLZWXM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SoltisR23, author = {Don Soltis and Stephen Robinson}, title = {The Next Generation of High Performance, Energy-Efficient Computing: Intel{\textregistered} Xeon{\textregistered} Processors Built on Efficient-Core}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--16}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254709}, doi = {10.1109/HCS59251.2023.10254709}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/SoltisR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SpracklenA23, author = {Lawrence Spracklen and Subutai Ahmad}, title = {Supercharged {AI} Inference on Modern CPUs}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--21}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254702}, doi = {10.1109/HCS59251.2023.10254702}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/SpracklenA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Steinman23, author = {Maurice Steinman}, title = {Hummingbird{\texttrademark} Low-Latency Computing Engine}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--20}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254714}, doi = {10.1109/HCS59251.2023.10254714}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Steinman23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SubramonKP23, author = {Mahesh Subramon and David Kramer and Indrani Paul}, title = {{AMD} Ryzen\({}^{\mbox{{\texttrademark}}}\) 7040 Series: Technology Overview}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--27}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254701}, doi = {10.1109/HCS59251.2023.10254701}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/SubramonKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TanWZSZM23, author = {Zhanhong Tan and Yifu Wu and Yannian Zhang and Haobing Shi and Wuke Zhang and Kaisheng Ma}, title = {A Scalable Multi-Chiplet Deep Learning Accelerator with Hub-Side 2.5D Heterogeneous Integration}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--17}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254703}, doi = {10.1109/HCS59251.2023.10254703}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/TanWZSZM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TroesterB23, author = {Kai Troester and Ravi Bhargava}, title = {{AMD} Next Generation "Zen 4" Core and 4th Gen {AMD} EPYC{\texttrademark} 9004 Server {CPU}}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--25}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254726}, doi = {10.1109/HCS59251.2023.10254726}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/TroesterB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ValenteVSTNWSGPTKLSPPBMR23, author = {Luca Valente and Asif Veeran and Mattia Sinigaglia and Yvan Tortorella and Alessandro Nadalini and Nils Wistoff and Bruno S{\'{a}} and Angelo Garofalo and Rafail Psiakis and M. Tolba and Ari Kulmala and Nimisha Limaye and Ozgur Sinanoglu and Sandro Pinto and Daniele Palossi and Luca Benini and Baker Mohammad and Davide Rossi}, title = {Shaheen: An Open, Secure, and Scalable {RV64} SoC for Autonomous Nano-UAVs}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254698}, doi = {10.1109/HCS59251.2023.10254698}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ValenteVSTNWSGPTKLSPPBMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WadeSSSTMS23, author = {Mark Wade and Chen Sun and Matthew Sysak and Vladimir Stojanovic and Pooya Tadayon and Ravi Mahajan and Babak Sabi}, title = {Driving Compute Scale-out Performance with Optical {I/O} Chiplets in Advanced System-in-Package Platforms}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254699}, doi = {10.1109/HCS59251.2023.10254699}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WadeSSSTMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WinfieldMOMR23, author = {Ian Winfield and Joseph Madril and Tim Ouradnik and Michael Matthews and Guillermo Romero}, title = {{FABRIC8LABS:} Electrochemical Additive Manufacturing {(ECAM)} For Cooling High Performance ICs}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--18}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254724}, doi = {10.1109/HCS59251.2023.10254724}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WinfieldMOMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Xiao23, author = {Zhibin Xiao}, title = {Moffett Antoum\({}^{\mbox{{\textregistered}}}\): {A} Deep-Sparse {AI} Inference System-on-Chip for Vision and Large-language Models}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--33}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254723}, doi = {10.1109/HCS59251.2023.10254723}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Xiao23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2023, title = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023}, doi = {10.1109/HCS59251.2023}, isbn = {979-8-3503-3907-9}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AbdelhadiSM22, author = {Ameer Abdelhadi and Eugene Sha and Andreas Moshovos}, title = {A Massive-Scale Brain Activity Decoding Chip}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--65}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895603}, doi = {10.1109/HCS55958.2022.9895603}, timestamp = {Wed, 05 Oct 2022 17:46:21 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AbdelhadiSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AbtsKKBKPLBAR22, author = {Dennis Abts and John Kim and Garrin Kimmell and Matthew Boyd and Kris Kang and Sahil Parmar and Andrew C. Ling and Andrew Bitar and Ibrahim Ahmed and Jonathan Ross}, title = {The Groq Software-defined Scale-out Tensor Streaming Multiprocessor : From chips-to-systems architectural overview}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--69}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895630}, doi = {10.1109/HCS55958.2022.9895630}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AbtsKKBKPLBAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BeachlerS22, author = {Robert Beachler and Martin Snelgrove}, title = {Untether Ai : Boqueria}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--19}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895618}, doi = {10.1109/HCS55958.2022.9895618}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/BeachlerS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BhattacharjeeM22, author = {Abhishek Bhattacharjee and Rajit Manohar}, title = {{HALO:} {A} Flexible and Low Power Processing Fabric for Brain-Computer Interfaces}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--37}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895612}, doi = {10.1109/HCS55958.2022.9895612}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/BhattacharjeeM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ChangKWQ22, author = {Bill Chang and Rajiv Kurian and Doug Williams and Eric Quinnell}, title = {{DOJO:} Super-Compute System Scaling for {ML} Training}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--45}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895625}, doi = {10.1109/HCS55958.2022.9895625}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ChangKWQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Choquette22, author = {Jack Choquette}, title = {Nvidia Hopper {GPU:} Scaling Performance}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--46}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895592}, doi = {10.1109/HCS55958.2022.9895592}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Choquette22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/CurzelANLZAMCMB22, author = {Serena Curzel and Nicolas Bohm Agostini and Reece Neff and Ankur Limaye and Jeff Jun Zhang and Vinay Amatya and Marco Minutoli and Vito Giovanni Castellana and Joseph B. Manzano and David Brooks and Gu{-}Yeon Wei and Fabrizio Ferrandi and Antonino Tumeo}, title = {From High-Level Frameworks to custom Silicon with {SODA}}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--13}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895593}, doi = {10.1109/HCS55958.2022.9895593}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/CurzelANLZAMCMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DastidarRMPW22, author = {Jaideep Dastidar and David Riddoch and Jason Moore and Steve Pope and Jim Wesselkamper}, title = {{AMD} 400G Adaptive SmartNIC SoC: Technology preview}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--31}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895577}, doi = {10.1109/HCS55958.2022.9895577}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/DastidarRMPW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Ditty22, author = {Michael Ditty}, title = {{NVIDIA} {ORIN} System-On-Chip}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--17}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895609}, doi = {10.1109/HCS55958.2022.9895609}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Ditty22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Evans22, author = {Jonathon Evans}, title = {Nvidia Grace}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--20}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895599}, doi = {10.1109/HCS55958.2022.9895599}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Evans22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FengCKKLMNSZNST22, author = {Kathleen Feng and Alex Carsello and Taeyoung Kong and Kalhan Koul and Qiaoyi Liu and Jackson Melchert and Gedeon Nyengele and Maxwell Strange and Keyi Zhang and Ankita Nayak and Jeff Setter and James Thomas and Kavya Sreedhar and Po{-}Han Chen and Nikhil Bhagdikar and Zachary Myers and Brandon D'Agostino and Pranil Joshi and Stephen Richardson and Rick Bahr and Christopher Torng and Mark Horowitz and Priyanka Raina}, title = {Amber: Coarse-Grained Reconfigurable Array-Based SoC for Dense Linear Algebra Acceleration}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--30}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895616}, doi = {10.1109/HCS55958.2022.9895616}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/FengCKKLMNSZNST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gelsinger22, author = {Pat Gelsinger}, title = {Semiconductors Run the World : Hot Chips 2022}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--19}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895614}, doi = {10.1109/HCS55958.2022.9895614}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Gelsinger22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gibney22, author = {Jim Gibney}, title = {{AMD} Ryzen{\texttrademark} 6000 Series for Mobile : Technology Overview}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--24}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895632}, doi = {10.1109/HCS55958.2022.9895632}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Gibney22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GomesMPWH22, author = {Wilfred Gomes and Slade Morgan and Boyd Phelps and Tim Wilson and Erik Hallnor}, title = {Meteor Lake and Arrow Lake Intel Next-Gen 3D Client Architecture Platform with Foveros}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--40}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895532}, doi = {10.1109/HCS55958.2022.9895532}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/GomesMPWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Grisenthwaite22, author = {Richard Grisenthwaite}, title = {Arm Morello Evaluation Platform -Validating CHERI-based Security in a High-performance System}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--22}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895591}, doi = {10.1109/HCS55958.2022.9895591}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Grisenthwaite22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HanIPKSLY22, author = {Donghyeon Han and Dongseok Im and Gwangtae Park and Youngwoo Kim and Seokchan Song and Juhyoung Lee and Hoi{-}Jun Yoo}, title = {{HNPU-V2:} {A} 46.6 {FPS} {DNN} Training Processor for Real-World Environmental Adaptation based Robust Object Detection on Mobile Devices}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--18}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895624}, doi = {10.1109/HCS55958.2022.9895624}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/HanIPKSLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HongMKLKLK22, author = {Seongmin Hong and Seungjae Moon and Junsoo Kim and Sungjae Lee and Minsub Kim and Dongsoo Lee and Joo{-}Young Kim}, title = {{DFX:} {A} Low-latency Multi-FPGA Appliance for Accelerating Transformer-based Text Generation}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--17}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895626}, doi = {10.1109/HCS55958.2022.9895626}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HongMKLKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HongX22, author = {Mike Hong and Lingjie Xu}, title = {{\unicode{22721}}{\unicode{20190}}{\texttrademark} {BR100} {GPGPU:} Accelerating Datacenter Scale {AI} Computing}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--22}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895604}, doi = {10.1109/HCS55958.2022.9895604}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/HongX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HsuKSSHK22, author = {Yao{-}Chung Hsu and Atsutake Kosuge and Rei Sumikawa and Kota Shiba and Mototsugu Hamada and Tadahiro Kuroda}, title = {A 13.7{\(\mu\)}J/prediction 88{\%} Accuracy {CIFAR-10} Single-Chip Wired-logic Processor in 16-nm {FPGA} using Non-Linear Neural Network}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--14}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895600}, doi = {10.1109/HCS55958.2022.9895600}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HsuKSSHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ImPLRKHLPKY22, author = {Dongseok Im and Gwangtae Park and Zhiyong Li and Junha Ryu and Sanghoon Kang and Donghyeon Han and Jinsu Lee and Wonhoon Park and Hankyul Kwon and Hoi{-}Jun Yoo}, title = {{DSPU:} {A} 281.6mW Real-Time Deep Learning-Based Dense {RGB-D} Data Acquisition with Sensor Fusion and 3D Perception System-on-Chip}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895605}, doi = {10.1109/HCS55958.2022.9895605}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ImPLRKHLPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/IshiiW22, author = {Alexander Ishii and Ryan Wells}, title = {The Nvlink-Network Switch: Nvidia's Switch Chip for High Communication-Bandwidth Superpods}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--23}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895480}, doi = {10.1109/HCS55958.2022.9895480}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/IshiiW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JiHWHWHLZ22, author = {Wenqi Ji and Yuxing Han and Jiangtao Wen and Yubin Hu and Futang Wang and Yuze He and Xi Li and Jun Zhang}, title = {Vision Perception Unit: Next-Generation Smart {CMOS} Image Sensor}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--13}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895628}, doi = {10.1109/HCS55958.2022.9895628}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/JiHWHWHLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Jiang22, author = {Hong Jiang}, title = {Intel's Ponte Vecchio {GPU} : Architecture, Systems {\&} Software}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--29}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895631}, doi = {10.1109/HCS55958.2022.9895631}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Jiang22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimHPJK22, author = {Ji{-}Hoon Kim and Seunghee Han and Kwanghyun Park and Soo{-}Young Ji and Joo{-}Young Kim}, title = {Trinity: End-to-End In-Database Near-Data Machine Learning Acceleration Platform for Advanced Data Analytics}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--16}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895601}, doi = {10.1109/HCS55958.2022.9895601}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimHPJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKUKKY22, author = {Sangyeob Kim and Sangjin Kim and Soyeon Um and Soyeon Kim and Kwantae Kim and Hoi{-}Jun Yoo}, title = {Neuro-CIM: {A} 310.4 {TOPS/W} Neuromorphic Computing-in-Memory Processor with Low {WL/BL} activity and Digital-Analog Mixed-mode Neuron Firing}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895498}, doi = {10.1109/HCS55958.2022.9895498}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKUKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimYBBBCKKKLLSS22, author = {Hyunsung Kim and Sungyeob Yoo and Jaewan Bae and Kyeongryeol Bong and Yoonho Boo and Karim Charfi and Hyo{-}Eun Kim and Hyun Suk Kim and Jinseok Kim and Byungjae Lee and Jaehwan Lee and Myeongbo Shim and Sungho Shin and Jeong Seok Woo and Joo{-}Young Kim and Sunghyun Park and Jinwook Oh}, title = {LightTrader : World's first AI-enabled High-Frequency Trading Solution with 16 {TFLOPS} / 64 {TOPS} Deep Learning Inference Accelerators}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895619}, doi = {10.1109/HCS55958.2022.9895619}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimYBBBCKKKLLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonGLJ22, author = {Miryeong Kwon and Donghyun Gouk and Sangwon Lee and Myoungsoo Jung}, title = {Large-scale Graph Neural Network Services through Computational {SSD} and In-Storage Processing Architectures}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895623}, doi = {10.1109/HCS55958.2022.9895623}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonGLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LiKIHY22, author = {Zhiyong Li and Sangjin Kim and Dongseok Im and Donghyeon Han and Hoi{-}Jun Yoo}, title = {An Efficient High-quality {FHD} Super-resolution Mobile Accelerator SoC with Hybrid-precision and Energy-efficient Cache}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--26}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895611}, doi = {10.1109/HCS55958.2022.9895611}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LiKIHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lie22, author = {Sean Lie}, title = {Cerebras Architecture Deep Dive: First Look Inside the {HW/SW} Co-Design for Deep Learning : Cerebras Systems}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--34}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895479}, doi = {10.1109/HCS55958.2022.9895479}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Lie22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MauroSRB22, author = {Alfio Di Mauro and Moritz Scherer and Davide Rossi and Luca Benini}, title = {Kraken: {A} Direct Event/Frame-Based Multi-sensor Fusion SoC for Ultra-Efficient Visual Processing in Nano-UAVs}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--19}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895621}, doi = {10.1109/HCS55958.2022.9895621}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/MauroSRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mosur22, author = {Praveen Mosur}, title = {Built for the Edge: The Next-Generation Intel{\textregistered} Xeon {D} 2700 {\&} 1700 processors}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--15}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895613}, doi = {10.1109/HCS55958.2022.9895613}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Mosur22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKKSALKKSLRL22, author = {Sung Joo Park and H. Kim and K.{-}S. Kim and J. So and J. Ahn and W.{-}J. Lee and D. Kim and Young{-}Ju Kim and J. Seok and J.{-}G. Lee and H.{-}Y. Ryu and C. Y. Lee and J. Prout and K.{-}C. Ryoo and S.{-}J. Han and M.{-}K. Kook and J. S. Choi and J. Gim and Y. S. Ki and S. Ryu and C. Park and D.{-}G. Lee and J. Cho and H. Song and J. Y. Lee}, title = {Scaling of Memory Performance and Capacity with {CXL} Memory Expander}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--27}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895633}, doi = {10.1109/HCS55958.2022.9895633}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKKSALKKSLRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Schulien22, author = {Christoph Schulien}, title = {Enabling Scalable Application-Specific Optical Engines {(ASOE)} by Monolithic Integration of Photonics and Electronics}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--32}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895620}, doi = {10.1109/HCS55958.2022.9895620}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Schulien22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ShibaOKHK22, author = {Kota Shiba and Mitsuji Okada and Atsutake Kosuge and Mototsugu Hamada and Tadahiro Kuroda}, title = {A 7-nm FinFET 1.2-TB/s/mm\({}^{\mbox{2}}\) 3D-Stacked {SRAM} with an Inductive Coupling Interface Using Over-SRAM Coils and Manchester-Encoded Synchronous Transceivers}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--14}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895622}, doi = {10.1109/HCS55958.2022.9895622}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ShibaOKHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ShumarayevCHK22, author = {Sergey Y. Shumarayev and Allen Chan and Tim Hoang and Robert Keller}, title = {Heterogenous Integration Enables {FPGA} Based Hardware Acceleration for {RF} Applications}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--20}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895615}, doi = {10.1109/HCS55958.2022.9895615}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ShumarayevCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SmithJ22, author = {Alan Smith and Norman James}, title = {{AMD} Instinct\({}^{\mbox{{\texttrademark}}}\) {MI200} Series Accelerator and Node Architectures}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--23}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895477}, doi = {10.1109/HCS55958.2022.9895477}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/SmithJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TalpesWS22, author = {Emil Talpes and Douglas Williams and Debjit Das Sarma}, title = {{DOJO:} The Microarchitecture of Tesla's Exa-Scale Computer}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--28}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895534}, doi = {10.1109/HCS55958.2022.9895534}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/TalpesWS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TanakaAMIUSKS22, author = {Kenji Tanaka and Yuki Arikawa and Kazutaka Morita and Tsuyoshi Ito and Takashi Uchida and Natsuko Saito and Shinya Kaji and Takeshi Sakamoto}, title = {{VTA-NIC:} Deep Learning Inference Serving in Network Interface Cards}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--16}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895617}, doi = {10.1109/HCS55958.2022.9895617}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/TanakaAMIUSKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TineSSSACJRKYK22, author = {Blaise Tine and Varun Saxena and Santosh Srivatsan and Joshua R. Simpson and Fadi Alzammar and Liam Paul Cooper and Sam Jijina and Swetha Rajagoplan and Tejaswini Anand Kumar and Jeffrey Young and Hyesoon Kim}, title = {Accelerating Graphic Rendering on Programmable {RISC-V} GPUs}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--15}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895607}, doi = {10.1109/HCS55958.2022.9895607}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/TineSSSACJRKYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WangRP22, author = {Mediatek Ericbill Wang and Arm Stefan Rosinger and Saurabh Pradhan}, title = {Dimensity 9000 - {A} Flagship Smartphone SoC}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--23}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895489}, doi = {10.1109/HCS55958.2022.9895489}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WangRP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2022, title = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022}, doi = {10.1109/HCS55958.2022}, isbn = {978-1-6654-6028-6}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AdamsBBBBCEFFFG21, author = {Peter J. Adams and Brannon Batson and Alistair Bell and Jhanvi Bhatt and J. Adam Butts and Timothy Correia and Bruce Edwards and Peter Feldmann and Christopher H. Fenton and Anthony Forte and Joseph Gagliardo and Gennette Gill and Maria Gorlatova and Brian Greskamp and J. P. Grossman and Jeremy Hunt and Bryan L. Jackson and Mollie M. Kirk and Jeffrey Kuskin and Roy J. Mader and Richard McGowen and Adam McLaughlin and Mark A. Moraes and Mohamed Nasr and Lawrence J. Nociolo and Lief O'Donnell and Andrew Parker and Jon L. Peticolas and Terry Quan and T. Carl Schwink and Keun Sup Shim and Naseer Siddique and Jochen Spengler and Michael Theobald and Brian Towles and William Vick and Stanley C. Wang and Michael E. Wazlowski and Madeleine J. Weingarten and John M. Williams and David E. Shaw}, title = {The {\(\Lambda\)}NTON 3 {ASIC:} a Fire-Breathing Monster for Molecular Dynamics Simulations}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--22}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567084}, doi = {10.1109/HCS52781.2021.9567084}, timestamp = {Mon, 25 Oct 2021 18:04:14 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AdamsBBBBCEFFFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AndoYHNKCM21, author = {Kota Ando and Jaehoon Yu and Kazutoshi Hirose and Hiroki Nakahara and Kazushi Kawamura and Thiem Van Chu and Masato Motomura}, title = {Edge Inference Engine for Deep {\&} Random Sparse Neural Networks with 4-bit Cartesian-Product {MAC} Array and Pipelined Activation Aligner}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567328}, doi = {10.1109/HCS52781.2021.9567328}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AndoYHNKCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AustinHVWKABKT21, author = {Todd M. Austin and Austin Harris and Tarunesh Verma and Shijia Wei and Alex Kisil and Misiker Tadesse Aga and Valeria Bertacco and Baris Kasikci and Mohit Tiwari}, title = {Morpheus {II:} {A} {RISC-V} Security Extension for Protecting Vulnerable Software and Hardware}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--18}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567000}, doi = {10.1109/HCS52781.2021.9567000}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AustinHVWKABKT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Bachrach21, author = {Abraham Bachrach}, title = {Skydio Autonomy Engine: Enabling The Next Generation Of Autonomous Flight}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--43}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567400}, doi = {10.1109/HCS52781.2021.9567400}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Bachrach21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Biswas21, author = {Arijit Biswas}, title = {Sapphire Rapids}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--22}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566865}, doi = {10.1109/HCS52781.2021.9566865}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Biswas21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Blythe21, author = {David Blythe}, title = {X\({}^{\mbox{e}}\)HPC Ponte Vecchio}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--34}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567038}, doi = {10.1109/HCS52781.2021.9567038}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Blythe21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BrossolletCCCCD21, author = {Charles Brossollet and Alessandro Cappelli and Igor Carron and Charidimos Chaintoutis and Am{\'{e}}lie Chatelain and Laurent Daudet and Sylvain Gigan and Daniel Hesslow and Florent Krzakala and Julien Launay and Safa Mokaadi and Fabien Moreau and Kilian M{\"{u}}ller and Ruben Ohana and Gustave Pariente and Iacopo Poli and Giuseppe Luca Tommasone}, title = {LightOn Optical Processing Unit : Scaling-up {AI} and {HPC} with a Non von Neumann co-processor}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567166}, doi = {10.1109/HCS52781.2021.9567166}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BrossolletCCCCD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BurresDDLSSTWL21, author = {Brad Burres and Dan Daly and Mark Debbage and Eliel Louzoun and Christine Severns{-}Williams and Naru Sundar and Nadav Turbovich and Barry Wolford and Yadong Li}, title = {Intel's Hyperscale-Ready Infrastructure Processing Unit {(IPU)}}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--16}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567455}, doi = {10.1109/HCS52781.2021.9567455}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/BurresDDLSSTWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Burstein21, author = {Idan Burstein}, title = {Nvidia Data Center Processing Unit {(DPU)} Architecture}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--20}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567066}, doi = {10.1109/HCS52781.2021.9567066}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Burstein21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Chatha21, author = {Karam Chatha}, title = {Qualcomm\({}^{\mbox{{\textregistered}}}\) Cloud Al 100 : 12TOPS/W Scalable, High Performance and Low Latency Deep Learning Inference Accelerator}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--19}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567417}, doi = {10.1109/HCS52781.2021.9567417}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Chatha21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DitzelEABBBHIIJ21, author = {David R. Ditzel and Roger Espasa and Nivard Aymerich and Allen Baum and Tom Berg and Jim Burr and Eric Hao and Jayesh Iyer and Miquel Izquierdo and Shankar Jayaratnam and Darren Jones and Chris Klingner and Jin Kim and Stephen Lee and Marc Lupon and Grigorios Magklis and Bojan Maric and Rajib Nath and Mike Neilly and J. Duane Northcutt and Bill Orner and Jose Renau and Gerard Reves and Xavier Reves and Tom Riordan and Pedro Sanchez and Sridhar Samudrala and Guillem Sole and Raymond Tang and Tommy Thorn and Francisco Torres and Sebastia Tortella and Daniel Yau}, title = {Accelerating {ML} Recommendation with over a Thousand RISC-V/Tensor Processors on Esperanto's ET-SoC-1 Chip}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566904}, doi = {10.1109/HCS52781.2021.9566904}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/DitzelEABBBHIIJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/EversBC21, author = {Mark Evers and Leslie Barnes and Mike Clark}, title = {Next Generation "Zen 3" Core}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--32}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567108}, doi = {10.1109/HCS52781.2021.9567108}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/EversBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FreemanBV21, author = {Ross Freeman and James V. Barnett and Bernard V. Vonderschmitt}, title = {Xilinx Edge Processors}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567521}, doi = {10.1109/HCS52781.2021.9567521}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/FreemanBV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HesslowCCDLMOPP21, author = {Daniel Hesslow and Alessandro Cappelli and Igor Carron and Laurent Daudet and Rapha{\"{e}}l Lafargue and Kilian M{\"{u}}ller and Ruben Ohana and Gustave Pariente and Iacopo Poli}, title = {Photonic co-processors in {HPC:} Using LightOn OPUs for Randomized Numerical Linear Algebra}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566948}, doi = {10.1109/HCS52781.2021.9566948}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/HesslowCCDLMOPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HoangDSSNTSP21, author = {Trong{-}Thuc Hoang and Ckristian Duran and Ronaldo Serrano and Marco Sarmiento and Khai{-}Duy Nguyen and Akira Tsukamoto and Kuniyasu Suzaki and Cong{-}Kha Pham}, title = {System-on-Chip Implementation of Trusted Execution Environment with Heterogeneous Architecture}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--16}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566862}, doi = {10.1109/HCS52781.2021.9566862}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HoangDSSNTSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HobermanN21, author = {Barry Hoberman and Jean{-}Pierre Nozieres}, title = {{SOT-MRAM} - Third generation {MRAM} memory opens new opportunities : Hot Chips Conference August 2021}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567072}, doi = {10.1109/HCS52781.2021.9567072}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/HobermanN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Jacobi21, author = {Christian Jacobi}, title = {Real-time {AI} for Enterprise Workloads: the {IBM} Telum Processor}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--22}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567422}, doi = {10.1109/HCS52781.2021.9567422}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Jacobi21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKLKSRLWSPCSC21, author = {Jin Hyun Kim and Shinhaeng Kang and Sukhan Lee and Hyeonsu Kim and Woongjae Song and Yuhwan Ro and Seungwon Lee and David Wang and Hyunsung Shin and BengSeng Phuah and Jihyun Choi and Jinin So and YeonGon Cho and Joon{-}Ho Song and Jangseok Choi and Jeonghyeon Cho and Kyomin Sohn and Young{-}Soo Sohn and Kwang{-}Il Park and Nam Sung Kim}, title = {Aquabolt-XL: Samsung {HBM2-PIM} with in-memory processing for {ML} accelerators and beyond}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--26}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567191}, doi = {10.1109/HCS52781.2021.9567191}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKLKSRLWSPCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimLIY21, author = {Sangjin Kim and Juhyoung Lee and Dongseok Im and Hoi{-}Jun Yoo}, title = {{PNNPU:} {A} Fast and Efficient 3D Point Cloud-based Neural Network Processor with Block-based Point Processing for Regular {DRAM} Access}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566846}, doi = {10.1109/HCS52781.2021.9566846}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimLIY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Knowles21, author = {Simon Knowles}, title = {Graphcore}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--25}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567075}, doi = {10.1109/HCS52781.2021.9567075}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Knowles21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kusnezov21, author = {Dimitri Kusnezov}, title = {Architectural challenges: {AI} Chips, Decision Support and High Performance Computing}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567605}, doi = {10.1109/HCS52781.2021.9567605}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Kusnezov21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KuuselaS21, author = {Aki Kuusela and Clinton Wills Smullen IV}, title = {Video Coding Unit {(VCU)} : Hot Chips 2021}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--30}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567040}, doi = {10.1109/HCS52781.2021.9567040}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KuuselaS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeHLVKSNWJS21, author = {Seungwon Lee and JuHyung Hong and Chulseung Lee and Sandeep Vallabhaneni and SoonGon Kim and Yong Jin Shin and Mijung Noh and Soon{-}jae Won and Soonbok Jang and Yong Ho Song}, title = {High Performance Low Power Controller for Data Center SSDs}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567475}, doi = {10.1109/HCS52781.2021.9567475}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeHLVKSNWJS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeKJKKHLY21, author = {Juhyoung Lee and Jihoon Kim and Wooyoung Jo and Sangyeob Kim and Sangjin Kim and Donghyeon Han and Jinsu Lee and Hoi{-}Jun Yoo}, title = {An Energy-efficient Floating-Point {DNN} Processor using Heterogeneous Computing Architecture with Exponent-Computing-in-Memory}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--20}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566881}, doi = {10.1109/HCS52781.2021.9566881}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeKJKKHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeKK21, author = {Taehee Lee and Dongkeun Kim and Joonseok Kim}, title = {Exynos 1080 High-performance, low-power {CPU} and {GPU} with {AMIGO}}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--14}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567394}, doi = {10.1109/HCS52781.2021.9567394}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeKKKJHY21, author = {Juhyoung Lee and Sangyeob Kim and Ji{-}Hoon Kim and Sangjin Kim and Wooyoung Jo and Donghyeon Han and Hoi{-}Jun Yoo}, title = {OmniDRL: An Energy-Efficient Mobile Deep Reinforcement Learning Accelerators with Dual-mode Weight Compression and Direct Processing of Compressed Data}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567123}, doi = {10.1109/HCS52781.2021.9567123}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LeeKKKJHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lie21, author = {Sean Lie}, title = {Multi-Million Core, Multi-Wafer {AI} Cluster}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--41}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567153}, doi = {10.1109/HCS52781.2021.9567153}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Lie21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LiuF21, author = {Ryan Liu and Chuang Feng}, title = {{AI} Compute Chip from Enflame}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--27}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567224}, doi = {10.1109/HCS52781.2021.9567224}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LiuF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Monroe21, author = {Christopher Monroe}, title = {Quantum Computing with Atoms}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--26}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567420}, doi = {10.1109/HCS52781.2021.9567420}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Monroe21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NezVZKD21, author = {Nikolay Nez and Antonio N. Vilchez and Hamid R. Zohouri and Oleg Khavin and Sakyasingha Dasgupta}, title = {Dynamic Neural Accelerator for Reconfigurable {\&} Energy-efficient Neural Network Inference}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566886}, doi = {10.1109/HCS52781.2021.9566886}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/NezVZKD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NguyenDHNP21, author = {Khai{-}Duy Nguyen and Tuan{-}Kiet Dang and Trong{-}Thuc Hoang and Quynh Nguyen Quang Nhu and Cong{-}Kha Pham}, title = {A CORDIC-based Trigonometric Hardware Accelerator with Custom Instruction in 32-bit {RISC-V} System-on-Chip}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--13}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567158}, doi = {10.1109/HCS52781.2021.9567158}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/NguyenDHNP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkLLMKHKPBLK21, author = {Jun{-}Seok Park and Heonsoo Lee and Dongwoo Lee and Jewoo Moon and Suknam Kwon and Sanghyuck Ha and MinSeong Kim and Junghun Park and Jihoon Bang and Sukhwan Lim and Inyup Kang}, title = {Samsung Neural Processing Unit : An {AI} accelerator and {SDK} for flagship mobile {AP}}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--21}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567119}, doi = {10.1109/HCS52781.2021.9567119}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ParkLLMKHKPBLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pellegrini21, author = {Andrea Pellegrini}, title = {Arm Neoverse {N2:} Arm's 2\({}^{\mbox{nd}}\) generation high performance infrastructure CPUs and system IPs}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--27}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567483}, doi = {10.1109/HCS52781.2021.9567483}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Pellegrini21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pomianowski21, author = {Andrew Pomianowski}, title = {RDNA{\texttrademark} 2 Gaming Architecture}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--18}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567555}, doi = {10.1109/HCS52781.2021.9567555}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Pomianowski21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PrabhakarJ21, author = {Raghu Prabhakar and Sumti Jairath}, title = {SambaNova {SN10} {RDU:} Accelerating Software 2.0 with Dataflow}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--37}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567250}, doi = {10.1109/HCS52781.2021.9567250}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/PrabhakarJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rajagopal21, author = {Sriram Rajagopal}, title = {{EDGE} {Q} 5G with an {EDGE}}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--13}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567324}, doi = {10.1109/HCS52781.2021.9567324}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Rajagopal21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RotemMBWGCFG21, author = {Efraim Rotem and Yuli Mandelblat and Vadim Basin and Eliezer Weissmann and Arik Gihon and Rajshree Chabukswar and Russ Fenger and Monica Gupta}, title = {Alder Lake Architecture}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--23}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567097}, doi = {10.1109/HCS52781.2021.9567097}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/RotemMBWGCFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SankaralingamNW21, author = {Karu Sankaralingam and Tony Nowatzki and Greg Wright and Poly Palamuttam and Jitu Khare and Vinay Gangadhar and Preyas Shah}, title = {Mozart: Designing for Software Maturity and the Next Paradigm for Chip Architectures}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--20}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567306}, doi = {10.1109/HCS52781.2021.9567306}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/SankaralingamNW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Taballione21, author = {Caterina Taballione}, title = {A Plug-and-Play Universal Photonic Processor for Quantum Information Processing}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9566977}, doi = {10.1109/HCS52781.2021.9566977}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Taballione21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TakaoWA21, author = {Hidekuni Takao and Kazuki Watatani and Kazutami Arimoto}, title = {New Value Creation by Nano-Tactile Sensor Chip Exceeding our Fingertip Discrimination Ability}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--36}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567179}, doi = {10.1109/HCS52781.2021.9567179}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/TakaoWA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TambeYKCHDWRBW21, author = {Thierry Tambe and En{-}Yu Yang and Glenn G. Ko and Yuji Chai and Coleman Hooper and Marco Donato and Paul N. Whatmough and Alexander M. Rush and David Brooks and Gu{-}Yeon Wei}, title = {{SM6:} {A} 16nm System-on-Chip for Accurate and Noise-Robust Attention-Based {NLP} Applications : The 33\({}^{\mbox{rd}}\) Hot Chips Symposium - August 22-24, 2021}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--13}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567180}, doi = {10.1109/HCS52781.2021.9567180}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/TambeYKCHDWRBW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Venkatadri21, author = {Ramanujan Venkatadri}, title = {Heterogeneous computing to enable highest level of safety}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--39}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567602}, doi = {10.1109/HCS52781.2021.9567602}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Venkatadri21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WangMWORM21, author = {Tianyu Wang and Shi{-}Yuan Ma and Logan G. Wright and Tatsuhiro Onodera and Brian C. Richard and Peter L. McMahon}, title = {A Photonic Neural Network Using {\textless} 1 Photon per Scalar Multiplication}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--15}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567311}, doi = {10.1109/HCS52781.2021.9567311}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WangMWORM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WiemerW21, author = {Mike Wiemer and Renaldi Winoto}, title = {Mojo Lens - {AR} Contact Lenses for Real People}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--56}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567321}, doi = {10.1109/HCS52781.2021.9567321}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WiemerW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/YoonYJJCCLLK21, author = {Sukki Yoon and Jungbin Yun and Yun{-}Hwan Jung and Ilyun Jeong and Junghee Choi and Wooseok Choi and Jeongguk Lee and Hansoo Lee and Juhyun Ko}, title = {World Largest Mobile Image Sensor with All Directional Phase Detection Auto Focus Function}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--22}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567122}, doi = {10.1109/HCS52781.2021.9567122}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/YoonYJJCCLLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ZhangL21, author = {Jialiang Zhang and JingJane Li}, title = {{ENIAD:} {A} Reconfigurable Near-data Processing Architecture for Web-Scale AI-enriched Big Data Service}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567229}, doi = {10.1109/HCS52781.2021.9567229}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ZhangL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2021, title = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021}, doi = {10.1109/HCS52781.2021}, isbn = {978-1-6654-1397-8}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/0058XLSGLLHLCLP20, author = {Chen Chen and Xiaoyan Xiang and Chang Liu and Yunhai Shang and Ren Guo and Dongqi Liu and Yimin Lu and Ziyi Hao and Jiahui Luo and Zhijian Chen and Chunqiang Li and Yu Pu and Jianyi Meng and Xiaolang Yan and Yuan Xie and Xiaoning Qi}, title = {Xuantie-910: Innovating Cloud and Edge Computing by {RISC-V}}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--19}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220630}, doi = {10.1109/HCS49909.2020.9220630}, timestamp = {Tue, 20 Oct 2020 15:27:17 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/0058XLSGLLHLCLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AgrawalK20, author = {Anurag Agrawal and Changhoon Kim}, title = {Intel Tofino2 - {A} 12.9Tbps P4-Programmable Ethernet Switch}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--32}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220636}, doi = {10.1109/HCS49909.2020.9220636}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AgrawalK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AndrewsG20, author = {Jeff Andrews and Mark Grossman}, title = {Xbox Series {X} System Architecture}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--29}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220538}, doi = {10.1109/HCS49909.2020.9220538}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AndrewsG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AroraBW20, author = {Sonu Arora and Dan Bouvier and Chris Weaver}, title = {{AMD} Next Generation 7NM Ryzen{\texttrademark} 4000 {APU} "Renoir"}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--30}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220414}, doi = {10.1109/HCS49909.2020.9220414}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AroraBW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BajicV20, author = {Ljubisa Bajic and Jasmina Vasiljevic}, title = {Compute substrate for Software 2.0}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--31}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220687}, doi = {10.1109/HCS49909.2020.9220687}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/BajicV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Belov20, author = {Dan Belov}, title = {{AI} Research at Scale-Opportunities on the Road Ahead}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--88}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220397}, doi = {10.1109/HCS49909.2020.9220397}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Belov20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Blythe20, author = {David Blythe}, title = {The X\({}^{\mbox{e}}\) {GPU} Architecture}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--27}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220591}, doi = {10.1109/HCS49909.2020.9220591}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Blythe20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ChoquetteG20, author = {Jack Choquette and Wish Gandhi}, title = {{NVIDIA} {A100} {GPU:} Performance {\&} Innovation for {GPU} Computing}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--43}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220622}, doi = {10.1109/HCS49909.2020.9220622}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ChoquetteG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GanusovICM20, author = {Ilya K. Ganusov and Mahesh A. Iyer and Ning Cheng and Alon Meisler}, title = {Agilex{\texttrademark} Generation of Intel{\textregistered} FPGAs}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--26}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220557}, doi = {10.1109/HCS49909.2020.9220557}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/GanusovICM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JiaoHL20, author = {Yang Jiao and Liang Han and Xin Long}, title = {Hanguang 800 {NPU} - The Ultimate {AI} Inference Solution for Data Centers}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--29}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220619}, doi = {10.1109/HCS49909.2020.9220619}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/JiaoHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KoCDWTRW020, author = {Glenn G. Ko and Yuji Chai and Marco Donato and Paul N. Whatmough and Thierry Tambe and Rob A. Rutenbar and Gu{-}Yeon Wei and David Brooks}, title = {A Scalable Bayesian Inference Accelerator for Unsupervised Learning}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--27}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220686}, doi = {10.1109/HCS49909.2020.9220686}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KoCDWTRW020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Matus20, author = {Francis Matus}, title = {Distributed Services Architecture}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--17}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220629}, doi = {10.1109/HCS49909.2020.9220629}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Matus20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NorriePYKLLYJP20, author = {Thomas Norrie and Nishant Patil and Doe Hyun Yoon and George Kurian and Sheng Li and James Laudon and Cliff Young and Norman P. Jouppi and David A. Patterson}, title = {Google's Training Chips Revealed: TPUv2 and TPUv3}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--70}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220735}, doi = {10.1109/HCS49909.2020.9220735}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/NorriePYKLLYJP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/OuyangNWQMGKHBZ20, author = {Jian Ouyang and Mijung Noh and Yong Wang and Wei Qi and Yin Ma and Canghai Gu and SoonGon Kim and Ki{-}il Hong and Wang{-}Keun Bae and Zhibiao Zhao and Jing Wang and Peng Wu and Xiaozhang Gong and Jiaxin Shi and Hefei Zhu and Xueliang Du}, title = {Baidu Kunlun An {AI} processor for diversified workloads}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--18}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220641}, doi = {10.1109/HCS49909.2020.9220641}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/OuyangNWQMGKHBZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Papazian20, author = {Irma Esmer Papazian}, title = {New 3rd Gen Intel\({}^{\mbox{{\textregistered}}}\) Xeon\({}^{\mbox{{\textregistered}}}\) Scalable Processor (Codename: Ice Lake-SP)}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--22}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220434}, doi = {10.1109/HCS49909.2020.9220434}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Papazian20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Ramey20, author = {Carl Ramey}, title = {Silicon Photonics for Artificial Intelligence Acceleration : HotChips 32}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--26}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220525}, doi = {10.1109/HCS49909.2020.9220525}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Ramey20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Saporito20, author = {Anthony Saporito}, title = {The {IBM} z15 processor chip set}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--17}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220508}, doi = {10.1109/HCS49909.2020.9220508}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Saporito20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SkillmanE20, author = {Allan Skillman and Tomas Eds{\"{o}}}, title = {A Technical Overview of Cortex-M55 and Ethos-U55: Arm's Most Capable Processors for Endpoint {AI}}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--20}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220415}, doi = {10.1109/HCS49909.2020.9220415}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/SkillmanE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/StarkeT20, author = {William J. Starke and Brian W. Thompto}, title = {IBM's {POWER10} Processor}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--43}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220618}, doi = {10.1109/HCS49909.2020.9220618}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/StarkeT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sugumar20, author = {Rabin Sugumar}, title = {ThunderX3 Next-Generation Arm-Based Server}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--19}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220418}, doi = {10.1109/HCS49909.2020.9220418}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Sugumar20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Vera20, author = {Xavier Vera}, title = {Inside Tiger Lake: Intel's Next Generation Mobile Client {CPU}}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--26}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220443}, doi = {10.1109/HCS49909.2020.9220443}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Vera20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/VoogelFOCADMDTW20, author = {Martin Voogel and Yohan Frans and Matt Ouellette and Jason Coppens and Sagheer Ahmad and Jaideep Dastidar and Ehab Mohsen and Faisal Dada and Mike Thompson and Ralph Wittig and Trevor Bauer and Gaurav Singh}, title = {Xilinx Versal{\texttrademark} Premium}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--46}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220682}, doi = {10.1109/HCS49909.2020.9220682}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/VoogelFOCADMDTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ZarubaSB20, author = {Florian Zaruba and Fabian Schuiki and Luca Benini}, title = {A 4096-core {RISC-V} Chiplet Architecture for Ultra-efficient Floating-point Computing}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--24}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220474}, doi = {10.1109/HCS49909.2020.9220474}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ZarubaSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ZxtZS20, author = {Xiantao Zxt and Zhengxiao Zx and Justin Song}, title = {High-density Multi-tenant Bare-metal Cloud with Memory Expansion SoC and Power Management}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--18}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9220447}, doi = {10.1109/HCS49909.2020.9220447}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ZxtZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/posters20, title = {Hot Chips 2020 Posters}, booktitle = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, pages = {1--159}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HCS49909.2020.9250188}, doi = {10.1109/HCS49909.2020.9250188}, timestamp = {Tue, 22 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/posters20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2020, title = {{IEEE} Hot Chips 32 Symposium, {HCS} 2020, Palo Alto, CA, USA, August 16-18, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9217408/proceeding}, isbn = {978-1-7281-7129-6}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AhmadSBLHKNSW19, author = {Sagheer Ahmad and Sridhar Subramanian and Vamsi Boppana and Shankar Lakka and Fu{-}Hing Ho and Tomai Knopp and Juanjo Noguera and Gaurav Singh and Ralph Wittig}, title = {Xilinx First 7nm Device: Versal {AI} Core {(VC1902)}}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875639}, doi = {10.1109/HOTCHIPS.2019.8875639}, timestamp = {Tue, 22 Oct 2019 15:49:48 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AhmadSBLHKNSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BannonVST19, author = {Pete Bannon and Ganesh Venkataramanan and Debjit Das Sarma and Emil Talpes}, title = {Computer and Redundancy Solution for the Full Self-Driving Computer}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--22}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875645}, doi = {10.1109/HOTCHIPS.2019.8875645}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/BannonVST19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Burgess19, author = {John Burgess}, title = {{RTX} {ON} - The {NVIDIA} {TURING} {GPU}}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--27}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875651}, doi = {10.1109/HOTCHIPS.2019.8875651}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Burgess19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Devaux19, author = {Fabrice Devaux}, title = {The true Processing In Memory accelerator}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--24}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875680}, doi = {10.1109/HOTCHIPS.2019.8875680}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Devaux19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JiaVTZV19, author = {Hongyang Jia and Hossein Valavi and Yinqi Tang and Jintao Zhang and Naveen Verma}, title = {A Programmable Embedded Microprocessor for Bit-scalable In-memory Computing}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--29}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875632}, doi = {10.1109/HOTCHIPS.2019.8875632}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/JiaVTZV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KhushuG19, author = {Sanjeev Khushu and Wilfred Gomes}, title = {Lakefield: Hybrid cores in 3D Package}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--20}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875641}, doi = {10.1109/HOTCHIPS.2019.8875641}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KhushuG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KnebelBDEFG19, author = {Patrick Knebel and Dan Berkram and Al Davis and Darel Emmot and Paolo Faraboschi and Gary Gostin}, title = {Gen-Z Chipsetfor Exascale Fabrics}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--22}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875646}, doi = {10.1109/HOTCHIPS.2019.8875646}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KnebelBDEFG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LiaoTXZ19, author = {Heng Liao and Jiajin Tu and Jing Xia and Xiping Zhou}, title = {DaVinci: {A} Scalable Architecture for Neural Network Computing}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--44}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875654}, doi = {10.1109/HOTCHIPS.2019.8875654}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LiaoTXZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LiuLLZWSPYW19, author = {Leibo Liu and Ao Luo and Guanhua Li and Jianfeng Zhu and Yong Wang and Gang Shan and Jianfeng Pan and Shouyi Yin and Shaojun Wei}, title = {Jintide{\textregistered}: {A} Hardware Security Enhanced Server {CPU} with Xeon{\textregistered} Cores under Runtime Surveillance by an In-Package Dynamically Reconfigurable Processor}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--25}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875682}, doi = {10.1109/HOTCHIPS.2019.8875682}, timestamp = {Sun, 16 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LiuLLZWSPYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mantor19, author = {Mike Mantor}, title = {7NM "NAVI" {GPU} - {A} {GPU} Built for Performance and Efficiency}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875649}, doi = {10.1109/HOTCHIPS.2019.8875649}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Mantor19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mattson19, author = {Peter Mattson}, title = {{ML} Benchmark Design Challenges}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--36}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875660}, doi = {10.1109/HOTCHIPS.2019.8875660}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Mattson19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Medepalli19, author = {Kamesh Medepalli}, title = {{CYW89459:} High Performance and Low Power Wi-Fi and Bluetooth 5.1 Combo Chip for IoT and Automotive}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--18}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875681}, doi = {10.1109/HOTCHIPS.2019.8875681}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Medepalli19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Medina19, author = {Eitan Medina}, title = {Hot Chips 2019}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--29}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875670}, doi = {10.1109/HOTCHIPS.2019.8875670}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Medina19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PellegriniA19, author = {Andrea Pellegrini and Chris Abernathy}, title = {Arm Neoverse {N1} Cloud-to-Edge Infrastructure SoCs}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--21}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875640}, doi = {10.1109/HOTCHIPS.2019.8875640}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/PellegriniA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Smelyanskiy19, author = {Misha Smelyanskiy}, title = {Zion: Facebook Next- Generation Large Memory Training Platform}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--22}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875650}, doi = {10.1109/HOTCHIPS.2019.8875650}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Smelyanskiy19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/StuecheliWS19, author = {Jeff Stuecheli and Scott Willenborg and William J. Starke}, title = {IBM's Next Generation {POWER} Processor}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--19}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875663}, doi = {10.1109/HOTCHIPS.2019.8875663}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/StuecheliWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Su19, author = {Lisa Su}, title = {Delivering the Future of High-Performance Computing}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--43}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875685}, doi = {10.1109/HOTCHIPS.2019.8875685}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Su19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SuggsBCLS19, author = {David Suggs and Dan Bouvier and Michael Clark and Kevin Lepak and Mahesh Subramony}, title = {{AMD} "ZEN 2"}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--24}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875673}, doi = {10.1109/HOTCHIPS.2019.8875673}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/SuggsBCLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Terry19, author = {Elene Terry}, title = {Silicon at the Heart of HoloLens 2}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--26}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875669}, doi = {10.1109/HOTCHIPS.2019.8875669}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Terry19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/VenkatesanSZCFJ19, author = {Rangharajan Venkatesan and Yakun Sophia Shao and Brian Zimmer and Jason Clemons and Matthew Fojtik and Nan Jiang and Ben Keller and Alicia Klinefelter and Nathaniel Ross Pinckney and Priyanka Raina and Stephen G. Tell and Yanqing Zhang and William J. Dally and Joel S. Emer and C. Thomas Gray and Stephen W. Keckler and Brucek Khailany}, title = {A 0.11 PJ/OP, 0.32-128 Tops, Scalable Multi-Chip-Module-Based Deep Neural Network Accelerator Designed with {A} High-Productivity vlsi Methodology}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--24}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875657}, doi = {10.1109/HOTCHIPS.2019.8875657}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/VenkatesanSZCFJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Wade19, author = {Mark Wade}, title = {TeraPHY: {A} Chiplet Technology for Low-Power, High-Bandwidth in-Package Optical {I/O}}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {i--xl}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875658}, doi = {10.1109/HOTCHIPS.2019.8875658}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Wade19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WechslerBD19, author = {Ofri Wechsler and Michael Behar and Bharat Daga}, title = {Spring Hill {(NNP-I} 1000) Intel's Data Center Inference Chip}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--12}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875671}, doi = {10.1109/HOTCHIPS.2019.8875671}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WechslerBD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WongWB19, author = {H.{-}S. Philip Wong}, title = {{IC} Technology - What Will the Next Node Offer Us?}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--52}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875692}, doi = {10.1109/HOTCHIPS.2019.8875692}, timestamp = {Thu, 16 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WongWB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Yang19, author = {Andrew Yang}, title = {Deep Learning Training At Scale Spring Crest Deep Learning Accelerator (Intel{\textregistered} Nervana{\texttrademark} {NNP-T)}}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--20}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875643}, doi = {10.1109/HOTCHIPS.2019.8875643}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Yang19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ZhangXJLCLLX19, author = {Jiansong Zhang and Lixue Xia and Zhao Jiang and Hao Liang and Jiaoyan Chen and Shouda Liu and Wei Lin and Yuan Xie}, title = {Ouroboros: An Inference Engine for Deep Learning Based {TTS} on Embedded Devices}, booktitle = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, pages = {1--28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HOTCHIPS.2019.8875664}, doi = {10.1109/HOTCHIPS.2019.8875664}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ZhangXJLCLLX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2019, title = {2019 {IEEE} Hot Chips 31 Symposium (HCS), Cupertino, CA, USA, August 18-20, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8869546/proceeding}, isbn = {978-1-7281-2089-8}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AingaranJL16, author = {Kathirgamar Aingaran and Sumti Jairath and David Lutz}, title = {Software in Silicon in the Oracle {SPARC} {M7} processor}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--31}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936220}, doi = {10.1109/HOTCHIPS.2016.7936220}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/AingaranJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AnatiBDJKMRRY16, author = {Ittai Anati and David Blythe and Jack Doweck and Hong Jiang and Wen{-}Fu Kao and Julius Mandelblat and Lihu Rappoport and Efraim Rotem and Ahmad Yasin}, title = {Inside 6th gen Intel\({}^{\mbox{{\textregistered}}}\) Core{\texttrademark}: New microarchitecture code named skylake}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--39}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936222}, doi = {10.1109/HOTCHIPS.2016.7936222}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/AnatiBDJKMRRY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Barry16, author = {Peter Barry}, title = {Design and development of a an ultra-low power Intel architecture {MCU} class SoCs}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--29}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936206}, doi = {10.1109/HOTCHIPS.2016.7936206}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Barry16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BohnenstiehlSPA16, author = {Brent Bohnenstiehl and Aaron Stillmaker and Jon J. Pimentel and Timothy Andreas and Bin Liu and Anh Tran and Emmanuel Adeagbo and Bevan M. Baas}, title = {KiloCore: {A} 32 nm 1000-processor array}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--23}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936218}, doi = {10.1109/HOTCHIPS.2016.7936218}, timestamp = {Wed, 10 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/BohnenstiehlSPA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BuckleyCM16, author = {L{\'{e}}onie Buckley and Sam Caulfield and David Moloney}, title = {MvEcho - acoustic response modelling for auralisation}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936238}, doi = {10.1109/HOTCHIPS.2016.7936238}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BuckleyCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BuckleyCM16a, author = {L{\'{e}}onie Buckley and Sam Caulfield and David Moloney}, title = {MvEcho}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936239}, doi = {10.1109/HOTCHIPS.2016.7936239}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BuckleyCM16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Burgess16, author = {Brad Burgess}, title = {Samsung exynos {M1} processor}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--18}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936205}, doi = {10.1109/HOTCHIPS.2016.7936205}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Burgess16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Buxbaum16, author = {Bernd Buxbaum}, title = {{VR} and {AR} anytime and everywhere: Contributions of {PMD} depth sensing to an evolving ecosystem}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--19}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936195}, doi = {10.1109/HOTCHIPS.2016.7936195}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Buxbaum16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ChowdhuryKR16, author = {Rangeen Basu Roy Chowdhury and Anil K. Kannepalli and Eric Rotenberg}, title = {AnyCore-1: {A} comprehensively adaptive 4-way superscalar processor}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936237}, doi = {10.1109/HOTCHIPS.2016.7936237}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ChowdhuryKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Clark16, author = {Mike Clark}, title = {A new {\texttimes}86 core architecture for the next generation of computing}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--19}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936224}, doi = {10.1109/HOTCHIPS.2016.7936224}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Clark16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DallouLAMBFBS16, author = {Tamer Dallou and Divino Cesar Soares Lucas and Guido Araujo and Lucas Morais and Eduardo Ferreira Barbosa and Michael Frank and Richard Bagley and Raj Sayana}, title = {Task parallel programming model + hardware acceleration = performance advantage}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936235}, doi = {10.1109/HOTCHIPS.2016.7936235}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/DallouLAMBFBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DanskinF16, author = {John Danskin and Denis Foley}, title = {Pascal {GPU} with NVLink}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--24}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936202}, doi = {10.1109/HOTCHIPS.2016.7936202}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DanskinF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Davies16, author = {Jem Davies}, title = {The bifrost {GPU} architecture and the {ARM} Mali-G71 {GPU}}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--31}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936201}, doi = {10.1109/HOTCHIPS.2016.7936201}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Davies16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Dryanovski16, author = {Ivan Dryanovski}, title = {3D reconstruction with tango}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--24}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936198}, doi = {10.1109/HOTCHIPS.2016.7936198}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Dryanovski16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Eckermann16, author = {Ben Eckermann}, title = {QORIQ\({}^{\mbox{{\textregistered}}}\) {LS1012A:} Big things in small packages: 64-bit core in a sub-10mm package}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936227}, doi = {10.1109/HOTCHIPS.2016.7936227}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Eckermann16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/EflandPSF16, author = {Greg Efland and Sandip Parikh and Himanshu Sanghavi and Aamir Farooqui}, title = {High performance {DSP} for vision, imaging and neural networks}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--30}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936210}, doi = {10.1109/HOTCHIPS.2016.7936210}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/EflandPSF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GuoSQYHWY16, author = {Kaiyuan Guo and Lingzhi Sui and Jiantao Qiu and Song Yao and Song Han and Yu Wang and Huazhong Yang}, title = {From model to {FPGA:} Software-hardware co-design for efficient neural network acceleration}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--27}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936208}, doi = {10.1109/HOTCHIPS.2016.7936208}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GuoSQYHWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HanLMPPHD16, author = {Song Han and Xingyu Liu and Huizi Mao and Jing Pu and Ardavan Pedram and Mark Horowitz and Bill Dally}, title = {Deep compression and {EIE:} Efficient inference engine on compressed deep neural network}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936226}, doi = {10.1109/HOTCHIPS.2016.7936226}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HanLMPPHD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kim16, author = {Jin Kim}, title = {The future of graphic and mobile memory for new applications}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--25}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936170}, doi = {10.1109/HOTCHIPS.2016.7936170}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kim16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeBKY16, author = {Kyuho Jason Lee and Kyeongryeol Bong and Changhyeon Kim and Hoi{-}Jun Yoo}, title = {An intelligent {ADAS} processor with real-time semi-global matching and intention prediction for 720p stereo vision}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936225}, doi = {10.1109/HOTCHIPS.2016.7936225}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LeeBKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LinLCPHCCCCLHLW16, author = {Tsung{-}Yao Lin and Ming{-}Hsien Lee and Loda Chou and Clavin Peng and Jih{-}Ming Hsu and Jia{-}Ming Chen and John{-}CC Chen and Alex Chiou and Artis Chiu and David Lee and Carrie Huang and Kenny Lee and TzuHeng Wang and Wei{-}Ting Wang and Yenchi Lee and Chi{-}Hui Wang and Pao{-}Ching Tseng and Ryan Chen and Kevin Jou}, title = {Helio {X20:} The first tri-gear mobile SoC with CorePilot{\texttrademark} 3.0 technology}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--24}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936204}, doi = {10.1109/HOTCHIPS.2016.7936204}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LinLCPHCCCCLHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LinTHHCYFZCLCKT16, author = {Mu{-}Shan Lin and Chien{-}Chun Tsai and Kenny Cheng{-}Hsiang Hsieh and Wen{-}Hung Huang and Yu{-}Chi Chen and Shu{-}Chun Yang and Chin{-}Ming Fu and Hao{-}Jie Zhan and Jinn{-}Yeh Chien and Shao{-}Yu Li and Y.{-}H. Chen and C.{-}C. Kuo and Shih{-}Peng Tai and Kazuyoshi Yamada}, title = {A 16nm 256-bit wide 89.6GByte/s total bandwidth in-package interconnect with 0.3V swing and 0.062pJ/bit power in InFO package}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--32}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936211}, doi = {10.1109/HOTCHIPS.2016.7936211}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LinTHHCYFZCLCKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mandelli16, author = {Emanuele Mandelli}, title = {Quantum dot based imagers for multispectral cameras and sensors}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--30}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936215}, doi = {10.1109/HOTCHIPS.2016.7936215}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Mandelli16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/McKeownFNZBLSPW16, author = {Michael McKeown and Yaosheng Fu and Tri Minh Nguyen and Yanqi Zhou and Jonathan Balkind and Alexey Lavrov and Mohammad Shahrad and Samuel Payne and David Wentzlaff}, title = {Piton: {A} 25-core academic manycore research processor}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--38}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936217}, doi = {10.1109/HOTCHIPS.2016.7936217}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/McKeownFNZBLSPW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MinutoliCTLF16, author = {Marco Minutoli and Vito Giovanni Castellana and Antonino Tumeo and Marco Lattuada and Fabrizio Ferrandi}, title = {A dynamically scheduled architecture for the synthesis of graph methods}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936228}, doi = {10.1109/HOTCHIPS.2016.7936228}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MinutoliCTLF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Moloney16, author = {David Moloney}, title = {{HW} acceleration for volumetric applications}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--19}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936196}, doi = {10.1109/HOTCHIPS.2016.7936196}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Moloney16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Moloney16a, author = {David Moloney}, title = {Embedded deep neural networks: "The cost of everything and the value of nothing"}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--20}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936219}, doi = {10.1109/HOTCHIPS.2016.7936219}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Moloney16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NagarajanBI16, author = {Radhakrishnan Nagarajan and Sudeep Bhoja and Tom Issenhuth}, title = {100Gbit/s, 120km, {PAM} 4 based switch to switch, layer 2 silicon photonics based optical interconnects for datacenters}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--17}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936212}, doi = {10.1109/HOTCHIPS.2016.7936212}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/NagarajanBI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NowatzkiS16, author = {Tony Nowatzki and Karthikeyan Sankaralingam}, title = {Modularizing the microprocessor core to outperform traditional out-of-order}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936230}, doi = {10.1109/HOTCHIPS.2016.7936230}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/NowatzkiS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/OuyangQWYWJ16, author = {Jian Ouyang and Wei Qi and Yong Wang and YichenTu and Jing Wang and Bowen Jia}, title = {{SDA:} Software-Defined Accelerator for general-purpose big data analysis system}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--23}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936221}, doi = {10.1109/HOTCHIPS.2016.7936221}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/OuyangQWYWJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pawlowski16, author = {J. Thomas Pawlowski}, title = {Memory as we approach a new horizon}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--23}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936169}, doi = {10.1109/HOTCHIPS.2016.7936169}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Pawlowski16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PossignoloR16, author = {Rafael Trapani Possignolo and Jose Renau}, title = {LiveSynth: Towards an interactive synthesis flow}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936229}, doi = {10.1109/HOTCHIPS.2016.7936229}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/PossignoloR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PugliaIRM16, author = {Luca Puglia and Mircea Ionica and Giancarlo Raiconi and David Moloney}, title = {Passive dense stereo vision on the Myriad2 {VPU}}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936240}, doi = {10.1109/HOTCHIPS.2016.7936240}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/PugliaIRM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Ramalingam16, author = {Suresh Ramalingam}, title = {{HBM} package integration: Technology trends, challenges and applications}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--17}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936172}, doi = {10.1109/HOTCHIPS.2016.7936172}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Ramalingam16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rush16, author = {Allen Rush}, title = {Memory technology and applications}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--14}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936173}, doi = {10.1109/HOTCHIPS.2016.7936173}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rush16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rusu16, author = {Stefan Rusu}, title = {Welcome to 2016 Hot Chips}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936200}, doi = {10.1109/HOTCHIPS.2016.7936200}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rusu16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Siegel16, author = {Yair Siegel}, title = {The path to embedded vision {\&} {AI} using a low power vision {DSP}}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--28}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936209}, doi = {10.1109/HOTCHIPS.2016.7936209}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Siegel16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Skende16, author = {Andi Skende}, title = {Introducing "parker": Next-generation tegra system-on-chip}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--17}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936207}, doi = {10.1109/HOTCHIPS.2016.7936207}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Skende16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Spring16, author = {Rafael Spring}, title = {Mobile 3D capture for professional applications}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--38}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936199}, doi = {10.1109/HOTCHIPS.2016.7936199}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Spring16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Stanley-Marbell16, author = {Phillip Stanley{-}Marbell and Pier Andrea Francese and Martin C. Rinard}, title = {Encoder logic for reducing serial {I/O} power in sensors and sensor hubs}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936231}, doi = {10.1109/HOTCHIPS.2016.7936231}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Stanley-Marbell16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Stephens16, author = {Nigel Stephens}, title = {ARMv8-A next-generation vector architecture for {HPC}}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--31}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936203}, doi = {10.1109/HOTCHIPS.2016.7936203}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Stephens16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Thompto16, author = {Brian W. Thompto}, title = {{POWER9:} Processor for the cognitive era}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--19}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936223}, doi = {10.1109/HOTCHIPS.2016.7936223}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Thompto16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TokusashiM16, author = {Yuta Tokusashi and Hiroki Matsutani}, title = {{NOSQL} hardware appliance with multiple data structure}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936236}, doi = {10.1109/HOTCHIPS.2016.7936236}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/TokusashiM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TorngWSMJSPYB16, author = {Christopher Torng and Moyang Wang and Bharath Sudheendra and Nagaraj Murali and Suren Jayasuriya and Shreesha Srinath and Taylor Pritchard and Robin Ying and Christopher Batten}, title = {Experiences using a novel Python-based hardware modeling framework for computer architecture test chips}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936233}, doi = {10.1109/HOTCHIPS.2016.7936233}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/TorngWSMJSPYB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Tran16, author = {Kevin Tran}, title = {The era of high bandwidth memory}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--22}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936171}, doi = {10.1109/HOTCHIPS.2016.7936171}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Tran16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WangM16, author = {Cheng C. Wang and Dejan Markovic}, title = {Reconfigure your {RTL} with {EFLX} join the SoC revolution}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936234}, doi = {10.1109/HOTCHIPS.2016.7936234}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/WangM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Yang16, author = {Larry Yang}, title = {3D sensors for the rest of us}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--14}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936194}, doi = {10.1109/HOTCHIPS.2016.7936194}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Yang16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Zepeniuk16, author = {Dor Zepeniuk}, title = {Inuitive breakthrough solution for {AR} and {VR} worlds}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--14}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936197}, doi = {10.1109/HOTCHIPS.2016.7936197}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Zepeniuk16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2016, title = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7932734/proceeding}, isbn = {978-1-5090-6208-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Bhavsar15, author = {Vrajesh Bhavsar}, title = {Current trends for hardware and software developers}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--36}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477324}, doi = {10.1109/HOTCHIPS.2015.7477324}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Bhavsar15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BoppanaAGKRW15, author = {Vamsi Boppana and Sagheer Ahmad and Ilya Ganusov and Vinod Kathail and Vidya Rajagopalan and Ralph Wittig}, title = {UltraScale+ MPSoC and {FPGA} families}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--37}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477457}, doi = {10.1109/HOTCHIPS.2015.7477457}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BoppanaAGKRW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Bratt15, author = {Ian Bratt}, title = {The ARM{\textregistered} Mali-T880 Mobile {GPU}}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--27}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477462}, doi = {10.1109/HOTCHIPS.2015.7477462}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Bratt15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/CalhounW15, author = {Benton H. Calhoun and David D. Wentzloff}, title = {Ultra-low power wireless SoCs enabling a batteryless IoT}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--45}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477327}, doi = {10.1109/HOTCHIPS.2015.7477327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/CalhounW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Codrescu15, author = {Lucian Codrescu}, title = {Architecture of the Hexagon{\texttrademark} 680 {DSP} for mobile imaging and computer vision}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--26}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477329}, doi = {10.1109/HOTCHIPS.2015.7477329}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Codrescu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Dinechin15, author = {Beno{\^{\i}}t Dupont de Dinechin}, title = {Kalray MPPA{\textregistered}: Massively parallel processor array: Revisiting {DSP} acceleration with the Kalray {MPPA} Manycore processor}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--27}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477332}, doi = {10.1109/HOTCHIPS.2015.7477332}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Dinechin15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Ding15, author = {Zhimin Ding}, title = {Ultra-low-light {CMOS} biosensor helps tackle infectious diseases}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--23}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477465}, doi = {10.1109/HOTCHIPS.2015.7477465}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Ding15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Dokter15, author = {Pete Dokter}, title = {Maker trends: The path of least resistance}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--21}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477321}, doi = {10.1109/HOTCHIPS.2015.7477321}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Dokter15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ForbesZWDCSLRDF15, author = {Elliott Forbes and Zhenqian Zhang and Randy Widialaksono and Brandon H. Dwiel and Rangeen Basu Roy Chowdhury and Vinesh Srinivasan and Steve Lipa and Eric Rotenberg and W. Rhett Davis and Paul D. Franzon}, title = {Under 100-cycle thread migration latency in a single-ISA heterogeneous multi-core processor}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477478}, doi = {10.1109/HOTCHIPS.2015.7477478}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ForbesZWDCSLRDF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GangadharBDG0JP15, author = {Vinay Gangadhar and Raghuraman Balasubramanian and Mario Drumond and Ziliang Guo and Jai Menon and Cherin Joseph and Robin Prakash and Sharath Prasad and Pradip Vallathol and Karu Sankaralingam}, title = {{MIAOW:} An open source {GPGPU}}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--43}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477460}, doi = {10.1109/HOTCHIPS.2015.7477460}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GangadharBDG0JP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Grob15, author = {Matt Grob}, title = {The road to 5G: Providing the connectivity fabric for everything}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--26}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477463}, doi = {10.1109/HOTCHIPS.2015.7477463}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Grob15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gschwind15, author = {Michael Gschwind}, title = {{I/O} virtualization and system acceleration in {POWER8}}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--26}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477456}, doi = {10.1109/HOTCHIPS.2015.7477456}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Gschwind15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Hutton15, author = {Mike Hutton}, title = {Stratix{\textregistered} 10: 14nm {FPGA} delivering 1GHz}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--24}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477458}, doi = {10.1109/HOTCHIPS.2015.7477458}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Hutton15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/IwasakiONNSNYSO15, author = {Hiroe Iwasaki and Takayuki Onishi and Ken Nakamura and Koyo Nitta and Takashi Sano and Yukikuni Nishida and Kazuya Yokohari and Jia Su and Naoki Ono and Ritsu Kusaba and Atsushi Sagata and Mitsuo Ikeda and Atsushi Shimizu}, title = {Professional {H.265/HEVC} encoder {LSI} toward high-quality 4K/8K broadcast infrastructure}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--24}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477464}, doi = {10.1109/HOTCHIPS.2015.7477464}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/IwasakiONNSNYSO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimPKKLL15, author = {Sukjin Kim and Young{-}Hwan Park and Jaehyun Kim and Minsoo Kim and Wonchang Lee and Shihwa Lee}, title = {Flexible video processing platform for 8K {UHD} {TV}}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477475}, doi = {10.1109/HOTCHIPS.2015.7477475}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KimPKKLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimY15, author = {Gyeonghoon Kim and Hoi{-}Jun Yoo}, title = {A low-power and real-time augmented reality processor for the next generation smart glasses}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477476}, doi = {10.1109/HOTCHIPS.2015.7477476}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KimY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KrishnanBZD15, author = {Guhan Krishnan and Dan Bouvier and Louis Zhang and Praveen Dongara}, title = {Energy efficient graphics and multimedia in 28NM Carrizo {APU}}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--34}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477331}, doi = {10.1109/HOTCHIPS.2015.7477331}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KrishnanBZD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeCun15, author = {Yann LeCun}, title = {Deep learning {\&} convolutional networks}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--95}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477328}, doi = {10.1109/HOTCHIPS.2015.7477328}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LeCun15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeZWPKJKBBCCAR15, author = {Yunsup Lee and Brian Zimmer and Andrew Waterman and Alberto Puggelli and Jaehwa Kwak and Ruzica Jevtic and Ben Keller and Stevo Bailey and Milovan Blagojevic and Pi{-}Feng Chiu and Henry Cook and Rimas Avizienis and Brian C. Richards and Elad Alon and Borivoje Nikolic and Krste Asanovic}, title = {Raven: {A} 28nm {RISC-V} vector processor with integrated switched-capacitor {DC-DC} converters and adaptive clocking}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--45}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477469}, doi = {10.1109/HOTCHIPS.2015.7477469}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LeeZWPKJKBBCCAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lockwood15, author = {John W. Lockwood}, title = {Comparison of Key/Value Store {(KVS)} in software and programmable hardware}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477473}, doi = {10.1109/HOTCHIPS.2015.7477473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lockwood15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Macri15, author = {Joe Macri}, title = {AMD's next generation {GPU} and high bandwidth memory architecture: {FURY}}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--26}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477461}, doi = {10.1109/HOTCHIPS.2015.7477461}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Macri15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mattela15, author = {Venkat Mattela}, title = {IoT device development challenges and solutions}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--19}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477322}, doi = {10.1109/HOTCHIPS.2015.7477322}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Mattela15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Memisevic15, author = {Roland Memisevic}, title = {Deep learning: Architectures, algorithms, applications}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--127}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477319}, doi = {10.1109/HOTCHIPS.2015.7477319}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Memisevic15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NagarajG15, author = {Dheemanth Nagaraj and Chris Gianos}, title = {Intel{\textregistered} Xeon{\textregistered} Processor {D:} The First Xeon processor optimized for dense solutions}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--22}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477468}, doi = {10.1109/HOTCHIPS.2015.7477468}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/NagarajG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NikolicVJL15, author = {Zoran Nikolic and Rama Venkatasubramanian and Jason A. T. Jones and Peter Labaziewicz}, title = {A scalable heterogeneous multicore architecture for {ADAS:} Presented at {HOT} {CHIPS:} {A} symposium on high performance chips Flint Center, Cupertino, {CA}}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--32}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477330}, doi = {10.1109/HOTCHIPS.2015.7477330}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/NikolicVJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Nitta15, author = {Christopher Nitta}, title = {Makers from Hobbyists to professionals}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477320}, doi = {10.1109/HOTCHIPS.2015.7477320}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Nitta15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Olofsson15, author = {Andreas Olofsson}, title = {Implementing software defined radio on the paralella}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--32}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477323}, doi = {10.1109/HOTCHIPS.2015.7477323}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Olofsson15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/OvtcharovRKFSC15, author = {Kalin Ovtcharov and Olatunji Ruwase and Joo{-}Young Kim and Jeremy Fowers and Karin Strauss and Eric S. Chung}, title = {Toward accelerating deep learning at scale using specialized hardware in the datacenter}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--38}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477459}, doi = {10.1109/HOTCHIPS.2015.7477459}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/OvtcharovRKFSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RobertsFCHMI15, author = {David Roberts and Amin Farmahini Farahani and Kevin Cheng and Nathan Hu and David Mayhew and Michael Ignatowski}, title = {{NMI:} {A} new memory interface to enable innovation}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477474}, doi = {10.1109/HOTCHIPS.2015.7477474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/RobertsFCHMI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rossi0MPLGTCFB15, author = {Davide Rossi and Francesco Conti and Andrea Marongiu and Antonio Pullini and Igor Loi and Michael Gautschi and Giuseppe Tagliavini and Alessandro Capotondi and Philippe Flatresse and Luca Benini}, title = {{PULP:} {A} parallel ultra low power platform for next generation IoT applications}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--39}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477325}, doi = {10.1109/HOTCHIPS.2015.7477325}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rossi0MPLGTCFB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ShiraniF15, author = {Ramin Shirani and Ramin Farjad{-}Rad}, title = {10G {\(\vert\)} 5G {\(\vert\)} 2.5G {\(\vert\)} 1G {\(\vert\)} 100M physical layer {PHY:} {HOT} {CHIPS} 2015 conference}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--27}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477466}, doi = {10.1109/HOTCHIPS.2015.7477466}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ShiraniF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sodani15, author = {Avinash Sodani}, title = {Knights landing {(KNL):} 2nd Generation Intel{\textregistered} Xeon Phi processor}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--24}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477467}, doi = {10.1109/HOTCHIPS.2015.7477467}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sodani15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TangDSS15, author = {Adrian Tang and John Demme and Simha Sethumadhavan and Salvatore J. Stolfo}, title = {A silicon anti-virus engine}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477477}, doi = {10.1109/HOTCHIPS.2015.7477477}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/TangDSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Tu15, author = {Steven Tu}, title = {Atom{\texttrademark} - x5/x7 Series processor, codenamed Cherry Trail}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--28}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477470}, doi = {10.1109/HOTCHIPS.2015.7477470}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Tu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/VinaikP15, author = {Basant Vinaik and Rahoul Puri}, title = {Oracle's Sonoma processor: Advanced low-cost {SPARC} processor for enterprise workloads}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--23}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477455}, doi = {10.1109/HOTCHIPS.2015.7477455}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/VinaikP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WuIHZZGKB15, author = {May Wu and Ravi Iyer and Yatin Hoskote and Steven Zhang and Julio Zamora{-}Esquivel and German Fabila Garcia and Ilya Klotchkov and Mukesh Bhartiya}, title = {Design of a low power SoC testchip for wearables and IoTs}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--27}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477326}, doi = {10.1109/HOTCHIPS.2015.7477326}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/WuIHZZGKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Xu15, author = {Zheng John Xu}, title = {{LS2085/8A} Freescale's new QorlQ Layerscape communications processor}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--25}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477453}, doi = {10.1109/HOTCHIPS.2015.7477453}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Xu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/YamazakiNHM15, author = {Koji Yamazaki and Yoshihiro Nakajima and Takahiro Hatano and Akihiko Miyazaki}, title = {Lagopus {FPGA} - {A} reprogrammable data plane for high-performance software {SDN} switches}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477471}, doi = {10.1109/HOTCHIPS.2015.7477471}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/YamazakiNHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Zhang15, author = {Charles Zhang}, title = {Mars: {A} 64-core ARMv8 processor}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--23}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477454}, doi = {10.1109/HOTCHIPS.2015.7477454}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Zhang15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2015, title = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7470380/proceeding}, isbn = {978-1-4673-8885-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Alioto14, author = {Massimo Alioto}, title = {Ultra-low power design approaches for IoT}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--57}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478801}, doi = {10.1109/HOTCHIPS.2014.7478801}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Alioto14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BarkatullahHILO14, author = {Javed Barkatullah and Timo Hanke and Ravi Iyengar and Ricky Lewelling and Jim O'Connor}, title = {Goldstrike 1: Cointerra's first generation crypto-currency processor for bitcoin mining machines}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--16}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478824}, doi = {10.1109/HOTCHIPS.2014.7478824}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BarkatullahHILO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BoggsBRTV14, author = {Darrell Boggs and Gary Brown and Bill Rozas and Nathan Tuck and K. S. Venkatraman}, title = {Hot Chips 2014 Nvidia's denver processor}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--25}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478811}, doi = {10.1109/HOTCHIPS.2014.7478811}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BoggsBRTV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BouvierS14, author = {Dan Bouvier and Ben Sander}, title = {Applying AMD's Kaveri {APU} for heterogeneous computing}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--42}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478810}, doi = {10.1109/HOTCHIPS.2014.7478810}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BouvierS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BurresGRS14, author = {Bradley Burres and Johan van de Groenendaal and Jonathan Robinson and Ian M. Steiner}, title = {Intel {C2000} atom microserver power efficient processing for the data center}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--25}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478830}, doi = {10.1109/HOTCHIPS.2014.7478830}, timestamp = {Mon, 25 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BurresGRS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/CastellanaTF14, author = {Vito Giovanni Castellana and Antonino Tumeo and Fabrizio Ferrandi}, title = {High-level synthesis of memory bound and irregular parallel applications with Bambu}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478841}, doi = {10.1109/HOTCHIPS.2014.7478841}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/CastellanaTF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Chandhok14, author = {Rob Chandhok}, title = {The internet of everything}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--29}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478826}, doi = {10.1109/HOTCHIPS.2014.7478826}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Chandhok14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ChandraA14, author = {Vikas Chandra and Rob Aitken}, title = {Mobile hardware security}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--40}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478796}, doi = {10.1109/HOTCHIPS.2014.7478796}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ChandraA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ChenPSKDKWACP14, author = {Chia{-}Hsin Owen Chen and Sunghyun Park and Suvinay Subramanian and Tushar Krishna and Bhavya K. Daya and Woo{-}Cheol Kwon and Brett Wilkerson and John Arends and Anantha P. Chandrakasan and Li{-}Shiuan Peh}, title = {{SCORPIO:} 36-core shared memory processor demonstrating snoopy coherence on a mesh interconnect}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--20}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478827}, doi = {10.1109/HOTCHIPS.2014.7478827}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ChenPSKDKWACP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DittyAMW14, author = {Michael Ditty and John Montrym and Craig M. Wittenbrink}, title = {NVIDIA'S Tegra {K1} system-on-chip}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--26}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478809}, doi = {10.1109/HOTCHIPS.2014.7478809}, timestamp = {Fri, 12 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DittyAMW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Doorn14, author = {Leendert van Doorn}, title = {Secure systems design}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--31}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478797}, doi = {10.1109/HOTCHIPS.2014.7478797}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Doorn14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Durham14, author = {David Durham}, title = {Mitigating exploits, rootkits and advanced persistent threats}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--39}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478798}, doi = {10.1109/HOTCHIPS.2014.7478798}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Durham14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FilippoS14, author = {Mike Filippo and David Sonnier}, title = {{ARM} next-generation {IP} supporting Avago high-end networking}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--21}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478816}, doi = {10.1109/HOTCHIPS.2014.7478816}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/FilippoS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gschwind14, author = {Michael Gschwind}, title = {OpenPOWER: Reengineering a server ecosystem for large-scale data centers}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--28}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478829}, doi = {10.1109/HOTCHIPS.2014.7478829}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Gschwind14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gupta14, author = {Gagan Gupta}, title = {Have your cake in parallel and eat it sequentially too! Semantically sequential, parallel execution of multiprocessor programs}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478839}, doi = {10.1109/HOTCHIPS.2014.7478839}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Gupta14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Hassibi14, author = {Arjang Hassibi}, title = {{CMOS} biochips for point-of-care molecular diagnostics}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--32}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478814}, doi = {10.1109/HOTCHIPS.2014.7478814}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Hassibi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HuangCCTHPCCLW14, author = {Chung{-}Hsun Huang and Wei{-}Jen Chen and Keng{-}Jui Chang and Yi{-}Hsuan Ting and Keng{-}Chang Hsu and Yu{-}Fu Pan and Chao{-}Chun Chen and Yuan{-}Hua Chu and Tay{-}Jyi Lin and Jinn{-}Shyan Wang}, title = {Low power fixed-latency {DSP} accelerator with autonomous minimum energy tracking {(AMET)}}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478837}, doi = {10.1109/HOTCHIPS.2014.7478837}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HuangCCTHPCCLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KamoharaSIUAKP14, author = {Shiro Kamohara and Nobuyuki Sugii and Koichiro Ishibashi and Kimiyoshi Usami and Hideharu Amano and Kazutoshi Kobayashi and Cong{-}Kha Pham}, title = {A perpetuum mobile 32bit {CPU} on 65nm {SOTB} {CMOS} technology with reverse-body-bias assisted sleep mode}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478838}, doi = {10.1109/HOTCHIPS.2014.7478838}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KamoharaSIUAKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimK14, author = {Joonyoung Kim and Younsu Kim}, title = {{HBM:} Memory solution for bandwidth-hungry processors}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--24}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478812}, doi = {10.1109/HOTCHIPS.2014.7478812}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KimK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lee14, author = {Ruby B. Lee}, title = {University research in hardware security}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--27}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478799}, doi = {10.1109/HOTCHIPS.2014.7478799}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lee14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lee14a, author = {Ruby B. Lee}, title = {Security basics}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--32}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478795}, doi = {10.1109/HOTCHIPS.2014.7478795}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lee14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeCDD14, author = {Ruby B. Lee and Vikas Chandra and Leendert van Doorn and David Durham}, title = {HotChips security tutorial}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478794}, doi = {10.1109/HOTCHIPS.2014.7478794}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LeeCDD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MenonCTSE14, author = {Jaikrishnan Menon and Lorenzo De Carli and Vijayraghavan Thiruvengadam and Karthikeyan Sankaralingam and Cristian Estan}, title = {Memory processing units}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478840}, doi = {10.1109/HOTCHIPS.2014.7478840}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MenonCTSE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mericas14, author = {Alex E. Mericas}, title = {Performance characteristics of the {POWER8} processor}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--26}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478831}, doi = {10.1109/HOTCHIPS.2014.7478831}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Mericas14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MoloneyBRCBD14, author = {David Moloney and Brendan Barry and Richard Richmond and Fergal Connor and Cormac Brick and David Donohoe}, title = {Myriad 2: Eye of the computational vision storm}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--18}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478823}, doi = {10.1109/HOTCHIPS.2014.7478823}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MoloneyBRCBD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Momose14, author = {Shintaro Momose}, title = {{SX-ACE} processor: NEC's brand-new vector processor}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--27}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478805}, doi = {10.1109/HOTCHIPS.2014.7478805}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Momose14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Muller14, author = {Mike Muller}, title = {Power constraints: From sensors to servers}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--37}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478808}, doi = {10.1109/HOTCHIPS.2014.7478808}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Muller14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NaffzigerS14, author = {Samuel Naffziger and Guri Sohi}, title = {Welcome program chairs}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478804}, doi = {10.1109/HOTCHIPS.2014.7478804}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/NaffzigerS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/OuyangLQWYJ14, author = {Jian Ouyang and Shiding Lin and Wei Qi and Yong Wang and Bo Yu and Song Jiang}, title = {{SDA:} Software-defined accelerator for large-scale {DNN} systems}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--23}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478821}, doi = {10.1109/HOTCHIPS.2014.7478821}, timestamp = {Wed, 24 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/OuyangLQWYJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PapazianK14, author = {Irma Esmer Papazian and Sailesh Kottapalli}, title = {Ivybridge server architecture: {A} converged server}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--29}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478833}, doi = {10.1109/HOTCHIPS.2014.7478833}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/PapazianK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkSLYH14, author = {Woo{-}Chan Park and Hee{-}Jin Shin and Byoungok Lee and Hyung{-}Min Yoon and Tack{-}Don Han}, title = {RayChip{\textregistered}: Real-time ray-tracing chip for embedded applications}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--32}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478825}, doi = {10.1109/HOTCHIPS.2014.7478825}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkSLYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Phillips14, author = {Stephen Phillips}, title = {{M7:} Next generation {SPARC}}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--27}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478832}, doi = {10.1109/HOTCHIPS.2014.7478832}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Phillips14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PoligAHCRZH14, author = {Raphael Polig and Kubilay Atasu and Christoph Hagleitner and Laura Chiticariu and Frederick Reiss and Huaiyu Zhu and H. Peter Hofstee}, title = {Hardware-accelerated text analytics}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--24}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478822}, doi = {10.1109/HOTCHIPS.2014.7478822}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/PoligAHCRZH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Ramadass14, author = {Yogesh K. Ramadass}, title = {Powering the internet of things}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--50}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478800}, doi = {10.1109/HOTCHIPS.2014.7478800}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Ramadass14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SinghFY14, author = {Gaurav Singh and Greg Favor and Alfred Yeung}, title = {AppliedMicro X-Gene2}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--24}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478817}, doi = {10.1109/HOTCHIPS.2014.7478817}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/SinghFY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sivaramakrishnan14, author = {Ram Sivaramakrishnan and Sumti Jairath}, title = {Next generation {SPARC} processor cache hierarchy}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--28}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478828}, doi = {10.1109/HOTCHIPS.2014.7478828}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sivaramakrishnan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Stauffer14, author = {Mike Stauffer}, title = {Connecting the internet of everything}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--38}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478802}, doi = {10.1109/HOTCHIPS.2014.7478802}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Stauffer14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SzydzikFOM14, author = {Tomasz Szydzik and Marius Farcas and Valeriu Ohan and David Moloney}, title = {Level-3 {BLAS} on myriad multi-core media-processor SoC}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478835}, doi = {10.1109/HOTCHIPS.2014.7478835}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/SzydzikFOM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SzydzikM14, author = {Tomasz Szydzik and David Moloney}, title = {Precision refinement for media-processor SoCs: fp32 -{\textgreater} fp64 on myriad}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478834}, doi = {10.1109/HOTCHIPS.2014.7478834}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/SzydzikM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/VestAH14, author = {Brad Vest and Sean Atsatt and Mike Hutton}, title = {Design of a high-density SoC {FPGA} at 20nm}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--24}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478818}, doi = {10.1109/HOTCHIPS.2014.7478818}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/VestAH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Yoshida14, author = {Toshio Yoshida}, title = {SPARC64{\texttrademark} XIfx: Fujitsu's next generation processor for {HPC}}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--31}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478806}, doi = {10.1109/HOTCHIPS.2014.7478806}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Yoshida14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/YoshikawaSHHA14, author = {Takashi Yoshikawa and Jun Suzuki and Yoichi Hidaka and Junichi Higuchi and Shinji Abe}, title = {Bridge chip composing a PCIe switch over ethernet to make a seamless disaggregated computer in data-center scale}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478836}, doi = {10.1109/HOTCHIPS.2014.7478836}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/YoshikawaSHHA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/YoungG14, author = {Steve Young and Dinesh Gaitonde}, title = {High capacity and high performance 20nm FPGAs}, booktitle = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, pages = {1--21}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/HOTCHIPS.2014.7478820}, doi = {10.1109/HOTCHIPS.2014.7478820}, timestamp = {Sun, 19 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/YoungG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2014, title = {2014 {IEEE} Hot Chips 26 Symposium (HCS), Cupertino, CA, USA, August 10-12, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7470194/proceeding}, isbn = {978-1-4673-8883-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ArdestaniSDER13, author = {Ehsan K. Ardestani and Gabriel Southern and Jason Doung and Elnaz Ebrahimi and Jose Renau}, title = {{ESESC:} {A} fast performance, power, and temperature multicore simulator}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478330}, doi = {10.1109/HOTCHIPS.2013.7478330}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ArdestaniSDER13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AsanovicW13, author = {Krste Asanovic and Jan{-}Willem van de Waerdt}, title = {Welcome from general chairs}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478282}, doi = {10.1109/HOTCHIPS.2013.7478282}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/AsanovicW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BlottV13, author = {Michaela Blott and Kees A. Vissers}, title = {Dataflow architectures for 10Gbps line-rate key-value-stores}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--25}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478311}, doi = {10.1109/HOTCHIPS.2013.7478311}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BlottV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BouvierBFG13, author = {Dan Bouvier and Ben Bates and Walter Fry and Sreekanth Godey}, title = {{AMD} "kabini" {APU} {SOC}}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--25}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478299}, doi = {10.1109/HOTCHIPS.2013.7478299}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BouvierBFG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Bratt13, author = {Ian Bratt}, title = {{HSA} queueing}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--43}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478289}, doi = {10.1109/HOTCHIPS.2013.7478289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Bratt13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Brody13, author = {Michael Brody}, title = {The use and abuse of patents in the semiconductor industry}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--79}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478316}, doi = {10.1109/HOTCHIPS.2013.7478316}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Brody13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BublitzHD13, author = {Gunnar Bublitz and Ralph Hasholzner and Christian Drewes}, title = {Power management challenges in wireless {WAN} SoCs}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--25}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478318}, doi = {10.1109/HOTCHIPS.2013.7478318}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BublitzHD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Carver13, author = {Kristopher Carver}, title = {Microprocessors for roots-of-trust}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--17}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478322}, doi = {10.1109/HOTCHIPS.2013.7478322}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Carver13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/CerianiSTVP13, author = {Marco Ceriani and Simone Secchi and Antonino Tumeo and Oreste Villa and Gianluca Palermo}, title = {Exploring manycore multinode systems for irregular applications with {FPGA} prototyping}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478329}, doi = {10.1109/HOTCHIPS.2013.7478329}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/CerianiSTVP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Codrescu13, author = {Lucian Codrescu}, title = {Qualcomm Hexagon {DSP:} An architecture optimized for mobile multimedia and communications}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--23}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478317}, doi = {10.1109/HOTCHIPS.2013.7478317}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Codrescu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Colwell13, author = {Robert P. Colwell}, title = {The chip design game at the end of Moore's law}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--16}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478302}, doi = {10.1109/HOTCHIPS.2013.7478302}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Colwell13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Danilak13, author = {Radoslav Danilak}, title = {Design challenges in building an advanced {NAND} flash array controller for 19/20 nm {MLC}}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--12}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478294}, doi = {10.1109/HOTCHIPS.2013.7478294}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Danilak13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DavisCS13, author = {John D. Davis and Laura Caulfield and Steven Swanson}, title = {Flash trends: Challenges and future}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--42}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478297}, doi = {10.1109/HOTCHIPS.2013.7478297}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DavisCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Dobbelaere13, author = {Peter De Dobbelaere}, title = {Silicon Photonics Technology Platform for integration of optical IOs with ASICs}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--18}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478308}, doi = {10.1109/HOTCHIPS.2013.7478308}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Dobbelaere13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DongaraBDD13, author = {Praveen Dongara and Lloyd Bircher and John Darilek}, title = {"Richland" client {APU}}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--27}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478306}, doi = {10.1109/HOTCHIPS.2013.7478306}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DongaraBDD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Dukhan13, author = {Marat Dukhan}, title = {What a fast {FPU} means for algorithms: {A} story of vector elementary functions}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478327}, doi = {10.1109/HOTCHIPS.2013.7478327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Dukhan13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/EwertIV13, author = {Mark Ewert and Prakash Iyer and Vandana Venkatesan}, title = {Hotchips 2013: Clovertrail+ Smartphone SoC platform}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--22}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478301}, doi = {10.1109/HOTCHIPS.2013.7478301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/EwertIV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Flynn13, author = {David Flynn}, title = {Flash adoption in the enterprise}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--15}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478298}, doi = {10.1109/HOTCHIPS.2013.7478298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Flynn13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FlynnN13, author = {Michael J. Flynn and Donald K. Newell}, title = {Welcome}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478283}, doi = {10.1109/HOTCHIPS.2013.7478283}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/FlynnN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gaster13, author = {Benedict R. Gaster}, title = {{HSA} memory model}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--42}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478288}, doi = {10.1109/HOTCHIPS.2013.7478288}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Gaster13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Hammarlund13, author = {Per Hammarlund}, title = {4th generation Intel\({}^{\mbox{TM}}\) Core processor, codenamed Haswell}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--35}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478321}, doi = {10.1109/HOTCHIPS.2013.7478321}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Hammarlund13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Huffman13, author = {Amber Huffman}, title = {Delivering the full potential of PCIe storage}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--24}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478293}, doi = {10.1109/HOTCHIPS.2013.7478293}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Huffman13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JeffreyZBSA13, author = {Zoe Jeffrey and Xiaojun Zhai and Faycal Bensaali and Reza Sotudeh and Aladdin M. Ariyaeeinia}, title = {Automatic number plate recognition system on an {ARM-DSP} and {FPGA} heterogeneous SoC platforms}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--9}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478331}, doi = {10.1109/HOTCHIPS.2013.7478331}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/JeffreyZBSA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kidd13, author = {David Kidd}, title = {A 50{\%} lower power {ARM} Cortex {CPU} using {DDC} technology with body bias}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--21}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478307}, doi = {10.1109/HOTCHIPS.2013.7478307}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kidd13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LavasaniAC13, author = {Maysam Lavasani and Hari Angepat and Derek Chiou}, title = {An FPGA-based in-line accelerator for Memcached}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--23}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478313}, doi = {10.1109/HOTCHIPS.2013.7478313}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LavasaniAC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeSWAKA13, author = {Yunsup Lee and David Sheffield and Andrew Waterman and Michael J. Anderson and Kurt Keutzer and Krste Asanovic}, title = {Measuring the gap between programmable and fixed-function accelerators: {A} case study on speech recognition}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478326}, doi = {10.1109/HOTCHIPS.2013.7478326}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LeeSWAKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LisSCLD13, author = {Mieszko Lis and Keun Sup Shim and Brandon Cho and Ilia A. Lebedev and Srinivas Devadas}, title = {Hardware-level thread migration in a 110-core shared-memory multiprocessor}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--27}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478320}, doi = {10.1109/HOTCHIPS.2013.7478320}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LisSCLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MasubuchiS13, author = {Yoshio Masubuchi and Alan Jay Smith}, title = {In memoriam}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478284}, doi = {10.1109/HOTCHIPS.2013.7478284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MasubuchiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Miller13, author = {Michael J. Miller}, title = {Second generation bandwidth Engine{\textregistered} {IC} breaks 4.5 billion accesses/sec}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--21}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478314}, doi = {10.1109/HOTCHIPS.2013.7478314}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Miller13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MiuraKSTMKASNUK13, author = {Noriyuki Miura and Yusuke Koizumi and Eiichi Sasaki and Yasuhiro Take and Hiroki Matsutani and Tadahiro Kuroda and Hideharu Amano and Ryuichi Sakamoto and Mitaro Namiki and Kimiyoshi Usami and Masaaki Kondo and Hiroshi Nakamura}, title = {A scalable 3D heterogeneous multi-core processor with inductive-coupling thruchip interface}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478328}, doi = {10.1109/HOTCHIPS.2013.7478328}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MiuraKSTMKASNUK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Parat13, author = {Krishna Parat}, title = {{NAND} technology}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--18}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478292}, doi = {10.1109/HOTCHIPS.2013.7478292}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Parat13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Parviz13, author = {Babak A. Parviz}, title = {Being in the moment with Google glass}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--22}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478305}, doi = {10.1109/HOTCHIPS.2013.7478305}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Parviz13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RibeiroC13, author = {Milton Ribeiro and John Carey}, title = {5th generation touchscreen controller for mobile phones and tablets}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--11}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478319}, doi = {10.1109/HOTCHIPS.2013.7478319}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/RibeiroC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rogers13, author = {Phil Rogers}, title = {Heterogeneous system architecture overview}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--41}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478286}, doi = {10.1109/HOTCHIPS.2013.7478286}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rogers13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rowett13, author = {Kevin Rowett}, title = {Fast storage for big data - tutorial 2 implications of using flash devices in an enterprise storage server}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--23}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478295}, doi = {10.1109/HOTCHIPS.2013.7478295}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rowett13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sander13, author = {Ben Sander}, title = {{HSAIL:} Portable compiler {IR} for {HSA}}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--32}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478287}, doi = {10.1109/HOTCHIPS.2013.7478287}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sander13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SellO13, author = {John Sell and Patrick O'Connor}, title = {Main SoC and {XBOX} one kinect}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--18}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478300}, doi = {10.1109/HOTCHIPS.2013.7478300}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/SellO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sonnelitter13, author = {Robert J. Sonnelitter}, title = {{IBM} zEC12 processor subsystem}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--25}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478304}, doi = {10.1109/HOTCHIPS.2013.7478304}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sonnelitter13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/StarkS13, author = {Gavin Stark and Sakir Sezer}, title = {NFP-6xxx - a 22nm high-performance network flow processor for 200Gb/s Software Defined Networking}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--21}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478315}, doi = {10.1109/HOTCHIPS.2013.7478315}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/StarkS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/StudnitzerM13, author = {Ari Studnitzer and Oskar Mencer}, title = {Going to the wire: The next generation financial risk management platform}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--26}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478312}, doi = {10.1109/HOTCHIPS.2013.7478312}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/StudnitzerM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Stuecheli13, author = {Jeff Stuecheli}, title = {{POWER8}}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--20}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478303}, doi = {10.1109/HOTCHIPS.2013.7478303}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Stuecheli13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Vachharajani13, author = {Neil Vachharajani}, title = {Flash in an enterprise storage array - 10x performance for less than disk}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478296}, doi = {10.1109/HOTCHIPS.2013.7478296}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Vachharajani13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/VahidsafaB13, author = {Ali Vahidsafa and Sutikshan Bhutani}, title = {{SPARC} {M6} Oracle's next generation processor for enterprise systems}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--37}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478324}, doi = {10.1109/HOTCHIPS.2013.7478324}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/VahidsafaB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WatermanLACPA13, author = {Andrew Waterman and Yunsup Lee and Rimas Avizienis and Henry Cook and David A. Patterson and Krste Asanovic}, title = {The {RISC-V} instruction set}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478332}, doi = {10.1109/HOTCHIPS.2013.7478332}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/WatermanLACPA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WickiS13, author = {Thomas Wicki and Jurgen Schulz}, title = {Bixby: The scalability and coherence directory {ASIC} in Oracle's highly scalable enterprise systems}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--34}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478325}, doi = {10.1109/HOTCHIPS.2013.7478325}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/WickiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Yoshida13, author = {Toshio Yoshida}, title = {SPARC64{\texttrademark} {X+:} Fujitsu's next generation processor for {UNIX} servers}, booktitle = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, pages = {1--25}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2013.7478323}, doi = {10.1109/HOTCHIPS.2013.7478323}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Yoshida13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2013, title = {2013 {IEEE} Hot Chips 25 Symposium (HCS), Stanford University, CA, USA, August 25-27, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/7469690/proceeding}, isbn = {978-1-4673-8881-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BensonCFGHMNS12, author = {Jesse Benson and Ryan Cofell and Chris Frericks and Venkatraman Govindaraju and Chen{-}Han Ho and Zachary Marzec and Tony Nowatzki and Karu Sankaralingam}, title = {Prototyping the DySER specialization architecture with OpenSPARC}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--3}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476507}, doi = {10.1109/HOTCHIPS.2012.7476507}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BensonCFGHMNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Blachnitzky12, author = {Ben Blachnitzky}, title = {Augmented reality}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {93--103}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476467}, doi = {10.1109/HOTCHIPS.2012.7476467}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Blachnitzky12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Blessent12, author = {Luca Blessent}, title = {FSM{\texttrademark} femtocell station modem}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--17}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476497}, doi = {10.1109/HOTCHIPS.2012.7476497}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Blessent12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BornholtMM12, author = {James Bornholt and Todd Mytkowicz and Kathryn S. McKinley}, title = {The model is not enough: Understanding energy consumption in mobile devices}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--3}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476509}, doi = {10.1109/HOTCHIPS.2012.7476509}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BornholtMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Bushey12, author = {Robert Bushey}, title = {ADI's revolutionary BF60x vision focused digital signal processor system on chip: 25 billion operations/sec @ 80 mW and zero bandwidth}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--24}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476488}, doi = {10.1109/HOTCHIPS.2012.7476488}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Bushey12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Chrysos12, author = {George Chrysos}, title = {Intel{\textregistered} Xeon Phi coprocessor (codename Knights Corner)}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--31}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476487}, doi = {10.1109/HOTCHIPS.2012.7476487}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Chrysos12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DreslinskiFGKSF12, author = {Ronald G. Dreslinski and David Fick and Bharan Giridhar and Gyouho Kim and Sangwon Seo and Matthew Fojtik and Sudhir Satpathy and Yoonmyung Lee and Daeyeon Kim and Nurrachman Liu and Michael Wieckowski and Gregory K. Chen and Trevor N. Mudge and Dennis Sylvester and David T. Blaauw}, title = {Centip3De: {A} 64-core, 3D stacked, near-threshold system}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--30}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476490}, doi = {10.1109/HOTCHIPS.2012.7476490}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DreslinskiFGKSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DreslinskiSMSPB12, author = {Ronald G. Dreslinski and Korey Sewell and Thomas Manville and Sudhir Satpathy and Nathaniel Ross Pinckney and Geoffrey Blake and Michael Cieslak and Reetuparna Das and Thomas F. Wenisch and Dennis Sylvester and David T. Blaauw and Trevor N. Mudge}, title = {Swizzle Switch: {A} self-arbitrating high-radix crossbar for NoC systems}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476481}, doi = {10.1109/HOTCHIPS.2012.7476481}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/DreslinskiSMSPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Flynn12, author = {David Flynn}, title = {High performance State Retention with Power Gating applied to {CPU} subsystems - design approaches and silicon evaluation}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--3}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476506}, doi = {10.1109/HOTCHIPS.2012.7476506}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Flynn12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Gelsinger12, author = {Pat Gelsinger}, title = {Cloud transforms it Big Data transforms business}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--30}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476499}, doi = {10.1109/HOTCHIPS.2012.7476499}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Gelsinger12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GilbertR12, author = {Jeff Gilbert and Mark Rowland}, title = {The Intel{\textregistered} Xeon{\textregistered} processor {E5} family architecture, power efficiency, and performance}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--25}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476501}, doi = {10.1109/HOTCHIPS.2012.7476501}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GilbertR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GopiSF12, author = {Paramesh Gopi and Gaurav Singh and Greg Favor}, title = {X-Gene{\texttrademark}: 64-bit {ARM} {CPU} and SoC}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--19}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476502}, doi = {10.1109/HOTCHIPS.2012.7476502}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GopiSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GuptaSS12, author = {Gagan Gupta and Srinath Sridharan and Gurindar S. Sohi}, title = {Efficient, precise-restartable program execution on future multicores}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--3}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476510}, doi = {10.1109/HOTCHIPS.2012.7476510}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GuptaSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HuangLHLT12, author = {Po{-}Han Huang and Chi{-}Hung Lin and Hsien{-}Ching Hsieh and Huang{-}Lun Lin and Shing{-}Wu Tung}, title = {Low power and high performance 3-D multimedia platform}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--3}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476508}, doi = {10.1109/HOTCHIPS.2012.7476508}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HuangLHLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JahagirdarVSW12, author = {Sanjeev Jahagirdar and George Varghese and Inder Sodhi and Ryan Wells}, title = {Power management of the third generation intel core micro architecture formerly codenamed ivy bridge}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--49}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476478}, doi = {10.1109/HOTCHIPS.2012.7476478}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/JahagirdarVSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Katz12, author = {Itay Katz}, title = {Touch-free technology}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {82--92}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476466}, doi = {10.1109/HOTCHIPS.2012.7476466}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Katz12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lakka12, author = {Shankar Lakka}, title = {Xilinx {SSI} technology concept to silicon development overview}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--22}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476474}, doi = {10.1109/HOTCHIPS.2012.7476474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lakka12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lee12, author = {Choon Lee}, title = {Hot Chips: Stacking tutorial}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476472}, doi = {10.1109/HOTCHIPS.2012.7476472}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lee12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Liu12, author = {Kin{-}Yip Liu}, title = {High performance and efficient single-chip small cell base station SoC}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--29}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476496}, doi = {10.1109/HOTCHIPS.2012.7476496}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Liu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mantor12, author = {Mike Mantor}, title = {{AMD} Radeon{\texttrademark} {HD} 7970 with graphics core next {(GCN)} architecture}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--35}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476485}, doi = {10.1109/HOTCHIPS.2012.7476485}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Mantor12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mao12, author = {Sean Mao}, title = {ArcSoft multi-frame technologies}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {72--81}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476465}, doi = {10.1109/HOTCHIPS.2012.7476465}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Mao12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Maruyama12, author = {Takumi Maruyama}, title = {SPARC64{\texttrademark} {X:} Fujitsu's new generation 16 core processor for the next generation {UNIX} servers}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476503}, doi = {10.1109/HOTCHIPS.2012.7476503}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Maruyama12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Nussbaum12, author = {S{\'{e}}bastien Nussbaum}, title = {Hot Chips 2012 {AMD} "Trinity" {APU}}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476486}, doi = {10.1109/HOTCHIPS.2012.7476486}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Nussbaum12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Papermaster12, author = {Mark Papermaster}, title = {The surround computing {ERA}}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--26}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476484}, doi = {10.1109/HOTCHIPS.2012.7476484}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Papermaster12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Parker12, author = {Michael Parker}, title = {Floating point processing using FPGAs}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--31}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476493}, doi = {10.1109/HOTCHIPS.2012.7476493}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Parker12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Radojcic12, author = {Riko Radojcic}, title = {Roadmap for design and {EDA} infrastructure for 3D products}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--21}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476473}, doi = {10.1109/HOTCHIPS.2012.7476473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Radojcic12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RiddochP12, author = {David Riddoch and Steve Pope}, title = {{FPGA} augmented ASICs: The time has come}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476482}, doi = {10.1109/HOTCHIPS.2012.7476482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/RiddochP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rogenmoser12, author = {Robert Rogenmoser}, title = {Reducing transistor variability for high performance low power chips}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--19}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476495}, doi = {10.1109/HOTCHIPS.2012.7476495}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rogenmoser12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RuhlDJKYASRMSKK12, author = {Gregory Ruhl and Saurabh Dighe and Shailendra Jain and Surhud Khare and Satish Yada and V. Ambili and Praveen Salihundam and Shiva Ramani and Sriram Muthukumar and M. Srinivasan and Arun Kumar and Shasi Kumar and Rajaraman Ramanarayanan and Vasantha Erraguntla and Jason Howard and Sriram R. Vangal and Paolo A. Aseron and Howard Wilson and Nitin Borkar}, title = {An {IA-32} processor with a wide voltage operating range in 32nm {CMOS}}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--37}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476494}, doi = {10.1109/HOTCHIPS.2012.7476494}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/RuhlDJKYASRMSKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rupley12, author = {Jeff Rupley}, title = {"Jaguar" AMD's next generation low power x86 core}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476479}, doi = {10.1109/HOTCHIPS.2012.7476479}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rupley12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Shum12, author = {Chung{-}Lung Shum}, title = {{IBM} zNext - the 3rd generation high frequency microprocessor chip}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--18}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476505}, doi = {10.1109/HOTCHIPS.2012.7476505}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Shum12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Steele12, author = {Jim Steele}, title = {Sensor fusion mobile platform challenges and future directions}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {104--114}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476468}, doi = {10.1109/HOTCHIPS.2012.7476468}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Steele12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sudhakar12, author = {Ranganathan Sudhakar}, title = {ProAptiv: Efficient performance on a fully-synthesizable core}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--27}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476480}, doi = {10.1109/HOTCHIPS.2012.7476480}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sudhakar12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Trevett12, author = {Neil Trevett}, title = {{SOC} programming tutorial}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--71}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476464}, doi = {10.1109/HOTCHIPS.2012.7476464}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Trevett12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TurullolsS12, author = {Sebastian Turullols and Ram Sivaramakrishnan}, title = {{SPARC} {T5:} 16-core {CMT} processor with glueless 1-hop scaling to 8-sockets}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--37}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476504}, doi = {10.1109/HOTCHIPS.2012.7476504}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/TurullolsS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/UchiyamaATITB12, author = {Masato Uchiyama and Hideho Arakida and Yasuki Tanabe and Tsukasa Ike and Takanori Tamai and Moriyasu Banno}, title = {Visconti2 - a heterogeneous multi-core SoC for image-recognition applications}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--22}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476489}, doi = {10.1109/HOTCHIPS.2012.7476489}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/UchiyamaATITB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Weldon12, author = {Marcus Weldon}, title = {The future of wireless networking}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--24}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476492}, doi = {10.1109/HOTCHIPS.2012.7476492}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Weldon12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Wu12, author = {Ephrem Wu}, title = {Optical backplanes with 3D integrated photonics?}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476476}, doi = {10.1109/HOTCHIPS.2012.7476476}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Wu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WuR12, author = {Ephrem Wu and Suresh Ramalingam}, title = {FPGAs with 28Gb/s transceivers built with heterogeneous stacked-silicon interconnects}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476491}, doi = {10.1109/HOTCHIPS.2012.7476491}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/WuR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Zahir12, author = {Rumi Zahir}, title = {Medfield smartphone {SOC} Intel{\textregistered} Atom {Z2460} processor}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476498}, doi = {10.1109/HOTCHIPS.2012.7476498}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Zahir12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ZahirK12, author = {Rumi Zahir and Christos Kozyrakis}, title = {Welcome to Hot Chips 24}, booktitle = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, pages = {1--11}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2012.7476477}, doi = {10.1109/HOTCHIPS.2012.7476477}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ZahirK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2012, title = {2012 {IEEE} Hot Chips 24 Symposium (HCS), Cupertino, CA, USA, August 27-29, 2012}, publisher = {{IEEE}}, year = {2012}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7470196}, isbn = {978-1-4673-8879-5}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/0003K11, author = {Daniel S{\'{a}}nchez and Christos Kozyrakis}, title = {A few ways can take you a long way: Efficient and highly associative caches with scalable partitioning for many-core CMPs}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477514}, doi = {10.1109/HOTCHIPS.2011.7477514}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/0003K11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/AlonLS11, author = {Elad Alon and Hanh{-}Phuc Le and Seth Sanders}, title = {Fully integrated switched-capacitor {DC-DC} conversion}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--30}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477482}, doi = {10.1109/HOTCHIPS.2011.7477482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/AlonLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Asri11, author = {Mochamad Asri}, title = {Efficient fetch mechanism by employing instruction register}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477517}, doi = {10.1109/HOTCHIPS.2011.7477517}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Asri11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ColmenaresBEHKP11, author = {Juan A. Colmenares and Sarah Bird and Gage Eads and Steven A. Hofmeyr and Albert Kim and Rohit Poddar and Hilfi Alkaff and Krste Asanovic and John Kubiatowicz}, title = {Tessellation operating system: Building a real-time, responsive, high-throughput client {OS} for many-core architectures}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477518}, doi = {10.1109/HOTCHIPS.2011.7477518}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ColmenaresBEHKP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Cousins11, author = {Steve Cousins}, title = {Challenges of building personal robots}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--36}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477513}, doi = {10.1109/HOTCHIPS.2011.7477513}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Cousins11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/CoxDJ11, author = {George Cox and Charles Dike and D. J. Johnston}, title = {Intel's digital random number generator {(DRNG)}}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--13}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477490}, doi = {10.1109/HOTCHIPS.2011.7477490}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/CoxDJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Davies11, author = {Mike Davies}, title = {One billion packet per second frame processing pipeline}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--24}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477502}, doi = {10.1109/HOTCHIPS.2011.7477502}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Davies11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Demmel11, author = {Jim Demmel}, title = {Rethinking algorithms for future architectures: Communication-avoiding algorithms}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--63}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477498}, doi = {10.1109/HOTCHIPS.2011.7477498}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Demmel11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DhodapkarLLMBKK11, author = {Ashutosh Dhodapkar and Gary Lauterbach and Sean Lie and Dhiraj Mallick and Jim Bauman and Sundar Kanthadai and Toru Kuzuhara and Gene Shen and Min Xu and Chris Zhang}, title = {SeaMicro {SM10000-64} server: Building datacenter servers using cell phone chips}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--18}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477504}, doi = {10.1109/HOTCHIPS.2011.7477504}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DhodapkarLLMBKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Fan0WYSZF11, author = {Dongrui Fan and Hao Zhang and Da Wang and Xiaochun Ye and Fenglong Song and Junchao Zhang and Lingjun Fan}, title = {High-efficient architecture of Godson-T many-core processor}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--31}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477489}, doi = {10.1109/HOTCHIPS.2011.7477489}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/Fan0WYSZF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FoleySBSAPB11, author = {Denis Foley and Maurice Steinman and Alexander Branover and Greg Smaus and Antonio Asaro and Swamy Punyamurtula and Ljubisa Bajic}, title = {AMD'S "LLANO" Fusion {APU}}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--38}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477511}, doi = {10.1109/HOTCHIPS.2011.7477511}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/FoleySBSAPB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GallesM11, author = {Mike Galles and Shrijeet Mukherjee}, title = {Sereno: {A} second generation virtualized network interface controller}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--19}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477503}, doi = {10.1109/HOTCHIPS.2011.7477503}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GallesM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GardnerSPKB11, author = {Donald S. Gardner and Gerhard Schrom and Fabrice Paillet and Tanay Karnik and Shekhar Borkar}, title = {Integrated inductors with magnetic materials for on-chip power conversion}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--36}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477481}, doi = {10.1109/HOTCHIPS.2011.7477481}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GardnerSPKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GollaJ11, author = {Robert T. Golla and Paul J. Jordan}, title = {{T4:} {A} highly threaded server-on-a-chip with native support for heterogeneous computing}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--21}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477506}, doi = {10.1109/HOTCHIPS.2011.7477506}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GollaJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Haring11, author = {Ruud A. Haring}, title = {The Blue Gene/Q Compute chip}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--20}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477488}, doi = {10.1109/HOTCHIPS.2011.7477488}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Haring11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HartingPD11, author = {R. Curtis Harting and Vishal Parikh and William J. Dally}, title = {The utility of fast active messages on many-core chips: Efficient supercomputing project}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477516}, doi = {10.1109/HOTCHIPS.2011.7477516}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HartingPD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Jiang11, author = {Hong Jiang}, title = {The Intel{\textregistered} Quick Sync Video technology in the 2nd-generation Intel Core processor family}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--23}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477508}, doi = {10.1109/HOTCHIPS.2011.7477508}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Jiang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kessler11, author = {Richard E. Kessler}, title = {The Cavium 32 Core {OCTEON} {II} 68xx}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--33}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477487}, doi = {10.1109/HOTCHIPS.2011.7477487}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kessler11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kosonocky11, author = {Stephen Kosonocky}, title = {Practical power gating and dynamic voltage/frequency scaling}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--62}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477480}, doi = {10.1109/HOTCHIPS.2011.7477480}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kosonocky11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LeeABXLBA11, author = {Yunsup Lee and Rimas Avizienis and Alex Bishara and Richard Xia and Derek Lockhart and Christopher Batten and Krste Asanovic}, title = {The Maven vector-thread architecture}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477519}, doi = {10.1109/HOTCHIPS.2011.7477519}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LeeABXLBA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lempel11, author = {Oded Lempel}, title = {2nd Generation Intel{\textregistered} Core Processor Family: Intel{\textregistered} Core i7, i5 and i3}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--48}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477509}, doi = {10.1109/HOTCHIPS.2011.7477509}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lempel11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Li11, author = {Harry Li}, title = {Facebook: Server board design}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--20}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477485}, doi = {10.1109/HOTCHIPS.2011.7477485}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Li11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/May11, author = {David May}, title = {{XMOS} architecture {XS1} chips}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--30}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477496}, doi = {10.1109/HOTCHIPS.2011.7477496}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/May11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Michael11, author = {Amir Michael}, title = {Facebook: The open compute project}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--41}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477483}, doi = {10.1109/HOTCHIPS.2011.7477483}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Michael11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Miller11, author = {Michael J. Miller}, title = {Bandwidth engine{\textregistered} serial memory chip breaks 2 billion accesses/sec}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--23}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477493}, doi = {10.1109/HOTCHIPS.2011.7477493}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Miller11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Moloney11, author = {David Moloney}, title = {1TOPS/W software programmable media processor}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--24}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477507}, doi = {10.1109/HOTCHIPS.2011.7477507}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Moloney11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pangborn11, author = {Jeff Pangborn}, title = {Building a 40 Gbps next generation virtualized security processor: {HOT} {CHIPS} 23 - August 2011}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--21}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477492}, doi = {10.1109/HOTCHIPS.2011.7477492}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Pangborn11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pawlowski11, author = {J. Thomas Pawlowski}, title = {Hybrid memory cube {(HMC)}}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--24}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477494}, doi = {10.1109/HOTCHIPS.2011.7477494}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Pawlowski11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RajagopalanBDTW11, author = {Vidya Rajagopalan and Vamsi Boppana and Sandeep Dutta and Brad Taylor and Ralph Wittig}, title = {Xilinx Zynq-7000 {EPP:} An extensible processing platform family}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--24}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477495}, doi = {10.1109/HOTCHIPS.2011.7477495}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/RajagopalanBDTW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Ramey11, author = {Carl Ramey}, title = {TILE-Gx100 ManyCore processor: Acceleration interfaces and architecture}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--21}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477491}, doi = {10.1109/HOTCHIPS.2011.7477491}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Ramey11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RotemNRAW11, author = {Efi Rotem and Alon Naveh and Doron Rajwan and Avinash Ananthakrishnan and Eliezer Weissmann}, title = {Power management architecture of the 2nd generation Intel{\textregistered} Core microarchitecture, formerly codenamed Sandy Bridge}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--33}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477510}, doi = {10.1109/HOTCHIPS.2011.7477510}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/RotemNRAW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/RowenNRHMKMAHPG11, author = {Chris Rowen and Dan Nicolaescu and Rajiv Ravindran and David Heine and Grant Martin and James Kim and Dror E. Maydan and Nupur Andrews and Bill Huffman and Vakis Papaparaskeva and Shay Gal{-}On and Peter R. Nuth and Pushkar Patwardhan and Manish Paradkar}, title = {The world's fastest {DSP} core: Breaking the 100 GMAC/s barrier}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--25}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477497}, doi = {10.1109/HOTCHIPS.2011.7477497}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/RowenNRHMKMAHPG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sarti11, author = {Pierluigi Sarti}, title = {Facebook: Efficient power distribution: 277Vac distribution w/o centralized {UPS} 95{\%} high efficiency solution battery cabinet as distributed backup energy unit}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--33}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477486}, doi = {10.1109/HOTCHIPS.2011.7477486}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sarti11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Segars11, author = {Simon Segars}, title = {{ARM} processor evolution: Bringing high performance to mobile devices}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--37}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477500}, doi = {10.1109/HOTCHIPS.2011.7477500}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Segars11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SeveranceL11, author = {Aaron Severance and Guy Lemieux}, title = {{VENICE:} {A} compact vector processor for {FPGA} applications}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477515}, doi = {10.1109/HOTCHIPS.2011.7477515}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/SeveranceL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ShiraniF11, author = {Ramin Shirani and Ramin Farjadrad}, title = {Low-power high-density 10GBASE-T ethernet transceiver}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--20}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477501}, doi = {10.1109/HOTCHIPS.2011.7477501}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ShiraniF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Undy11, author = {Steven R. Undy}, title = {Poulson: An 8 core 32 nm next generation Intel{\textregistered} Itanium{\textregistered} processor}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--22}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477505}, doi = {10.1109/HOTCHIPS.2011.7477505}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Undy11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/White11, author = {Sean White}, title = {High-performance power-efficient x86-64 server and desktop processors using the core codenamed "Bulldozer"}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--32}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477512}, doi = {10.1109/HOTCHIPS.2011.7477512}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/White11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/YeeM11, author = {Dawson Yee and Scott McEldowney}, title = {Electrons, photons, phonons, wave, bits, and industrial design: Microsoft kinect sensor: Hot chips 23}, booktitle = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, pages = {1--20}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2011.7477499}, doi = {10.1109/HOTCHIPS.2011.7477499}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/YeeM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2011, title = {2011 {IEEE} Hot Chips 23 Symposium (HCS), Stanford, CA, USA, August 17-19, 2011}, publisher = {{IEEE}}, year = {2011}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7470410}, isbn = {978-1-4673-8877-1}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Alduino10, author = {Andrew Alduino}, title = {Demonstration of a high speed 4-channel integrated silicon photonics {WDM} link with hybrid silicon lasers}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--29}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480075}, doi = {10.1109/HOTCHIPS.2010.7480075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Alduino10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ArimilliBCDSM10, author = {L. Baba Arimilli and Steve Baumgartner and Scott Clark and Daniel Dreps and David W. Siljenberg and Andrew Maki}, title = {The {IBM} {POWER7} {HUB} module: {A} terabyte interconnect switch for high-performance computer systems}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--33}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480080}, doi = {10.1109/HOTCHIPS.2010.7480080}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ArimilliBCDSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BaskettBLMT10, author = {Forest Baskett and Craig Barratt and Leo Li and Tom Malloy and Ford Tamer}, title = {Panel session Asia: Partner or competitor?}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--2}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480076}, doi = {10.1109/HOTCHIPS.2010.7480076}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BaskettBLMT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Brash10, author = {David Brash}, title = {Extensions to the ARMv7-A architecture}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--21}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480070}, doi = {10.1109/HOTCHIPS.2010.7480070}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Brash10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BrownWBJ10, author = {Jeffrey D. Brown and Sandra Woodward and Brian Bass and Charlie Johnson}, title = {The {IBM} power edge of Network{\texttrademark} processor: {A} wire-speed system-on-a-chip with 16 Power{\texttrademark} cores / 64 threads and optimized {HW} acceleration}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--20}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480073}, doi = {10.1109/HOTCHIPS.2010.7480073}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BrownWBJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Burgess10, author = {Brad Burgess}, title = {"Bobcat" AMD's new low power x86 core architecture}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--20}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480087}, doi = {10.1109/HOTCHIPS.2010.7480087}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Burgess10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Butler10, author = {Mike Butler}, title = {"Bulldozer" a new approach to mult ithreaded compute performance}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--17}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480086}, doi = {10.1109/HOTCHIPS.2010.7480086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Butler10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Coppola10, author = {Marcello Coppola}, title = {Spidergon STNoC: The technology that adds value to your System}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--39}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480082}, doi = {10.1109/HOTCHIPS.2010.7480082}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Coppola10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Curran10, author = {Brian W. Curran}, title = {{IBM} zEnterprise 196 processor}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--31}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480085}, doi = {10.1109/HOTCHIPS.2010.7480085}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Curran10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Davis10, author = {Al Davis}, title = {Photonics and future datacenter networks}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--38}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480062}, doi = {10.1109/HOTCHIPS.2010.7480062}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Davis10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Doller10, author = {Ed Doller}, title = {Forging a future in memory: New technologies, new markets, new applications}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--28}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480056}, doi = {10.1109/HOTCHIPS.2010.7480056}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Doller10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FloydBWRDLP10, author = {Michael S. Floyd and Bishop Brock and Malcolm S. Ware and Karthick Rajamani and Alan J. Drake and Charles Lefurgy and Lorena Pesantez}, title = {Adaptive energy management features of the {POWER7TM} processor}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--18}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480068}, doi = {10.1109/HOTCHIPS.2010.7480068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/FloydBWRDLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Freitas10, author = {Rich Freitas}, title = {Storage class memory: Technology, systems and applications}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--37}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480060}, doi = {10.1109/HOTCHIPS.2010.7480060}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Freitas10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GouldingSVGABTS10, author = {Nathan Goulding and Jack Sampson and Ganesh Venkatesh and Saturnino Garcia and Joe Auricchio and Jonathan Babb and Michael B. Taylor and Steven Swanson}, title = {GreenDroid: {A} mobile application processor for a future of dark silicon}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--39}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480072}, doi = {10.1109/HOTCHIPS.2010.7480072}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GouldingSVGABTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Handy10, author = {Jim Handy}, title = {The inevitable rise of {NVM} in computing}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--29}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480059}, doi = {10.1109/HOTCHIPS.2010.7480059}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Handy10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HendryB10, author = {Gilbert Hendry and Keren Bergman}, title = {Hybrid on-chip data networks}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--49}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480064}, doi = {10.1109/HOTCHIPS.2010.7480064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HendryB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/HillC10, author = {Dave Hill and Muntaquim Chowdhury}, title = {Westmere Xeon-56xx "Tick" {CPU}}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--38}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480084}, doi = {10.1109/HOTCHIPS.2010.7480084}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/HillC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Huhnke10, author = {Burkhard Huhnke}, title = {Looking at transportation in new ways}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--75}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480089}, doi = {10.1109/HOTCHIPS.2010.7480089}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Huhnke10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JensenD10, author = {Rune Jensen and Bob Drehmel}, title = {The new Xbox 360 250GB {CPU} {GPU} SoC}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--17}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480069}, doi = {10.1109/HOTCHIPS.2010.7480069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/JensenD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Johnston10, author = {Jim Johnston}, title = {Solving 4G challenges for pico, micro and macrocell platforms}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--24}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480071}, doi = {10.1109/HOTCHIPS.2010.7480071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Johnston10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KhanL10, author = {Aurangzeb Khan and Dan Lenoski}, title = {Nonvolatile memory seminar Hot Chips 2010}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--12}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480054}, doi = {10.1109/HOTCHIPS.2010.7480054}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KhanL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kim10, author = {Tony Kim}, title = {Managing the evolution of flash: beyond memory to storage}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--35}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480055}, doi = {10.1109/HOTCHIPS.2010.7480055}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kim10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kirsch10, author = {Paul Kirsch}, title = {Memory overview and {RRAM} materials development at {SEMATECH}}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--21}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480058}, doi = {10.1109/HOTCHIPS.2010.7480058}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kirsch10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Krishnamoorthy10, author = {Ashok V. Krishnamoorthy}, title = {"Overview of short-reach optical interconnects: From VCSELs to silicon nanophotonics"}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--31}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480061}, doi = {10.1109/HOTCHIPS.2010.7480061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Krishnamoorthy10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LindtjornCPMF10, author = {Olav Lindtjorn and Robert G. Clapp and Oliver Pell and Oskar Mencer and Michael J. Flynn}, title = {Surviving the end of scaling of traditional micro processors in {HPC}}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--47}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480067}, doi = {10.1109/HOTCHIPS.2010.7480067}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LindtjornCPMF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Liu10, author = {Frankie Liu}, title = {Optical interconnect circuits: Some design considerations}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--29}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480065}, doi = {10.1109/HOTCHIPS.2010.7480065}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Liu10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LynchK10, author = {Bill Lynch and Sailesh Kumar}, title = {Smart memory}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480074}, doi = {10.1109/HOTCHIPS.2010.7480074}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LynchK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Madurawe10, author = {Raminda Madurawe}, title = {3D {FPGA} {\&} 3D {ASIC} worlds first unified 3D {IC} design platform}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--16}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480079}, doi = {10.1109/HOTCHIPS.2010.7480079}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Madurawe10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/MansurS10, author = {Dan Mansur and Sergey Y. Shumarayev}, title = {Introducing 28-nm stratix VFPGAs: Built for bandwidth}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--23}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480078}, doi = {10.1109/HOTCHIPS.2010.7480078}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/MansurS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/NagarajK10, author = {Dheemanth Nagaraj and Sailesh Kottapalli}, title = {Westmere-EX: {A} 20 thread server {CPU}}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--18}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480083}, doi = {10.1109/HOTCHIPS.2010.7480083}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/NagarajK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Petrou10, author = {David Petrou}, title = {Search by sight: Google{\texttrademark} goggles}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--48}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480088}, doi = {10.1109/HOTCHIPS.2010.7480088}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Petrou10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Stojanovic10, author = {Vladimir Stojanovic}, title = {Silicon photonics and memories}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--50}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480063}, doi = {10.1109/HOTCHIPS.2010.7480063}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Stojanovic10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TaylorW10, author = {Brad Taylor and Ralph Wittig}, title = {28nm generation programmable families}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--25}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480077}, doi = {10.1109/HOTCHIPS.2010.7480077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/TaylorW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Tehrani10, author = {Saied Tehrani}, title = {Status and prospect for {MRAM} technology}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--23}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480057}, doi = {10.1109/HOTCHIPS.2010.7480057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Tehrani10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Welch10, author = {Brian Welch}, title = {Silicon photonics: Optical connectivity at 25 Gbps and beyond}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--19}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480081}, doi = {10.1109/HOTCHIPS.2010.7480081}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Welch10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/WittenbrinkKP10, author = {Craig M. Wittenbrink and Emmett Kilgariff and Arjun Prabhu}, title = {Fermi {GF100} graphics processing unit {(GPU)}}, booktitle = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, pages = {1--27}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2010.7480066}, doi = {10.1109/HOTCHIPS.2010.7480066}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/WittenbrinkKP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2010, title = {2010 {IEEE} Hot Chips 22 Symposium, Stanford, CA, USA, August 22-24, 2010}, publisher = {{IEEE}}, year = {2010}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7470093}, isbn = {978-1-4673-8875-7}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Ajanovic09, author = {Jasmin Ajanovic}, title = {{PCI} express 3.0 overview}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--61}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478337}, doi = {10.1109/HOTCHIPS.2009.7478337}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Ajanovic09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Alfke09, author = {Peter Alfke}, title = {Xilinx Virtex-6 and Spartan-6 {FPGA} families}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--20}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478379}, doi = {10.1109/HOTCHIPS.2009.7478379}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Alfke09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Birkner09, author = {John Birkner}, title = {Ultra low power {FPGA} fuels faster feature evolution in mobile applications}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--22}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478377}, doi = {10.1109/HOTCHIPS.2009.7478377}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Birkner09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ChowSPM09, author = {Paul Chow and Manuel Salda{\~{n}}a and Arun Patel and Christopher A. Madill}, title = {Programming the Nallatech Xeon + multi-FPGA heterogeneous platform}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--16}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478369}, doi = {10.1109/HOTCHIPS.2009.7478369}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ChowSPM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ConwayKDLH09, author = {Pat Conway and Nathan Kalyanasundharam and Gregg Donley and Kevin Lepak and Bill Hughes}, title = {Blade computing with the {AMD} Opteron{\texttrademark} processor ("magny-cours")}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--19}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478349}, doi = {10.1109/HOTCHIPS.2009.7478349}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ConwayKDLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Duato09, author = {Jos{\'{e}} Duato}, title = {HyperTransport{\texttrademark} technology tutorial}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--53}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478335}, doi = {10.1109/HOTCHIPS.2009.7478335}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Duato09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DuatoSA09, author = {Jos{\'{e}} Duato and Robert J. Safranek and Jasmin Ajanovic}, title = {Tutorial {\#}1: Modern system interconnects}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--7}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478334}, doi = {10.1109/HOTCHIPS.2009.7478334}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DuatoSA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Hart09, author = {Michael J. Hart}, title = {Technology scaling at an inflexion point: What next? {(FPGA} perspective)}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478384}, doi = {10.1109/HOTCHIPS.2009.7478384}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Hart09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Houston09, author = {Mike Houston}, title = {{AMD} and OpenCL}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--25}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478344}, doi = {10.1109/HOTCHIPS.2009.7478344}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Houston09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Huang09, author = {Jen{-}Hsun Huang}, title = {2009: The {GPU} computing tipping point}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--29}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478352}, doi = {10.1109/HOTCHIPS.2009.7478352}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Huang09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Intrater09, author = {Gideon D. Intrater}, title = {The world's first {USB3.0} storage controller}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--22}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478353}, doi = {10.1109/HOTCHIPS.2009.7478353}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Intrater09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KallaS09, author = {Ronald N. Kalla and Balaram Sinharoy}, title = {{POWER7:} IBM's next generation server processor}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--12}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478381}, doi = {10.1109/HOTCHIPS.2009.7478381}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KallaS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KidoMHHNY09, author = {Hideaki Kido and Shoji Muramatsu and Yasuhiko Hoshi and Hiroyuki Hamasaki and Atsushi Nakamura and Akihiro Yamamoto}, title = {SoC for car navigation systems with a 53.3 {GOPS} image recognition engine}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--22}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478375}, doi = {10.1109/HOTCHIPS.2009.7478375}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KidoMHHNY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KottapalliB09, author = {Sailesh Kottapalli and Jeff Baxter}, title = {Nahalem-EX {CPU} architecture}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--19}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478350}, doi = {10.1109/HOTCHIPS.2009.7478350}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KottapalliB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KozyrakisO09, author = {Christos Kozyrakis and Kunle Olukotun}, title = {The stanford pervasive parallelism lab}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--29}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478358}, doi = {10.1109/HOTCHIPS.2009.7478358}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KozyrakisO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KucharskiT09, author = {Daniel Kucharski and Luxtera Team}, title = {40Gb/s optical active cable using monolithic transceivers implemented in silicon photonics enabled 0.13-{\(\mathrm{\mu}\)}m {SOI} {CMOS} Technology}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--24}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478354}, doi = {10.1109/HOTCHIPS.2009.7478354}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KucharskiT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KyoNKLO09, author = {Shorin Kyo and Shouhei Nomoto and Takuya Koga and Hanno Lieske and Shin'ichiro Okazaki}, title = {{IMAPCAR2:} {A} dynamic {SIMD/MIMD} mode switching processor for embedded systems}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--33}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478374}, doi = {10.1109/HOTCHIPS.2009.7478374}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KyoNKLO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lamb09, author = {Chris Lamb}, title = {OpenCL for {NVIDIA} GPUs}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--24}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478346}, doi = {10.1109/HOTCHIPS.2009.7478346}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lamb09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lauwers09, author = {Lode Lauwers}, title = {Technology scaling at an inflection point what's next?}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--12}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478366}, doi = {10.1109/HOTCHIPS.2009.7478366}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lauwers09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Leigh09, author = {Kevin Leigh}, title = {Innovation envelope: Hot chips in blades}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--19}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478351}, doi = {10.1109/HOTCHIPS.2009.7478351}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Leigh09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LooiJ09, author = {Lily Pao Looi and St{\'{e}}phan Jourdan}, title = {Transitioning the Intel{\textregistered} next generation microarchitectures (nehalem and westmere) into the mainstream}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--18}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478362}, doi = {10.1109/HOTCHIPS.2009.7478362}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LooiJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mansur09, author = {Dan Mansur}, title = {Newest additions to Altera's integrated transceiver portfolio}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--18}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478378}, doi = {10.1109/HOTCHIPS.2009.7478378}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Mansur09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Maruyama09, author = {Takumi Maruyama}, title = {SPARC64{\texttrademark} VIIIfx: Fujitsu's new generation octo core processor for {PETA} scale computing}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--21}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478367}, doi = {10.1109/HOTCHIPS.2009.7478367}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Maruyama09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Mattson09, author = {Tim Mattson}, title = {OpenCL*, heterogeneous computing, and the {CPU}}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--26}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478345}, doi = {10.1109/HOTCHIPS.2009.7478345}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Mattson09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Munshi09, author = {Aaftab Munshi}, title = {The OpenCL specification}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--314}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478342}, doi = {10.1109/HOTCHIPS.2009.7478342}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Munshi09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/OsborneK09, author = {Colin Osborne and Ralf Karge}, title = {{PNX85500} single chip {LCD} {TV} system with integrated 120Hz {HD} frame rate converter}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--24}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478373}, doi = {10.1109/HOTCHIPS.2009.7478373}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/OsborneK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PartridgeT09, author = {Aaron Partridge and Sassan Tabatabaei}, title = {Silicon {MEMS} oscillators for high speed digital systems}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--25}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478376}, doi = {10.1109/HOTCHIPS.2009.7478376}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/PartridgeT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Patel09, author = {Rajesh Patel}, title = {Moorestown platform: Based on lincroft SoC designed for next generation smartphones}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--18}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478359}, doi = {10.1109/HOTCHIPS.2009.7478359}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Patel09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Patel09a, author = {Sanjay Patel}, title = {Rainbow falls sun's next generation {CMT} processor}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--19}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478380}, doi = {10.1109/HOTCHIPS.2009.7478380}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Patel09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Patterson09, author = {David Patterson}, title = {Overview of the {UC} Berkeley Par Lab}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--38}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478356}, doi = {10.1109/HOTCHIPS.2009.7478356}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Patterson09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pulli09, author = {Kari Pulli}, title = {OpenCL in handheld devices}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--20}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478348}, doi = {10.1109/HOTCHIPS.2009.7478348}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Pulli09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pursai09, author = {Sridhar Pursai}, title = {NVIDIA{\textregistered} Ion}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--28}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478361}, doi = {10.1109/HOTCHIPS.2009.7478361}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Pursai09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Safranek09, author = {Robert J. Safranek}, title = {Intel{\textregistered} QuickPath interconnect overview}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--27}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478336}, doi = {10.1109/HOTCHIPS.2009.7478336}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Safranek09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Schenk09, author = {Eric Schenk}, title = {Game developer's perspective on OpenCL}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--44}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478347}, doi = {10.1109/HOTCHIPS.2009.7478347}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Schenk09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sharma09, author = {Debendra Das Sharma}, title = {Intel{\textregistered} 5520 chipset: An {I} / {O} hub chipset for server, workstation, and high end desktop}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--18}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478355}, doi = {10.1109/HOTCHIPS.2009.7478355}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sharma09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Snir09, author = {Marc Snir}, title = {Universal parallel computing research center at Illinois}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--36}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478357}, doi = {10.1109/HOTCHIPS.2009.7478357}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Snir09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Spracklen09, author = {Lawrence Spracklen}, title = {Sun's 3rd generation on-chip UltraSPARC security accelerator}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--25}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478371}, doi = {10.1109/HOTCHIPS.2009.7478371}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Spracklen09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Starke09, author = {William J. Starke}, title = {{POWER7:} IBM's next generation, balanced {POWER} server chip}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--32}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478382}, doi = {10.1109/HOTCHIPS.2009.7478382}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Starke09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Trevett09, author = {Neil Trevett}, title = {Khronos and the OpenCL standard}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--12}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478341}, doi = {10.1109/HOTCHIPS.2009.7478341}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Trevett09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Witt09, author = {David Witt}, title = {{OMAP4430} architecture and development}, booktitle = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, pages = {1--16}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2009.7478360}, doi = {10.1109/HOTCHIPS.2009.7478360}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Witt09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2009, title = {2009 {IEEE} Hot Chips 21 Symposium (HCS), Stanford, CA, USA, August 23-25, 2009}, publisher = {{IEEE}}, year = {2009}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7469688}, isbn = {978-1-4673-8873-3}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Adl-Tabatabai06, author = {Ali{-}Reza Adl{-}Tabatabai}, title = {Transactional memory introduction}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--21}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477738}, doi = {10.1109/HOTCHIPS.2006.7477738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Adl-Tabatabai06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Akiyama06, author = {James Akiyama}, title = {Hot chips 18 industry panel: Who owns the living room?}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--5}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477856}, doi = {10.1109/HOTCHIPS.2006.7477856}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Akiyama06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BaasYMSAWWLGCCT06, author = {Bevan M. Baas and Zhiyi Yu and Michael J. Meeuwsen and Omar Sattari and Ryan W. Apperson and Eric W. Work and Jeremy W. Webb and Michael A. Lai and Daniel Gurman and Chi Chen and Jason Cheung and Dean Truong and Tinoosh Mohsenin}, title = {Hardware and applications of AsAP: An asynchronous array of simple processors}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--31}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477855}, doi = {10.1109/HOTCHIPS.2006.7477855}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BaasYMSAWWLGCCT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BinkY06, author = {Arjan Bink and Richard York}, title = {ARM996HS{\texttrademark} the first licensable, clockless 32-bit processor core}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--28}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477862}, doi = {10.1109/HOTCHIPS.2006.7477862}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BinkY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/BivolarskiMSSTT06, author = {Lazar Bivolarski and Bogdan Mitu and Anand Sheel and Gheorghe Stefan and Tom Thomson and Dan Tomescu}, title = {The {CA1024:} {A} fully programmable system-on-chip for costeffective {HDTV} media processing}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--26}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477854}, doi = {10.1109/HOTCHIPS.2006.7477854}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/BivolarskiMSSTT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Brummer06, author = {Bob Brummer}, title = {Microsoft microsoft in the living room}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--5}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477857}, doi = {10.1109/HOTCHIPS.2006.7477857}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Brummer06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ChengCRBD06, author = {Kai Cheng and Sundaram Chinthamani and Sivakumar Radhakrishnan and Faye A. Briggs and Kathy Debnath}, title = {Blackford: {A} duall processor chipset for servers and workstatiions}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--28}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477875}, doi = {10.1109/HOTCHIPS.2006.7477875}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ChengCRBD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ConwayH06, author = {Pat Conway and Bill Hughes}, title = {The {AMD} Opteron{\texttrademark} {CMP} NorthBridge architecture: Now and in the future}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--30}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477747}, doi = {10.1109/HOTCHIPS.2006.7477747}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ConwayH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Cummings06, author = {Uri Cummings}, title = {A low-latency, high-bandwidth ethernet switch chip}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--26}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477870}, doi = {10.1109/HOTCHIPS.2006.7477870}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Cummings06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Curtis06, author = {Bill Curtis}, title = {Who owns the living room?}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--8}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477858}, doi = {10.1109/HOTCHIPS.2006.7477858}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Curtis06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/DouglassVA06, author = {Steve Douglass and Kees A. Vissers and Peter Alfke}, title = {The next generation 65-nm {FPGA}}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--27}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477750}, doi = {10.1109/HOTCHIPS.2006.7477750}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/DouglassVA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Doweck06, author = {Jack Doweck}, title = {Inside Intel{\textregistered} Core microarchitecture}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--35}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477876}, doi = {10.1109/HOTCHIPS.2006.7477876}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Doweck06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/FischSP06, author = {David E. Fisch and Anant Singh and Greg Popov}, title = {Z-RAM{\textregistered} ultra-dense memory for 90nm and below}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--35}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477748}, doi = {10.1109/HOTCHIPS.2006.7477748}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/FischSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/GilbertHGS06, author = {Jeffrey D. Gilbert and Stephen H. Hunt and Daniel Gunadi and Ganapati Srinivas}, title = {The tulsa processor: {A} dual core large shared-cache Intel{\textregistered} Xeon processor 7000 sequence for the {MP} server market segment}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--38}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477873}, doi = {10.1109/HOTCHIPS.2006.7477873}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/GilbertHGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Grohoski06, author = {Greg Grohoski}, title = {Niagara-2: {A} highly threaded server-on-a-chip}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--22}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477874}, doi = {10.1109/HOTCHIPS.2006.7477874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Grohoski06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Hill06, author = {Stephen Hill}, title = {Design of a reusable 1GHz, superscalar {ARM} processor}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--18}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477864}, doi = {10.1109/HOTCHIPS.2006.7477864}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Hill06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ItoIYNKTAYTTHYO06, author = {Masayuki Ito and Takahiro Irita and Eiji Yamamoto and Kunihiko Nishiyama and Takao Koike and Yoshihiko Tsuchihashi and Hiroyuki Asano and Hiroshi Yagi and Saneaki Tamaki and Ken Tatezawa and Toshihiro Hattori and Shinichi Yoshioka and Koji Ohno}, title = {SH-MobileG1: {A} single-chip application and dual-mode baseband processor}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--24}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477871}, doi = {10.1109/HOTCHIPS.2006.7477871}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ItoIYNKTAYTTHYO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/JonesB06, author = {Anthony Mark Jones and Mike Butts}, title = {TeraOPS hardware: {A} new massively-parallel {MIMD} computing fabric {IC}}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--15}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477853}, doi = {10.1109/HOTCHIPS.2006.7477853}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/JonesB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kinter06, author = {Ryan Kinter}, title = {The MIPS32{\textregistered} 34K processor: Ultimate design flexibility for embedded applications}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--21}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477863}, doi = {10.1109/HOTCHIPS.2006.7477863}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kinter06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KlechaKO06, author = {Marcin Klecha and Ralf Karge and Richard O'Connor}, title = {Home entertainment-quality multimedia experience whilst on the move}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--22}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477744}, doi = {10.1109/HOTCHIPS.2006.7477744}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KlechaKO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Kozyrakis06, author = {Christos Kozyrakis}, title = {Transactional memory implementation overview}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--31}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477740}, doi = {10.1109/HOTCHIPS.2006.7477740}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Kozyrakis06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lin06, author = {Yuan Lin}, title = {Multithreaded programming challenges, current practice, and languages/tools support}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--134}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477737}, doi = {10.1109/HOTCHIPS.2006.7477737}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lin06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LinYRC06, author = {Edward C. Lin and Kai Yu and Rob A. Rutenbar and Tsuhan Chen}, title = {In silico vox: Towards speech recognition in silicon}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--27}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477867}, doi = {10.1109/HOTCHIPS.2006.7477867}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LinYRC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LukADM06, author = {Wayne Luk and Kubilay Atasu and Robert G. Dimond and Oskar Mencer}, title = {Towards optimal custom instruction processors}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--23}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477865}, doi = {10.1109/HOTCHIPS.2006.7477865}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/LukADM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Lunteren06, author = {Jan van Lunteren}, title = {A novel processor architecture for high-performance stream processing}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--24}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477868}, doi = {10.1109/HOTCHIPS.2006.7477868}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Lunteren06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Messer06, author = {Alan Messer}, title = {Who owns the living room?}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477859}, doi = {10.1109/HOTCHIPS.2006.7477859}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Messer06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Meyerson06, author = {Bernard S. Meyerson}, title = {Collaborative innovation; a new lever in information technology development}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--27}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477866}, doi = {10.1109/HOTCHIPS.2006.7477866}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Meyerson06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/PattersonAACHKL06, author = {David A. Patterson and Arvind and Krste Asanovic and Derek Chiou and James C. Hoe and Christos Kozyrakis and Shih{-}Lien Lu and Mark Oskin and Jan M. Rabaey and John Wawrzynek}, title = {Research accelerator for multiple processors}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--42}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477751}, doi = {10.1109/HOTCHIPS.2006.7477751}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/PattersonAACHKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Pronk06, author = {Ben J. Pronk}, title = {{PNX8535} hybrid television processor}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--22}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477742}, doi = {10.1109/HOTCHIPS.2006.7477742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Pronk06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rabaey06, author = {Jan M. Rabaey}, title = {Wireless in the home - opportunities and challenges}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--134}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477741}, doi = {10.1109/HOTCHIPS.2006.7477741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rabaey06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Rattner06, author = {Justin Rattner}, title = {Cool codes for hot chips: {A} quantitative basis for multi-core design}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--28}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477745}, doi = {10.1109/HOTCHIPS.2006.7477745}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Rattner06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Saha06, author = {Bratin Saha}, title = {Software transactional memory}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--22}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477739}, doi = {10.1109/HOTCHIPS.2006.7477739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Saha06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Stone06, author = {Glen Stone}, title = {Who owns the living room?}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477860}, doi = {10.1109/HOTCHIPS.2006.7477860}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Stone06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Sundararaman06, author = {Balakrishnan Sundararaman}, title = {The {APP300} access network processor}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--29}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477872}, doi = {10.1109/HOTCHIPS.2006.7477872}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Sundararaman06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/SuzukiNFIU06, author = {K. Suzuki and Y. Naruse and Hideyuki Funaki and Kazuhiko Itaya and S. Uchikoga}, title = {Micro manipulator array for nano-bioelectronics era}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--27}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477869}, doi = {10.1109/HOTCHIPS.2006.7477869}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/SuzukiNFIU06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/TakeoSI06, author = {Akihiko Takeo and Kazuhito Shimomura and Jun Itoh}, title = {The ultra small {HDD} for the mobile applications}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--22}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477749}, doi = {10.1109/HOTCHIPS.2006.7477749}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/TakeoSI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Yamada06, author = {Q. Yamada}, title = {Who owns the living room?}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--8}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477861}, doi = {10.1109/HOTCHIPS.2006.7477861}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Yamada06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Yeh06, author = {Tse{-}Yu Yeh}, title = {Low-power, high-performance architecture of the PWRficient processor family}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--29}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477746}, doi = {10.1109/HOTCHIPS.2006.7477746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Yeh06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/YoshikawaYA06, author = {Takashi Yoshikawa and Yutaka Yamada and Shigehiro Asano}, title = {An implementation of hardware accelerator using dynamically reconfigurable architecture}, booktitle = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, pages = {1--38}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2006.7477752}, doi = {10.1109/HOTCHIPS.2006.7477752}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/YoshikawaYA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hotchips/2006, title = {2006 {IEEE} Hot Chips 18 Symposium (HCS), Stanford, CA, USA, August 20-22, 2006}, publisher = {{IEEE}}, year = {2006}, url = {http://ieeexplore.ieee.org/xpl/mostRecentIssue.jsp?punumber=7470195}, isbn = {978-1-4673-8867-2}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.