Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/dac/dac2003.bht:"
@inproceedings{DBLP:conf/dac/AbdiSG03, author = {Samar Abdi and Dongwan Shin and Daniel Gajski}, title = {Automatic communication refinement for system level design}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {300--305}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775911}, doi = {10.1145/775832.775911}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AbdiSG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgarwalBZV03, author = {Aseem Agarwal and David T. Blaauw and Vladimir Zolotov and Sarma B. K. Vrudhula}, title = {Computation and Refinement of Statistical Bounds on Circuit Delay}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {348--353}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775922}, doi = {10.1145/775832.775922}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgarwalBZV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgarwalSB03, author = {Kanak Agarwal and Dennis Sylvester and David T. Blaauw}, title = {An effective capacitance based driver output model for on-chip {RLC} interconnects}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {376--381}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775931}, doi = {10.1145/775832.775931}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgarwalSB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgarwalSB03a, author = {Kanak Agarwal and Dennis Sylvester and David T. Blaauw}, title = {Simple metrics for slew rate of {RC} circuits based on two circuit moments}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {950--953}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776070}, doi = {10.1145/775832.776070}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgarwalSB03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgostaBS03, author = {Giovanni Agosta and Francesco Bruschi and Donatella Sciuto}, title = {Static analysis of transaction-level models}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {448--453}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775950}, doi = {10.1145/775832.775950}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgostaBS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Al-YamaniM03, author = {Ahmad A. Al{-}Yamani and Edward J. McCluskey}, title = {Seed encoding with LFSRs and cellular automata}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {560--565}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775975}, doi = {10.1145/775832.775975}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Al-YamaniM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AloulMS03, author = {Fadi A. Aloul and Igor L. Markov and Karem A. Sakallah}, title = {Shatter: efficient symmetry-breaking for boolean satisfiability}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {836--839}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776042}, doi = {10.1145/775832.776042}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AloulMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AlpertLKD03, author = {Charles J. Alpert and Frank Liu and Chandramouli V. Kashyap and Anirudh Devgan}, title = {Delay and slew metrics using the lognormal distribution}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {382--385}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775932}, doi = {10.1145/775832.775932}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AlpertLKD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AmdeBS03, author = {Manish Amde and Ivan Blunno and Christos P. Sotiriou}, title = {Automating the design of an asynchronous {DLX} microprocessor}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {502--507}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775961}, doi = {10.1145/775832.775961}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AmdeBS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AminCI03, author = {Chirayu S. Amin and Masud H. Chowdhury and Yehea I. Ismail}, title = {Realizable {RLCK} circuit crunching}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {226--231}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775891}, doi = {10.1145/775832.775891}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AminCI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AmlaniZTNT03, author = {Islamshah Amlani and Ruth Zhang and John Tresek and Larry Nagahara and Raymond K. Tsui}, title = {Manipulation and characterization of molecular scale components}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {276--277}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775902}, doi = {10.1145/775832.775902}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AmlaniZTNT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AmmerSKKR03, author = {M. Josie Ammer and Michael Sheets and Tufan C. Karalar and Mika Kuulusa and Jan M. Rabaey}, title = {A low-energy chip-set for wireless intercom}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {916--919}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776062}, doi = {10.1145/775832.776062}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AmmerSKKR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AndoYISAMMMOYSKYS03, author = {Hisashige Ando and Yuuji Yoshida and Aiichiro Inoue and Itsumi Sugiyama and Takeo Asakawa and Kuniki Morita and Toshiyuki Muta and Tsuyoshi Motokurumada and Seishi Okada and Hideo Yamashita and Yoshihiko Satsukawa and Akihiko Konmoto and Ryouichi Yamashita and Hiroyuki Sugiyama}, title = {A 1.3GHz fifth generation {SPARC64} microprocessor}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {702--705}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776010}, doi = {10.1145/775832.776010}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AndoYISAMMMOYSKYS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AtasuPI03, author = {Kubilay Atasu and Laura Pozzi and Paolo Ienne}, title = {Automatic application-specific instruction-set extensions under microarchitectural constraints}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {256--261}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775897}, doi = {10.1145/775832.775897}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AtasuPI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Barkai03, author = {Uri Barkai}, title = {Seamless multi-radio integration challenges}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {72}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775853}, doi = {10.1145/775832.775853}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Barkai03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BashirullahLC03, author = {Rizwan Bashirullah and Wentai Liu and Ralph K. Cavin III}, title = {Low-power design methodology for an on-chip bus with adaptive bandwidth capability}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {628--633}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775990}, doi = {10.1145/775832.775990}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BashirullahLC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BecerBAPOZH03, author = {Murat R. Becer and David T. Blaauw and Ilan Algor and Rajendran Panda and Chanhee Oh and Vladimir Zolotov and Ibrahim N. Hajj}, title = {Post-route gate sizing for crosstalk noise reduction}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {954--957}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776071}, doi = {10.1145/775832.776071}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BecerBAPOZH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeniniMMOPP03, author = {Luca Benini and Alberto Macii and Enrico Macii and Elvira Omerbegovic and Fabrizio Pro and Massimo Poncino}, title = {Energy-aware design techniques for differential power analysis protection}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {36--41}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775845}, doi = {10.1145/775832.775845}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BeniniMMOPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BeraudoL03, author = {Giancarlo Beraudo and John Lillis}, title = {Timing optimization of {FPGA} placements by logic replication}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {196--201}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775885}, doi = {10.1145/775832.775885}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BeraudoL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BergamaschiJ03, author = {Reinaldo A. Bergamaschi and Yunjian Jiang}, title = {State-based power analysis for systems-on-chip}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {638--641}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775992}, doi = {10.1145/775832.775992}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BergamaschiJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BernardinisJS03, author = {Fernando De Bernardinis and Michael I. Jordan and Alberto L. Sangiovanni{-}Vincentelli}, title = {Support vector machines for analog circuit performance representation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {964--969}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776074}, doi = {10.1145/775832.776074}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BernardinisJS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Bernstein03, author = {Gary H. Bernstein}, title = {Quantum-dot cellular automata: computing by field polarization}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {268--273}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775900}, doi = {10.1145/775832.775900}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Bernstein03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BittlestoneHSA03, author = {Clive Bittlestone and Anthony M. Hill and Vipul Singhal and N. V. Arvind}, title = {Architecting {ASIC} libraries and flows in nanometer era}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {776--781}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776030}, doi = {10.1145/775832.776030}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BittlestoneHSA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BorgattiCSFILMPPR03, author = {Michele Borgatti and Lorenzo Cali and Guido De Sandre and Benoit For{\^{e}}t and David Iezzi and Francesco Lertora and Gilberto Muzzi and Marco Pasotti and Marco Poles and Pier Luigi Rolandi}, title = {A reconfigurable signal processing {IC} with embedded {FPGA} and multi-port flash memory}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {691--695}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776007}, doi = {10.1145/775832.776007}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BorgattiCSFILMPPR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BorkarKNTKD03, author = {Shekhar Borkar and Tanay Karnik and Siva G. Narendra and James W. Tschanz and Ali Keshavarzi and Vivek De}, title = {Parameter variations and impact on circuits and microarchitecture}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {338--342}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775920}, doi = {10.1145/775832.775920}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BorkarKNTKD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BozorgzadehGTS03, author = {Elaheh Bozorgzadeh and Soheil Ghiasi and Atsushi Takahashi and Majid Sarrafzadeh}, title = {Optimal integer delay budgeting on directed acyclic graphs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {920--925}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776064}, doi = {10.1145/775832.776064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BozorgzadehGTS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BullockM03, author = {Stephen S. Bullock and Igor L. Markov}, title = {An arbitrary twoqubit computation In 23 elementary gates or less}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {324--329}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775916}, doi = {10.1145/775832.775916}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BullockM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CarlettaVKF03, author = {Joan Carletta and Robert J. Veillette and Frederick W. Krach and Zhengwei Fang}, title = {Determining appropriate precisions for signals in fixed-point {IIR} filters}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {656--661}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775998}, doi = {10.1145/775832.775998}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CarlettaVKF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChaiK03, author = {Donald Chai and Andreas Kuehlmann}, title = {A fast pseudo-boolean constraint solver}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {830--835}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776041}, doi = {10.1145/775832.776041}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChaiK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChaiKRTWM03, author = {Donald Chai and Alex Kondratyev and Yajun Ran and Kenneth H. Tseng and Yosinori Watanabe and Malgorzata Marek{-}Sadowska}, title = {Temporofunctional crosstalk noise analysis}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {860--863}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776048}, doi = {10.1145/775832.776048}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChaiKRTWM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChanHPSMVW03, author = {Chi{-}Foon Chan and Deirdre Hanford and Jian Yue Pan and Narendra V. Shenoy and Mahesh Mehendale and A. Vasudevan and Shaojun Wei}, title = {Emerging markets: design goes global}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {195}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775883}, doi = {10.1145/775832.775883}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChanHPSMVW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChanKLNR03, author = {Yiu{-}Hing Chan and Prabhakar Kudva and Lisa B. Lacey and Gregory A. Northrop and Thomas E. Rosser}, title = {Physical synthesis methodology for high performance microprocessors}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {696--701}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776009}, doi = {10.1145/775832.776009}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChanKLNR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCCKMSYZ03, author = {Hongyu Chen and Chung{-}Kuan Cheng and Nan{-}Chi Chou and Andrew B. Kahng and John F. MacDonald and Peter Suaris and Bo Yao and Zhengyong Zhu}, title = {An algebraic multigrid solver for analytical placement with layout based clustering}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {794--799}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776034}, doi = {10.1145/775832.776034}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenCCKMSYZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenGK03, author = {Yu Chen and Puneet Gupta and Andrew B. Kahng}, title = {Performance-impact limited area fill synthesis}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {22--27}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775841}, doi = {10.1145/775832.775841}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenGK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenHBW03, author = {Xi Chen and Harry Hsieh and Felice Balarin and Yosinori Watanabe}, title = {Automatic trace analysis for logic of constraints}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {460--465}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775952}, doi = {10.1145/775832.775952}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenHBW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenRRD03, author = {Li Chen and Srivaths Ravi and Anand Raghunathan and Sujit Dey}, title = {A scalable software-based self-test methodology for programmable processors}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {548--553}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775973}, doi = {10.1145/775832.775973}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenRRD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoiK03, author = {Yoonseo Choi and Taewhan Kim}, title = {Memory layout techniques for variables utilizing efficient {DRAM} access modes in embedded system design}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {881--886}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776053}, doi = {10.1145/775832.776053}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoiK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoiKP03, author = {Kihwan Choi and Kwanho Kim and Massoud Pedram}, title = {Energy-aware {MPEG-4} {FGS} streaming}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {912--915}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776061}, doi = {10.1145/775832.776061}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoiKP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ClarkeKY03, author = {Edmund M. Clarke and Daniel Kroening and Karen Yorav}, title = {Behavioral consistency of {C} and verilog programs using bounded model checking}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {368--371}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775928}, doi = {10.1145/775832.775928}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ClarkeKY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongJRR03, author = {Jason Cong and Ashok Jagannathan and Glenn Reinman and Michail Romesis}, title = {Microarchitecture evaluation with physical planning}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {32--35}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775843}, doi = {10.1145/775832.775843}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongJRR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongY03, author = {Jason Cong and Xin Yuan}, title = {Multilevel global placement with retiming}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {208--213}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775887}, doi = {10.1145/775832.775887}, timestamp = {Fri, 14 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CraninckxD03, author = {Jan Craninckx and St{\'{e}}phane Donnay}, title = {4G terminals: how are we going to design them?}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {79--84}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775855}, doi = {10.1145/775832.775855}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CraninckxD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CroixW03, author = {John F. Croix and D. F. Wong}, title = {Blade and razor: cell and interconnect delay analysis using current-based models}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {386--389}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775933}, doi = {10.1145/775832.775933}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CroixW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DahlbergRBGKPRSV03, author = {Robert Dahlberg and Shishpal Rawat and Jen Bernier and Gina Gloski and Aurangzeb Khan and Kaushik Patel and Paul Ruddy and Naveed A. Sherwani and Ronnie Vasishta}, title = {{COT} - customer owned trouble}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {91--92}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775858}, doi = {10.1145/775832.775858}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DahlbergRBGKPRSV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DamaseviciusMS03, author = {Robertas Damasevicius and Giedrius Majauskas and Vytautas Stuikys}, title = {Application of design patterns for hardware design}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {48--53}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775847}, doi = {10.1145/775832.775847}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DamaseviciusMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DamianoK03, author = {Robert F. Damiano and James H. Kukula}, title = {Checking satisfiability of a conjunction of BDDs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {818--823}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776039}, doi = {10.1145/775832.776039}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DamianoK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DescampsBGIP03, author = {Gilles{-}Eric Descamps and Satish Bagalkotkar and Subramaniam Ganesan and Satish Iyengar and Alain Pirson}, title = {Design of a 17-million gate network processor using a design factory}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {844--849}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776045}, doi = {10.1145/775832.776045}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DescampsBGIP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DongR03, author = {Ning Dong and Jaijeet S. Roychowdhury}, title = {Piecewise polynomial nonlinear model reduction}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {484--489}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775957}, doi = {10.1145/775832.775957}, timestamp = {Fri, 01 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/DongR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DonnoIBM03, author = {Monica Donno and Alessandro Ivaldi and Luca Benini and Enrico Macii}, title = {Clock-tree power optimization based on {RTL} clock-gating}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {622--627}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775989}, doi = {10.1145/775832.775989}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DonnoIBM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Edwards03, author = {Stephen A. Edwards}, title = {Making cyclic circuits acyclic}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {159--162}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775874}, doi = {10.1145/775832.775874}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Edwards03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/EjlaliM03, author = {Ali Reza Ejlali and Seyed Ghassem Miremadi}, title = {Switch-level emulation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {644--649}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775996}, doi = {10.1145/775832.775996}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/EjlaliM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/El-GamalBBHMOP03, author = {Abbas El Gamal and Ivo Bolsens and Andy Broom and Christopher Hamlin and Philippe Magarshack and Zvi Or{-}Bach and Lawrence T. Pileggi}, title = {Fast, cheap and under control: the next implementation fabric}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {354--355}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775924}, doi = {10.1145/775832.775924}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/El-GamalBBHMOP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FangRPC03, author = {Claire Fang Fang and Rob A. Rutenbar and Markus P{\"{u}}schel and Tsuhan Chen}, title = {Toward efficient static analysis of finite-precision effects in {DSP} applications via affine arithmetic modeling}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {496--501}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775960}, doi = {10.1145/775832.775960}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FangRPC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FerzliN03, author = {Imad A. Ferzli and Farid N. Najm}, title = {Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {856--859}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776047}, doi = {10.1145/775832.776047}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FerzliN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FineZ03, author = {Shai Fine and Avi Ziv}, title = {Coverage directed test generation for functional verification using bayesian networks}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {286--291}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775907}, doi = {10.1145/775832.775907}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FineZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FummiPGPMR03, author = {Franco Fummi and Giovanni Perbellini and Paolo Gallo and Massimo Poncino and Stefano Martini and Fabio Ricciato}, title = {A timing-accurate modeling and simulation environment for networked embedded systems}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {42--47}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775846}, doi = {10.1145/775832.775846}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FummiPGPMR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GadN03, author = {Emad Gad and Michel S. Nakhla}, title = {Model order reduction of nonuniform transmission lines using integrated congruence transform}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {238--243}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775893}, doi = {10.1145/775832.775893}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GadN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhoneimaI03, author = {Maged Ghoneima and Yehea I. Ismail}, title = {Optimum positioning of interleaved repeaters In bidirectional buses}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {586--591}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775981}, doi = {10.1145/775832.775981}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhoneimaI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Givargis03, author = {Tony Givargis}, title = {Improved indexing for cache miss reduction in embedded systems}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {875--880}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776052}, doi = {10.1145/775832.776052}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Givargis03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Gluska03, author = {Alon Gluska}, title = {Coverage-oriented verification of banias}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {280--285}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775906}, doi = {10.1145/775832.775906}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Gluska03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GoelHB03, author = {Amit Goel and Gagan Hasteer and Randal E. Bryant}, title = {Symbolic representation with ordered function templates}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {431--435}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775946}, doi = {10.1145/775832.775946}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GoelHB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GorenZGWBALSTGPJSSDH03, author = {David Goren and Michael Zelikson and Rachel Gordin and Israel A. Wagner and Anastasia Barger and Alon Amir and Betty Livshitz and Anatoly Sherman and Youri Tretiakov and Robert A. Groves and J. Park and Donald L. Jordan and Sue E. Strang and Raminderpal Singh and Carl E. Dickey and David L. Harame}, title = {On-chip interconnect-aware design and modeling methodology, based on high bandwidth transmission line devices}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {724--727}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776017}, doi = {10.1145/775832.776017}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GorenZGWBALSTGPJSSDH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaGWYA03, author = {Aarti Gupta and Malay K. Ganai and Chao Wang and Zijiang Yang and Pranav Ashar}, title = {Learning from BDDs in SAT-based bounded model checking}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {824--829}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776040}, doi = {10.1145/775832.776040}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuptaGWYA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaKSY03, author = {Puneet Gupta and Andrew B. Kahng and Dennis Sylvester and Jie Yang}, title = {A cost-driven lithographic correction methodology based on off-the-shelf sizing tools}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {16--21}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775840}, doi = {10.1145/775832.775840}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuptaKSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaRSBBFPOS03, author = {Rajesh K. Gupta and Shishpal Rawat and Sandeep K. Shukla and Brian Bailey and Daniel K. Beece and Masahiro Fujita and Carl Pixley and John O'Leary and Fabio Somenzi}, title = {Formal verification - prove it or pitch it}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {710--711}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776013}, doi = {10.1145/775832.776013}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuptaRSBBFPOS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HadsellM03, author = {Raia Hadsell and Patrick H. Madden}, title = {Improved global routing through congestion estimation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {28--31}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775842}, doi = {10.1145/775832.775842}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HadsellM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Hayes03, author = {John P. Hayes}, title = {Tutorial: basic concepts in quantum circuits}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {893}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776056}, doi = {10.1145/775832.776056}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Hayes03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HenftlingZBZE03, author = {Renate Henftling and Andreas Zinn and Matthias Bauer and Martin Zambaldi and Wolfgang Ecker}, title = {Re-use-centric architecture for a fully accelerated testbench environment}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {372--375}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775929}, doi = {10.1145/775832.775929}, timestamp = {Wed, 13 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HenftlingZBZE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Hershenson03, author = {Maria del Mar Hershenson}, title = {Efficient description of the design space of analog circuits}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {970--973}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776075}, doi = {10.1145/775832.776075}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Hershenson03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Heydari03, author = {Payam Heydari}, title = {Characterizing the effects of clock jitter due to substrate noise in discrete-time {D/S} modulators}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {532--537}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775967}, doi = {10.1145/775832.775967}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Heydari03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Hooijmans03, author = {Pieter W. Hooijmans}, title = {{RF} front end application and technology trends}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {73--78}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775854}, doi = {10.1145/775832.775854}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Hooijmans03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsuTCT03, author = {Yu{-}Chin Hsu and Bassam Tabbara and Yirng{-}An Chen and Fur{-}Shing Tsai}, title = {Advanced techniques for {RTL} debugging}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {362--367}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775927}, doi = {10.1145/775832.775927}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HsuTCT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuM03, author = {Bo Hu and Malgorzata Marek{-}Sadowska}, title = {Wire length prediction based clustering and its application in placement}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {800--805}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776035}, doi = {10.1145/775832.776035}, timestamp = {Wed, 11 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuWKM03, author = {Bo Hu and Yosinori Watanabe and Alex Kondratyev and Malgorzata Marek{-}Sadowska}, title = {Gain-based technology mapping for discrete-size cell libraries}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {574--579}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775979}, doi = {10.1145/775832.775979}, timestamp = {Wed, 11 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuWKM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuaQB03, author = {Shaoxiong Hua and Gang Qu and Shuvra S. Bhattacharyya}, title = {Energy reduction techniques for multimedia applications with tolerance to deadline misses}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {131--136}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775868}, doi = {10.1145/775832.775868}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuaQB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangC03, author = {Yu Huang and Wu{-}Tung Cheng}, title = {Using embedded infrastructure {IP} for {SOC} post-silicon verification}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {674--677}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776002}, doi = {10.1145/775832.776002}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HurCRPCTH03, author = {Sung{-}Woo Hur and Tung Cao and Karthik Rajagopal and Yegna Parasuram and Amit Chowdhary and Vladimir Tiourin and Bill Halpin}, title = {Force directed mongrel with physical net constraints}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {214--219}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775888}, doi = {10.1145/775832.775888}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HurCRPCTH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HwangLSSFYHV03, author = {David D. Hwang and Bo{-}Cheng Lai and Patrick Schaumont and Kazuo Sakiyama and Yi Fan and Shenglin Yang and Alireza Hodjat and Ingrid Verbauwhede}, title = {Design flow for {HW} / {SW} acceleration transparency in the thumbpod secure embedded system}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {60--65}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775850}, doi = {10.1145/775832.775850}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HwangLSSFYHV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JainSD03, author = {Prabhat Jain and G. Edward Suh and Srinivas Devadas}, title = {Embedded intelligent {SRAM}}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {869--874}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776051}, doi = {10.1145/775832.776051}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JainSD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JayakumarPS03, author = {Nikhil Jayakumar and Mitra Purandare and Fabio Somenzi}, title = {Dos and don'ts of {CTL} state coverage estimation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {292--295}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775908}, doi = {10.1145/775832.775908}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JayakumarPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JersakE03, author = {Marek Jersak and Rolf Ernst}, title = {Enabling scheduling analysis of heterogeneous systems with multi-rate data dependencies and rate intervals}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {454--459}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775951}, doi = {10.1145/775832.775951}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JersakE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JessKNOV03, author = {Jochen A. G. Jess and Kerim Kalafala and Srinath R. Naidu and Ralph H. J. M. Otten and Chandramouli Visweswariah}, title = {Statistical timing for parametric yield prediction of digital integrated circuits}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {932--937}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776066}, doi = {10.1145/775832.776066}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JessKNOV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JiangMB03, author = {Yunjian Jiang and Slobodan Matic and Robert K. Brayton}, title = {Generalized cofactoring for logic function evaluation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {155--158}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775873}, doi = {10.1145/775832.775873}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JiangMB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KahngBCDGSS03, author = {Andrew B. Kahng and Shekhar Borkar and John M. Cohn and Antun Domic and Patrick Groeneveld and Louis Scheffer and Jean{-}Pierre Schoellkopf}, title = {Nanometer design: place your bets}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {546--547}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775971}, doi = {10.1145/775832.775971}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KahngBCDGSS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KangP03, author = {Hyeong{-}Ju Kang and In{-}Cheol Park}, title = {SAT-based unbounded symbolic model checking}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {840--843}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776043}, doi = {10.1145/775832.776043}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KangP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KaplanBK03, author = {Adam Kaplan and Philip Brisk and Ryan Kastner}, title = {Data communication estimation and reduction for reconfigurable systems}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {616--621}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775987}, doi = {10.1145/775832.775987}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KaplanBK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KiranJRN03, author = {M. N. V. Satya Kiran and M. N. Jayram and Pradeep Rao and S. K. Nandy}, title = {A complexity effective communication model for behavioral modeling of signal processing applications}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {412--415}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775939}, doi = {10.1145/775832.775939}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/KiranJRN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KornarosPNZ03, author = {George Kornaros and Ioannis Papaefstathiou and Aristides Nikologiannis and Nicholaos Zervos}, title = {A fully-programmable memory management system optimizing queue handling at multi-gigabit rates}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {54--59}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775849}, doi = {10.1145/775832.775849}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KornarosPNZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KouroussisN03, author = {Dionysios Kouroussis and Farid N. Najm}, title = {A static pattern-independent technique for power grid voltage integrity verification}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {99--104}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775861}, doi = {10.1145/775832.775861}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KouroussisN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KrsticWCLM03, author = {Angela Krstic and Li{-}C. Wang and Kwang{-}Ting Cheng and Jing{-}Jia Liou and T. M. Mak}, title = {Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {668--673}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776001}, doi = {10.1145/775832.776001}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KrsticWCLM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Kumar03, author = {Rajesh Kumar}, title = {Interconnect and noise immunity design for the Pentium 4 processor}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {938--943}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776068}, doi = {10.1145/775832.776068}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Kumar03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KwonK03, author = {Woo{-}Cheol Kwon and Taewhan Kim}, title = {Optimal voltage allocation techniques for dynamically variable voltage processors}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {125--130}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775867}, doi = {10.1145/775832.775867}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KwonK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LackeyZK03, author = {David E. Lackey and Paul S. Zuchowski and J{\"{u}}rgen Koehl}, title = {Designing mega-ASICs in nanogate technologies}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {770--775}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776029}, doi = {10.1145/775832.776029}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LackeyZK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LauP03, author = {Charlotte Y. Lau and Michael H. Perrott}, title = {Fractional-N frequency synthesizer design at the transfer function level using a direct closed loop realization algorithm}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {526--531}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775966}, doi = {10.1145/775832.775966}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LauP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeB03, author = {Dongwoo Lee and David T. Blaauw}, title = {Static leakage reduction through simultaneous threshold voltage and state assignment}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {191--194}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775881}, doi = {10.1145/775832.775881}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeCHY03, author = {Hsun{-}Cheng Lee and Yao{-}Wen Chang and Jer{-}Ming Hsu and Hannah Honghua Yang}, title = {Multilevel floorplanning/placement for large-scale modules using B*-trees}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {812--817}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776037}, doi = {10.1145/775832.776037}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeCHY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeKBS03, author = {Dongwoo Lee and Wesley Kwong and David T. Blaauw and Dennis Sylvester}, title = {Analysis and minimization techniques for total leakage considering gate oxide leakage}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {175--180}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775878}, doi = {10.1145/775832.775878}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeKBS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LekatsasHCJS03, author = {Haris Lekatsas and J{\"{o}}rg Henkel and Srimat T. Chakradhar and Venkata Jakkula and Murugan Sankaradass}, title = {CoCo: a hardware/software platform for rapid prototyping of code compression technologies}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {306--311}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775912}, doi = {10.1145/775832.775912}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LekatsasHCJS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiLXP03, author = {Xin Li and Peng Li and Yang Xu and Lawrence T. Pileggi}, title = {Analog and {RF} circuit macromodels for system-level analysis}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {478--483}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775956}, doi = {10.1145/775832.775956}, timestamp = {Tue, 12 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiLXP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiMR03, author = {Xianfeng Li and Tulika Mitra and Abhik Roychoudhury}, title = {Accurate timing analysis by modeling caches, speculation and their interaction}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {466--471}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775953}, doi = {10.1145/775832.775953}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiMR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiP03, author = {Peng Li and Lawrence T. Pileggi}, title = {{NORM:} compact model order reduction of weakly nonlinear systems}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {472--477}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775955}, doi = {10.1145/775832.775955}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiXC03, author = {Dexin Li and Qiang Xie and Pai H. Chou}, title = {Scalable modeling and optimization of mode transitions based on decoupled power management architecture}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {119--124}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775866}, doi = {10.1145/775832.775866}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiXC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiYRP03, author = {Wei Li and Chaowen Yu and Sudhakar M. Reddy and Irith Pomeranz}, title = {A scan {BIST} generation method using a markov source and partial bit-fixing}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {554--559}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775974}, doi = {10.1145/775832.775974}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiYRP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LimaCR03, author = {Fernanda Lima and Luigi Carro and Ricardo Augusto da Luz Reis}, title = {Designing fault tolerant systems into SRAM-based FPGAs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {650--655}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775997}, doi = {10.1145/775832.775997}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LimaCR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinT03, author = {Xijiang Lin and Rob Thompson}, title = {Test generation for designs with multiple clocks}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {662--667}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776000}, doi = {10.1145/775832.776000}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LongH03, author = {Changbo Long and Lei He}, title = {Distributed sleep transistor network for power reduction}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {181--186}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775879}, doi = {10.1145/775832.775879}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LongH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuWCMH03, author = {Feng Lu and Li{-}C. Wang and Kwang{-}Ting Cheng and John Moondanos and Ziyad Hanna}, title = {A signal correlation guided {ATPG} solver and its applications for solving difficult industrial cases}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {436--441}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775947}, doi = {10.1145/775832.775947}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LuWCMH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LyseckyV03, author = {Roman L. Lysecky and Frank Vahid}, title = {On-chip logic minimization}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {334--337}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775918}, doi = {10.1145/775832.775918}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LyseckyV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaHDCCCG03, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Dynamic global buffer planning optimization based on detail block locating and congestion analysis}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {806--811}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776036}, doi = {10.1145/775832.776036}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MaHDCCCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MagarshackP03, author = {Philippe Magarshack and Pierre G. Paulin}, title = {System-on-chip beyond the nanometer wall}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {419--424}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775943}, doi = {10.1145/775832.775943}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MagarshackP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaideeAB03, author = {Pongstorn Maidee and Cristinel Ababei and Kia Bazargan}, title = {Fast timing-driven partitioning-based placement for island style FPGAs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {598--603}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775984}, doi = {10.1145/775832.775984}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MaideeAB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ManeatisKMMS03, author = {John G. Maneatis and Jaeha Kim and Iain McClatchie and Jay Maxey and Manjusha Shankaradas}, title = {Self-biased high-bandwidth low-jitter 1-to-4096 multiplier clock generator {PLL}}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {688--690}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776006}, doi = {10.1145/775832.776006}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ManeatisKMMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MantheLS03, author = {Alicia Manthe and Zhao Li and C.{-}J. Richard Shi}, title = {Symbolic analysis of analog circuits with hard nonlinearity}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {542--545}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775969}, doi = {10.1145/775832.775969}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MantheLS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MathysC03, author = {Yves Mathys and Andr{\'{e}} Ch{\'{a}}telain}, title = {Verification strategy for integration 3G baseband SoC}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {7--10}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775835}, doi = {10.1145/775832.775835}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MathysC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Matsuzawa03, author = {Akira Matsuzawa}, title = {How to make efficient communication, collaboration, and optimization from system to chip}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {417--418}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775942}, doi = {10.1145/775832.775942}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Matsuzawa03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MeiAI03, author = {Shizhong Mei and Chirayu S. Amin and Yehea I. Ismail}, title = {Efficient model order reduction including skin effect}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {232--237}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775892}, doi = {10.1145/775832.775892}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MeiAI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MemikMJK03, author = {Seda Ogrenci Memik and Gokhan Memik and Roozbeh Jafari and Eren Kursun}, title = {Global resource sharing for synthesis of control data flow graphs on FPGAs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {604--609}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775985}, doi = {10.1145/775832.775985}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MemikMJK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MeyerowitzPS03, author = {Trevor Meyerowitz and Claudio Pinello and Alberto L. Sangiovanni{-}Vincentelli}, title = {A tool for describing and evaluating hierarchical real-time bus scheduling policies}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {312--317}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775913}, doi = {10.1145/775832.775913}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MeyerowitzPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MillerMD03, author = {D. Michael Miller and Dmitri Maslov and Gerhard W. Dueck}, title = {A transformation based algorithm for reversible logic synthesis}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {318--323}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775915}, doi = {10.1145/775832.775915}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MillerMD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MishchenkoS03, author = {Alan Mishchenko and Tsutomu Sasao}, title = {Large-scale {SOP} minimization using decomposition and functional properties}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {149--154}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775872}, doi = {10.1145/775832.775872}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MishchenkoS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MishchenkoWK03, author = {Alan Mishchenko and Xinning Wang and Timothy Kam}, title = {A new enhanced constructive decomposition and mapping algorithm}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {143--148}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775871}, doi = {10.1145/775832.775871}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MishchenkoWK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MongZ03, author = {Wai Sum Mong and Jianwen Zhu}, title = {A retargetable micro-architecture simulator}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {752--757}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776025}, doi = {10.1145/775832.776025}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MongZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoreshetB03, author = {Tali Moreshet and R. Iris Bahar}, title = {Power-aware issue queue design for speculative instructions}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {634--637}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775991}, doi = {10.1145/775832.775991}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MoreshetB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MukhopadhyayRR03, author = {Saibal Mukhopadhyay and Arijit Raychowdhury and Kaushik Roy}, title = {Accurate estimation of total leakage current in scaled {CMOS} logic circuits based on compact current modeling}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {169--174}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775877}, doi = {10.1145/775832.775877}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MukhopadhyayRR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NegreirosCS03, author = {Marcelo Negreiros and Luigi Carro and Altamiro Amadeu Susin}, title = {Ultimate low cost analog {BIST}}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {570--573}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775977}, doi = {10.1145/775832.775977}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/NegreirosCS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NgPMJ03, author = {Kelvin Ng and Mukul R. Prasad and Rajarshi Mukherjee and Jawahar Jain}, title = {Solving the latch mapping problem in an industrial setting}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {442--447}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775948}, doi = {10.1145/775832.775948}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NgPMJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NohlGBALSM03, author = {Achim Nohl and Volker Greive and Gunnar Braun and Andreas Hoffmann and Rainer Leupers and Oliver Schliebusch and Heinrich Meyr}, title = {Instruction encoding synthesis for architecture exploration using hierarchical processor models}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {262--267}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775898}, doi = {10.1145/775832.775898}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NohlGBALSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OMahonyYHW03, author = {Frank O'Mahony and C. Patrick Yue and Mark Horowitz and S. Simon Wong}, title = {Design of a 10GHz clock distribution network using coupled standing-wave oscillators}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {682--687}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776005}, doi = {10.1145/775832.776005}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OMahonyYHW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PaulBNPT03, author = {JoAnn M. Paul and Alex Bobrek and Jeffrey E. Nelson and Joshua J. Pieper and Donald E. Thomas}, title = {Schedulers as model-based design elements in programmable heterogeneous multiprocessors}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {408--411}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775938}, doi = {10.1145/775832.775938}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PaulBNPT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PenryA03, author = {David A. Penry and David I. August}, title = {Optimizations for a simulator construction system supporting reusable components}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {926--931}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776065}, doi = {10.1145/775832.776065}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PenryA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PileggiSSGKKPRT03, author = {Lawrence T. Pileggi and Herman Schmit and Andrzej J. Strojwas and Padmini Gopalakrishnan and V. Kheterpal and Aneesh Koorapaty and Chetan Patel and Vyacheslav Rovner and Kim Yaw Tong}, title = {Exploring regular fabrics to optimize the performance-cost trade-off}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {782--787}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776031}, doi = {10.1145/775832.776031}, timestamp = {Sun, 01 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PileggiSSGKKPRT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PimentelE03, author = {Andy D. Pimentel and Cagkan Erbas}, title = {An IDF-based trace transformation method for communication refinement}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {402--407}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775937}, doi = {10.1145/775832.775937}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PimentelE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PomeranzR03, author = {Irith Pomeranz and Sudhakar M. Reddy}, title = {On test data compression and n-detection test sets}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {748--751}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776023}, doi = {10.1145/775832.776023}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PomeranzR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PuriSCKPSSK03, author = {Ruchir Puri and Leon Stok and John M. Cohn and David S. Kung and David Z. Pan and Dennis Sylvester and Ashish Srivastava and Sarvesh H. Kulkarni}, title = {Pushing {ASIC} performance in a power envelope}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {788--793}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776032}, doi = {10.1145/775832.776032}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PuriSCKPSSK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/QianNS03, author = {Haifeng Qian and Sani R. Nassif and Sachin S. Sapatnekar}, title = {Random walks in a supply network}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {93--98}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775860}, doi = {10.1145/775832.775860}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/QianNS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/QinC03, author = {Zhanhai Qin and Chung{-}Kuan Cheng}, title = {Realizable parasitic reduction using generalized Y-Delta transformation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {220--225}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775890}, doi = {10.1145/775832.775890}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/QinC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/QinM03, author = {Wei Qin and Sharad Malik}, title = {Automated synthesis of efficient binary decoders for retargetable software toolkits}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {764--769}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776027}, doi = {10.1145/775832.776027}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/QinM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RabaeySBBFHNSY03, author = {Jan M. Rabaey and Dennis Sylvester and David T. Blaauw and Kerry Bernstein and Jerry Frenkil and Mark Horowitz and Wolfgang Nebel and Takayasu Sakurai and Andrew Yang}, title = {Reshaping {EDA} for power}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {15}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775838}, doi = {10.1145/775832.775838}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RabaeySBBFHNSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RaghunathanSG03, author = {Vijay Raghunathan and Mani B. Srivastava and Rajesh K. Gupta}, title = {A survey of techniques for energy efficient on-chip communication}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {900--905}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776059}, doi = {10.1145/775832.776059}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RaghunathanSG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RamachandranJ03, author = {Anand Ramachandran and Margarida F. Jacome}, title = {Xtream-Fit: an energy-delay efficient data memory subsystem for embedded media processing}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {137--142}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775869}, doi = {10.1145/775832.775869}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RamachandranJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RanM03, author = {Yajun Ran and Malgorzata Marek{-}Sadowska}, title = {Crosstalk noise in FPGAs}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {944--949}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776069}, doi = {10.1145/775832.776069}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RanM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RaoBO03, author = {Wenjing Rao and Ismet Bayraktaroglu and Alex Orailoglu}, title = {Test application time and volume compression through seed overlapping}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {732--737}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776020}, doi = {10.1145/775832.776020}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RaoBO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RenG03, author = {Jihong Ren and Mark R. Greenstreet}, title = {Synthesizing optimal filters for crosstalk-cancellation for high-speed buses}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {592--597}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775982}, doi = {10.1145/775832.775982}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RenG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ReshadiMD03, author = {Mehrdad Reshadi and Prabhat Mishra and Nikil D. Dutt}, title = {Instruction set compiled simulation: a technique for fast and flexible instruction set simulation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {758--763}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776026}, doi = {10.1145/775832.776026}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ReshadiMD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RiedelB03, author = {Marc D. Riedel and Jehoshua Bruck}, title = {The synthesis of cyclic combinational circuits}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {163--168}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775875}, doi = {10.1145/775832.775875}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RiedelB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RongP03, author = {Peng Rong and Massoud Pedram}, title = {Extending the lifetime of a network of battery-powered mobile devices by remote processing: a markovian decision-based approach}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {906--911}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776060}, doi = {10.1145/775832.776060}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RongP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RootWT03, author = {David E. Root and John Wood and Nick Tufillaro}, title = {New techniques for non-linear behavioral modeling of microwave/RF ICs from simulation and nonlinear microwave measurements}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {85--90}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775856}, doi = {10.1145/775832.775856}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RootWT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RussellJ03, author = {Jeffry T. Russell and Margarida F. Jacome}, title = {Architecture-level performance evaluation of component-based embedded systems}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {396--401}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775936}, doi = {10.1145/775832.775936}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RussellJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RutenbarHJKMRS03, author = {Rob A. Rutenbar and David L. Harame and Kurt Johnson and Paul Kempf and Teresa H. Meng and Reza Rofougaran and James Spoto}, title = {Mixed signals on mixed-signal: the right next technology}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {278--279}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775904}, doi = {10.1145/775832.775904}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RutenbarHJKMRS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SaifhashemiP03, author = {Arash Saifhashemi and Hossein Pedram}, title = {Verilog HDL, powered by {PLI:} a suitable framework for describing and modeling asynchronous circuits at all levels of abstraction}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {330--333}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775917}, doi = {10.1145/775832.775917}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SaifhashemiP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Schubert03, author = {Thomas Schubert}, title = {High level formal verification of next-generation microprocessors}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {1--6}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775834}, doi = {10.1145/775832.775834}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Schubert03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Schubert03a, author = {Klaus{-}Dieter Schubert}, title = {Improvements in functional simulation addressing challenges in large, distributed industry projects}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {11--14}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775836}, doi = {10.1145/775832.775836}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Schubert03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SechenCHMNNT03, author = {Carl Sechen and Barbara Chappel and Jim Hogan and Andrew Moore and Tadahiko Nakamura and Gregory A. Northrop and Anjaneya Thakar}, title = {Libraries: lifejacket or straitjacket}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {642--643}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775994}, doi = {10.1145/775832.775994}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SechenCHMNNT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SehgalIKC03, author = {Anuja Sehgal and Vikram Iyengar and Mark D. Krasniewski and Krishnendu Chakrabarty}, title = {Test cost reduction for SOCs using virtual TAMs and lagrange multipliers}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {738--743}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776021}, doi = {10.1145/775832.776021}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SehgalIKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SengerMMGKGB03, author = {Robert M. Senger and Eric D. Marsman and Michael S. McCorquodale and Fadi H. Gebara and Keith L. Kraver and Matthew R. Guthaus and Richard B. Brown}, title = {A 16-bit mixed-signal microsystem with integrated {CMOS-MEMS} clock reference}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {520--525}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775965}, doi = {10.1145/775832.775965}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SengerMMGKGB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SeshiaLB03, author = {Sanjit A. Seshia and Shuvendu K. Lahiri and Randal E. Bryant}, title = {A hybrid SAT-based decision procedure for separation logic with uninterpreted functions}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {425--430}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775945}, doi = {10.1145/775832.775945}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SeshiaLB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShiG03, author = {Kaijian Shi and Graig Godwin}, title = {Hybrid hierarchical timing closure methodology for a high performance and low power {DSP}}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {850--855}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776046}, doi = {10.1145/775832.776046}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShiG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShiL03, author = {Weiping Shi and Zhuo Li}, title = {An O(nlogn) time algorithm for optimal buffer insertion}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {580--585}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775980}, doi = {10.1145/775832.775980}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShiL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SoDH03, author = {Byoungro So and Pedro C. Diniz and Mary W. Hall}, title = {Using estimates from behavioral synthesis tools in compiler-directed design space exploration}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {514--519}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775963}, doi = {10.1145/775832.775963}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SoDH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Spirakis03, author = {Gregory S. Spirakis}, title = {Leading-edge and future design challenges - is the classical {EDA} ready?}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {416}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775941}, doi = {10.1145/775832.775941}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/Spirakis03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StehrGA03, author = {Guido Stehr and Helmut E. Graeb and Kurt Antreich}, title = {Performance trade-off analysis of analog circuits by normal-boundary intersection}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {958--963}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776073}, doi = {10.1145/775832.776073}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/StehrGA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StinsonR03, author = {Jason Stinson and Stefan Rusu}, title = {A 1.5GHz third generation itanium{\textregistered} 2 processor}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {706--709}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776011}, doi = {10.1145/775832.776011}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/StinsonR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StittLV03, author = {Greg Stitt and Roman L. Lysecky and Frank Vahid}, title = {Dynamic hardware/software partitioning: a first approach}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {250--255}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775896}, doi = {10.1145/775832.775896}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/StittLV03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuAN03, author = {Haihua Su and Emrah Acar and Sani R. Nassif}, title = {Power grid reduction based on algebraic multigrid principles}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {109--112}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775863}, doi = {10.1145/775832.775863}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuAN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SzymanekK03, author = {Radoslaw Szymanek and Krzysztof Kuchcinski}, title = {Partial task assignment of task graphs under heterogeneous resource constraints}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {244--249}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775895}, doi = {10.1145/775832.775895}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SzymanekK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Tahoori03, author = {Mehdi Baradaran Tahoori}, title = {Using satisfiability in application-dependent testing of {FPGA} interconnects}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {678--681}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776003}, doi = {10.1145/775832.776003}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Tahoori03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TasiranYB03, author = {Serdar Tasiran and Yuan Yu and Brannon Batson}, title = {Using a formal specification and a model checker to monitor and direct simulation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {356--361}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775926}, doi = {10.1145/775832.775926}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TasiranYB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ThudiB03, author = {Bhavana Thudi and David T. Blaauw}, title = {Non-iterative switching window computation for delay-noise}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {390--395}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775934}, doi = {10.1145/775832.775934}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ThudiB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Travaglione03, author = {Ben Travaglione}, title = {Designing and implementing small quantum circuits and algorithms}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {894--899}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776057}, doi = {10.1145/775832.776057}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Travaglione03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsaiDVI03, author = {Yuh{-}Fang Tsai and David Duarte and Narayanan Vijaykrishnan and Mary Jane Irwin}, title = {Implications of technology scaling on leakage reduction techniques}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {187--190}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775880}, doi = {10.1145/775832.775880}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TsaiDVI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsengK03, author = {Ken Tseng and Vinod Kariat}, title = {Static noise analysis with noise windows}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {864--868}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776049}, doi = {10.1145/775832.776049}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TsengK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VasilyevRW03, author = {Dmitry Vasilyev and Michal Rewienski and Jacob White}, title = {A TBR-based trajectory piecewise-linear algorithm for generating accurate low-order models for nonlinear analog circuits and {MEMS}}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {490--495}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775958}, doi = {10.1145/775832.775958}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VasilyevRW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VasudevanR03, author = {Vinita Vasudevan and M. Ramakrishna}, title = {Computation of noise spectral density in switched capacitor circuits using the mixed-frequency-time technique}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {538--541}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775968}, doi = {10.1145/775832.775968}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VasudevanR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Visweswariah03, author = {Chandu Visweswariah}, title = {Death, taxes and failing chips}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {343--347}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775921}, doi = {10.1145/775832.775921}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Visweswariah03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VogelsG03, author = {Martin Vogels and Georges G. E. Gielen}, title = {Architectural selection of {A/D} converters}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {974--977}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776076}, doi = {10.1145/775832.776076}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VogelsG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangM03, author = {Kai Wang and Malgorzata Marek{-}Sadowska}, title = {On-chip power supply network optimization using multigrid-based technique}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {113--118}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775864}, doi = {10.1145/775832.775864}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Wasshuber03, author = {Christoph Wasshuber}, title = {Recent advances and future prospects in single-electronics}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {274--275}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775901}, doi = {10.1145/775832.775901}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Wasshuber03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WohlWPA03, author = {Peter Wohl and John A. Waicukauski and Sanjay Patel and Minesh B. Amin}, title = {Efficient compression and application of deterministic patterns in a logic {BIST} architecture}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {566--569}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775976}, doi = {10.1145/775832.775976}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WohlWPA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WongM03, author = {Catherine G. Wong and Alain J. Martin}, title = {High-level synthesis of asynchronous systems by data-driven decomposition}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {508--513}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775962}, doi = {10.1145/775832.775962}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WongM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WongMP03, author = {Jennifer L. Wong and Seapahn Megerian and Miodrag Potkonjak}, title = {Design techniques for sensor appliances: foundations and light compass case study}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {66--71}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775851}, doi = {10.1145/775832.775851}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WongMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XiangGSW03, author = {Dong Xiang and Shan Gu and Jia{-}Guang Sun and Yu{-}Liang Wu}, title = {A cost-effective scan architecture for scan testing with non-scan test power and test application cost}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {744--747}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776022}, doi = {10.1145/775832.776022}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XiangGSW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YehM03, author = {Chao{-}Yang Yeh and Malgorzata Marek{-}Sadowska}, title = {Delay budgeting in sequential circuit with application on {FPGA} placement}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {202--207}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775886}, doi = {10.1145/775832.775886}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YehM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuH03, author = {Hao Yu and Lei He}, title = {Vector potential equivalent circuit based on {PEEC} inversion}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {718--723}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776016}, doi = {10.1145/775832.776016}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuanAAP03, author = {Jun Yuan and Ken Albin and Adnan Aziz and Carl Pixley}, title = {Constraint synthesis for environment modeling in functional verification}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {296--299}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775909}, doi = {10.1145/775832.775909}, timestamp = {Fri, 30 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuanAAP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangCKK03, author = {Wei Zhang and Guangyu Chen and Mahmut T. Kandemir and Mustafa Karak{\"{o}}y}, title = {Interprocedural optimizations for improving data cache performance of array-intensive embedded applications}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {887--892}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776054}, doi = {10.1145/775832.776054}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangCKK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhongKBR03, author = {Guoan Zhong and Cheng{-}Kok Koh and Venkataramanan Balakrishnan and Kaushik Roy}, title = {An adaptive window-based susceptance extraction and its efficient implementation}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {728--731}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776018}, doi = {10.1145/775832.776018}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhongKBR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuSW03, author = {Zhenhai Zhu and Ben Song and Jacob White}, title = {Algorithms in FastImp: a fast and wideband impedance extraction program for complicated 3-D geometries}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {712--717}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776015}, doi = {10.1145/775832.776015}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhuSW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuYC03, author = {Zhengyong Zhu and Bo Yao and Chung{-}Kuan Cheng}, title = {Power network analysis using an adaptive algebraic multigrid approach}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {105--108}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775862}, doi = {10.1145/775832.775862}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhuYC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZieglerHD03, author = {Heidi E. Ziegler and Mary W. Hall and Pedro C. Diniz}, title = {Compiler-generated communication for pipelined {FPGA} applications}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {610--615}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.775986}, doi = {10.1145/775832.775986}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZieglerHD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2003, title = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, publisher = {{ACM}}, year = {2003}, url = {http://dl.acm.org/citation.cfm?id=775832}, isbn = {1-58113-688-9}, timestamp = {Wed, 30 Nov 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/2003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.