Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2012.bht:"
@inproceedings{DBLP:conf/date/0002EGB12, author = {Wei Song and Doug A. Edwards and Jim D. Garside and William J. Bainbridge}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Area efficient asynchronous {SDM} routers using 2-stage Clos switches}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1495--1500}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176710}, doi = {10.1109/DATE.2012.6176710}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/0002EGB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbdallahSMA12, author = {Louay Abdallah and Haralampos{-}G. D. Stratigopoulos and Salvador Mir and Josep Altet}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Testing {RF} circuits with true non-intrusive built-in sensors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1090--1095}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176657}, doi = {10.1109/DATE.2012.6176657}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbdallahSMA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbedW12, author = {Islam S. Abed and Amr G. Wassal}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Double-patterning friendly grid-based detailed routing with online conflict resolution}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1475--1478}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176706}, doi = {10.1109/DATE.2012.6176706}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbedW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbeleinLHS12, author = {Ulrich Abelein and Helmut Lochner and Daniel Hahn and Stefan Straube}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Complexity, quality and robustness - the challenges of tomorrow's automotive electronics}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {870--871}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176573}, doi = {10.1109/DATE.2012.6176573}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbeleinLHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbellanPABBMB12, author = {Jos{\'{e}} L. Abell{\'{a}}n and Juan Fern{\'{a}}ndez Peinador and Manuel E. Acacio and Davide Bertozzi and Daniele Bortolotti and Andrea Marongiu and Luca Benini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design of a collective communication infrastructure for barrier synchronization in cluster-based nanoscale MPSoCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {491--496}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176519}, doi = {10.1109/DATE.2012.6176519}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AbellanPABBMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AisoposMIIN12, author = {Konstantinos Aisopos and Jaideep Moses and Ramesh Illikkal and Ravishankar R. Iyer and Donald Newell}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{PCASA:} Probabilistic control-adjusted Selective Allocation for shared caches}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {473--478}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176517}, doi = {10.1109/DATE.2012.6176517}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AisoposMIIN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkbariSFB12, author = {Sara Akbari and Ali Shafiee and Mahmood Fathy and Reza Berangi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{AFRA:} {A} low cost high performance reliable routing for 3D mesh NoCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {332--337}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176490}, doi = {10.1109/DATE.2012.6176490}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AkbariSFB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AksanliRM12, author = {Baris Aksanli and Tajana Simunic Rosing and Inder Monga}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Benefits of green energy and proportionality in high speed wide area networks connecting data centers}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {175--180}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176458}, doi = {10.1109/DATE.2012.6176458}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AksanliRM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AksoyCFM12, author = {Levent Aksoy and Eduardo Costa and Paulo F. Flores and Jos{\'{e}} Monteiro}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design of low-complexity digital finite impulse response filters on FPGAs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1197--1202}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176675}, doi = {10.1109/DATE.2012.6176675}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AksoyCFM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Al-HashimiM12, author = {Bashir M. Al{-}Hashimi and Ronny Morad}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Accelerators and emulators: Can they become the platform of choice for hardware verification?}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {430}, publisher = {{IEEE}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2492817}, timestamp = {Tue, 05 Apr 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Al-HashimiM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AnagnostopoulosBKS12, author = {Iraklis Anagnostopoulos and Alexandros Bartzas and Georgios Kathareios and Dimitrios Soudris}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A divide and conquer based distributed run-time mapping methodology for many-core platforms}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {111--116}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176442}, doi = {10.1109/DATE.2012.6176442}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AnagnostopoulosBKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AridhiZT12, author = {Henda Aridhi and Mohamed H. Zaki and Sofi{\`{e}}ne Tahar}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Towards improving simulation of analog circuits using model order reduction}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1337--1342}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176699}, doi = {10.1109/DATE.2012.6176699}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AridhiZT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AxerSE12, author = {Philip Axer and Maurice Sebastian and Rolf Ernst}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Probabilistic response time bound for {CAN} messages with arbitrary deadlines}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1114--1117}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176662}, doi = {10.1109/DATE.2012.6176662}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AxerSE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzevedoVBDGTPAM12, author = {Joao Azevedo and Arnaud Virazel and Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri{-}Sanial and Guillaume Prenat and J{\'{e}}r{\'{e}}my Alvarez{-}Herault and Ken Mackay}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Impact of resistive-open defects on the heat current of {TAS-MRAM} architectures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {532--537}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176526}, doi = {10.1109/DATE.2012.6176526}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AzevedoVBDGTPAM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BamakhramaZNS12, author = {Mohamed Bamakhrama and Jiali Teddy Zhai and Hristo Nikolov and Todor P. Stefanov}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A methodology for automated design of hard-real-time embedded streaming systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {941--946}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176632}, doi = {10.1109/DATE.2012.6176632}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BamakhramaZNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BartoliniSFCB12, author = {Andrea Bartolini and MohammadSadegh Sadri and John{-}Nicholas Furst and Ayse Kivilcim Coskun and Luca Benini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Quantifying the impact of frequency scaling on the energy efficiency of the single-chip cloud computer}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {181--186}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176459}, doi = {10.1109/DATE.2012.6176459}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BartoliniSFCB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BathenDNG12, author = {Luis Angel D. Bathen and Nikil D. Dutt and Alex Nicolau and Puneet Gupta}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {VaMV: Variability-aware Memory Virtualization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {284--287}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176479}, doi = {10.1109/DATE.2012.6176479}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BathenDNG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BattezzatiCMS12, author = {Niccol{\`{o}} Battezzati and Stefano Colazzo and M. Maffione and L. Senepa}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{SURF} algorithm in {FPGA:} {A} novel architecture for high demanding industrial applications}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {161--162}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176451}, doi = {10.1109/DATE.2012.6176451}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BattezzatiCMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeaumontHN12, author = {Mark R. Beaumont and Bradley D. Hopkins and Tristan Newby}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{SAFER} {PATH:} Security architecture using fragmented execution and replication for protection against trojaned hardware}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1000--1005}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176642}, doi = {10.1109/DATE.2012.6176642}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BeaumontHN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeckerDFMPV12, author = {Markus Becker and Gilles B. Defo and Franco Fummi and Wolfgang M{\"{u}}ller and Graziano Pravadelli and Sara Vinco}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{MOUSSE:} Scaling modelling and verification to complex Heterogeneous Embedded Systems evolution}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {296--299}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176482}, doi = {10.1109/DATE.2012.6176482}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeckerDFMPV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BeniniFFM12, author = {Luca Benini and Eric Flamand and Didier Fuin and Diego Melpignano}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{P2012:} Building an ecosystem for a scalable, modular and high-efficiency embedded computing accelerator}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {983--987}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176639}, doi = {10.1109/DATE.2012.6176639}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BeniniFFM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BesteT12, author = {Matthias Beste and Mehdi Baradaran Tahoori}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Layout-Driven Robustness Analysis for misaligned Carbon Nanotubes in CNTFET-based standard cells}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1609--1614}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176729}, doi = {10.1109/DATE.2012.6176729}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BesteT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BhardwajCR12, author = {Kshitij Bhardwaj and Koushik Chakraborty and Sanghamitra Roy}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An MILP-based aging-aware routing algorithm for NoCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {326--331}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176489}, doi = {10.1109/DATE.2012.6176489}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BhardwajCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BiZLCP12, author = {Xiuyuan Bi and Chao Zhang and Hai Li and Yiran Chen and Robinson E. Pino}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Spintronic memristor based temperature sensor design with {CMOS} current reference}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1301--1306}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176693}, doi = {10.1109/DATE.2012.6176693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BiZLCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BolchiniMS12, author = {Cristiana Bolchini and Antonio Miele and Donatella Sciuto}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An adaptive approach for online fault management in many-core architectures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1429--1432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176589}, doi = {10.1109/DATE.2012.6176589}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BolchiniMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BombieriFG12, author = {Nicola Bombieri and Franco Fummi and Valerio Guarnieri}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{FAST-GP:} An {RTL} functional verification framework based on fault simulation on GP-GPUs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {562--565}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176532}, doi = {10.1109/DATE.2012.6176532}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BombieriFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BonamyPPC12, author = {Robin Bonamy and Hung{-}Manh Pham and S{\'{e}}bastien Pillement and Daniel Chillet}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {UPaRC - Ultra-fast power-aware reconfiguration controller}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1373--1378}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176705}, doi = {10.1109/DATE.2012.6176705}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BonamyPPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoseBDGHJNRSVW12, author = {Pradip Bose and Alper Buyuktosunoglu and John A. Darringer and Meeta Sharma Gupta and Michael B. Healy and Hans M. Jacobson and Indira Nair and Jude A. Rivers and Jeonghee Shin and Augusto Vega and Alan J. Weger}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Power management of multi-core chips: Challenges and pitfalls}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {977--982}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176638}, doi = {10.1109/DATE.2012.6176638}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BoseBDGHJNRSVW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BozgaDHHLLT12, author = {Marius Bozga and Alexandre David and Arnd Hartmanns and Holger Hermanns and Kim G. Larsen and Axel Legay and Jan Tretmans}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {State-of-the-art tools and techniques for quantitative modeling and analysis of embedded systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {370--375}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176499}, doi = {10.1109/DATE.2012.6176499}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BozgaDHHLLT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrachtendorfBL12, author = {Hans Georg Brachtendorf and Kai Bittner and Rainer Laur}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Simulation of the steady state of oscillators in the time domain}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1355--1360}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176702}, doi = {10.1109/DATE.2012.6176702}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BrachtendorfBL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BrandlGWLGBFFRSSTCP12, author = {M. Brandl and Harald Gall and Martin M. Wenger and Vincent R. H. Lorentz and Martin Giegerich and Federico Baronti and Gabriele Fantechi and Luca Fanucci and Roberto Roncella and Roberto Saletti and Sergio Saponara and Alexander Thaler and Martin Cifrain and W. Prochazka}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Batteries and battery management systems for electric vehicles}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {971--976}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176637}, doi = {10.1109/DATE.2012.6176637}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BrandlGWLGBFFRSSTCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Brenner12, author = {Ulrich Brenner}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{VLSI} legalization with minimum perturbation by iterative augmentation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1385--1390}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176579}, doi = {10.1109/DATE.2012.6176579}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Brenner12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CaiHMM12, author = {Yu Cai and Erich F. Haratsch and Onur Mutlu and Ken Mai}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Error patterns in {MLC} {NAND} flash memory: Measurement, characterization, and analysis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {521--526}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176524}, doi = {10.1109/DATE.2012.6176524}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CaiHMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CampagnaV12, author = {Salvatore Campagna and Massimo Violante}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An hybrid architecture to detect transient faults in microprocessors: An experimental validation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1433--1438}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176590}, doi = {10.1109/DATE.2012.6176590}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CampagnaV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CamposanoGGJ12, author = {Raul Camposano and Dipanjan Gope and Stefano Grivet{-}Talocia and Vikram Jandhyala}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Moore meets maxwell}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1275--1276}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176688}, doi = {10.1109/DATE.2012.6176688}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CamposanoGGJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CanedoF12, author = {Arquimedes Canedo and Mohammad Abdullah Al Faruque}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Towards parallel execution of {IEC} 61131 industrial cyber-physical systems applications}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {554--557}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176530}, doi = {10.1109/DATE.2012.6176530}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CanedoF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Casale-RossiRBDKSS12, author = {Marco Casale{-}Rossi and Pierluigi Rolandi and Andreas Bruening and Antun Domic and Rainer Kress and Joseph Sawicki and Christian Sebeke}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Panel: What is {EDA} doing for trailing edge technologies?}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {874}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176620}, doi = {10.1109/DATE.2012.6176620}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Casale-RossiRBDKSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChakrabortyLBFCPKLA12, author = {Samarjit Chakraborty and Martin Lukasiewycz and Christian Buckl and Suhaib A. Fahmy and Naehyuck Chang and Sangyoung Park and Younghyun Kim and Patrick Leteinturier and Hans Adlkofer}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Embedded systems and software challenges in electric vehicles}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {424--429}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176508}, doi = {10.1109/DATE.2012.6176508}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChakrabortyLBFCPKLA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChandrasekarMSH12, author = {Kameshwar Chandrasekar and Supratik K. Misra and Sanjay Sengupta and Michael S. Hsiao}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A scan pattern debugger for partial scan industrial designs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {558--561}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176531}, doi = {10.1109/DATE.2012.6176531}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChandrasekarMSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangCM12, author = {Kai{-}Hui Chang and Hong{-}Zu Chou and Igor L. Markov}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{RTL} analysis and modifications for improving at-speed test}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {400--405}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176504}, doi = {10.1109/DATE.2012.6176504}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChangCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChaoCTHC12, author = {Hung{-}Lin Chao and Yean{-}Ru Chen and Sheng{-}Ya Tong and Pao{-}Ann Hsiung and Sao{-}Jie Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Congestion-aware scheduling for NoC-based reconfigurable systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1561--1566}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176721}, doi = {10.1109/DATE.2012.6176721}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChaoCTHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Chaturvedi12, author = {Shubhyant Chaturvedi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Static analysis of asynchronous clock domain crossings}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1122--1125}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176664}, doi = {10.1109/DATE.2012.6176664}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Chaturvedi12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChatziparaskevasBP12, author = {Georgios Chatziparaskevas and Andreas Brokalakis and Ioannis Papaefstathiou}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An FPGA-based parallel processor for Black-Scholes option pricing using finite differences schemes}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {709--714}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176562}, doi = {10.1109/DATE.2012.6176562}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChatziparaskevasBP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenCHLLPR12, author = {Yu{-}Ting Chen and Jason Cong and Hui Huang and Bin Liu and Chunyue Liu and Miodrag Potkonjak and Glenn Reinman}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Dynamically reconfigurable hybrid cache: An energy-efficient last-level cache design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {45--50}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176431}, doi = {10.1109/DATE.2012.6176431}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenCHLLPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenCLSR12, author = {Qingqing Chen and Gy{\"{o}}rgy Csaba and Paolo Lugli and Ulf Schlichtmann and Ulrich R{\"{u}}hrmair}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Characterization of the bistable ring {PUF}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1459--1462}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176596}, doi = {10.1109/DATE.2012.6176596}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenCLSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenHD12, author = {Weiwei Chen and Xu Han and Rainer D{\"{o}}mer}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Out-of-order parallel simulation for {ESL} design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {141--146}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176447}, doi = {10.1109/DATE.2012.6176447}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenHD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenJM12, author = {Huan Chen and Mikol{\'{a}}s Janota and Jo{\~{a}}o Marques{-}Silva}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {QBf-based boolean function bi-decomposition}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {816--819}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176606}, doi = {10.1109/DATE.2012.6176606}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenJM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLMABJ12, author = {Ke Chen and Sheng Li and Naveen Muralimanohar and Jung Ho Ahn and Jay B. Brockman and Norman P. Jouppi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{CACTI-3DD:} Architecture-level modeling for 3D die-stacked {DRAM} main memory}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {33--38}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176428}, doi = {10.1109/DATE.2012.6176428}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenLMABJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLPCPWHWM12, author = {Chen Chen and W. Scott Lee and Roozbeh Parsa and Soogine Chong and J. Provine and Jeff Watt and Roger T. Howe and H.{-}S. Philip Wong and Subhasish Mitra}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Nano-Electro-Mechanical relays for {FPGA} routing: Experimental demonstration and a design technique}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1361--1366}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176703}, doi = {10.1109/DATE.2012.6176703}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenLPCPWHWM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenMM12, author = {Liang Chen and Thomas Marconi and Tulika Mitra}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Online scheduling for multi-core shared reconfigurable fabric}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {582--585}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176537}, doi = {10.1109/DATE.2012.6176537}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenSZX12, author = {Yibo Chen and Guangyu Sun and Qiaosha Zou and Yuan Xie}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {3DHLS: Incorporating high-level synthesis in physical planning of three-dimensional {(3D)} ICs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1185--1190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176673}, doi = {10.1109/DATE.2012.6176673}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenSZX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CherkaouiFAF12, author = {Abdelkarim Cherkaoui and Viktor Fischer and Alain Aubert and Laurent Fesquet}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Comparison of Self-Timed Ring and Inverter Ring Oscillators as entropy sources in FPGAs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1325--1330}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176697}, doi = {10.1109/DATE.2012.6176697}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CherkaouiFAF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Chian12, author = {Mojy Chian}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {New foundry models - accelerations in transformations of the semiconductor industry}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {2}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176422}, doi = {10.1109/DATE.2012.6176422}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Chian12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CondoMM12, author = {Carlo Condo and Maurizio Martina and Guido Masera}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A Network-on-Chip-based turbo/LDPC decoder architecture}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1525--1530}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176715}, doi = {10.1109/DATE.2012.6176715}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CondoMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CongHLZZ12, author = {Jason Cong and Muhuan Huang and Bin Liu and Peng Zhang and Yi Zou}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Combining module selection and replication for throughput-driven streaming programs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1018--1023}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176645}, doi = {10.1109/DATE.2012.6176645}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CongHLZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CordesM12, author = {Daniel Cordes and Peter Marwedel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Multi-objective aware extraction of task-level parallelism using genetic algorithms}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {394--399}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176503}, doi = {10.1109/DATE.2012.6176503}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CordesM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CupaiuoloI12, author = {Teo Cupaiuolo and Daniele Lo Iacono}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A flexible and fast software implementation of the {FFT} on the {BPE} platform}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1467--1470}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176598}, doi = {10.1109/DATE.2012.6176598}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CupaiuoloI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DamavandpeymaSBGC12, author = {Morteza Damavandpeyma and Sander Stuijk and Twan Basten and Marc Geilen and Henk Corporaal}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Modeling static-order schedules in synchronous dataflow graphs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {775--780}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176588}, doi = {10.1109/DATE.2012.6176588}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DamavandpeymaSBGC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DangWTLYW12, author = {Xianglei Dang and Xiaoyin Wang and Dong Tong and Junlin Lu and Jiangfang Yi and Keyi Wang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{S/DC:} {A} storage and energy efficient data prefetcher}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {461--466}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176515}, doi = {10.1109/DATE.2012.6176515}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DangWTLYW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasKSV12, author = {Amitabh Das and {\"{U}}nal Ko{\c{c}}abas and Ahmad{-}Reza Sadeghi and Ingrid Verbauwhede}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {PUF-based secure test wrapper design for cryptographic SoC testing}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {866--869}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176618}, doi = {10.1109/DATE.2012.6176618}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DasKSV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DasSHMC12, author = {Abhishek Das and Matthew Schuchhardt and Nikos Hardavellas and Gokhan Memik and Alok N. Choudhary}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Dynamic Directories: {A} mechanism for reducing on-chip interconnect power in multicores}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {479--484}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176456}, doi = {10.1109/DATE.2012.6176456}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DasSHMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DenizSH12, author = {Etem Deniz and Alper Sen and Jim Holt}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Verification coverage of embedded multicore applications}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {252--255}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176471}, doi = {10.1109/DATE.2012.6176471}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DenizSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DimitrakopoulosK12, author = {Giorgos Dimitrakopoulos and Emmanouil Kalligeros}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Dynamic-priority arbiter and multiplexer soft macros for on-chip networks switches}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {542--545}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176527}, doi = {10.1109/DATE.2012.6176527}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DimitrakopoulosK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoganCRBA12, author = {Ahmed Yasir Dogan and Jeremy Constantin and Martino Ruggiero and Andreas Burg and David Atienza}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Multi-core architecture design for ultra-low-power wearable health monitoring systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {988--993}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176640}, doi = {10.1109/DATE.2012.6176640}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DoganCRBA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DonnoFSPB12, author = {Monica Donno and Aleck Ferrari and Annalisa Scarpelli and Pietro Perlo and Alberto Bocca}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Mechatronic system for energy efficiency in bus transport}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {342--343}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176493}, doi = {10.1109/DATE.2012.6176493}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DonnoFSPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DoustiP12, author = {Mohammad Javad Dousti and Massoud Pedram}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Minimizing the latency of quantum circuits during mapping to the ion-trap circuit fabric}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {840--843}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176612}, doi = {10.1109/DATE.2012.6176612}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DoustiP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DrumlSWGH12, author = {Norbert Druml and Christian Steger and Reinhold Weiss and Andreas Genser and Josef Haid}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Estimation based power and supply voltage management for future RF-powered multi-core smart cards}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {358--363}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176497}, doi = {10.1109/DATE.2012.6176497}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DrumlSWGH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuVM12, author = {Kai Du and Peter J. Varman and Kartik Mohanram}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {High performance reliable variable latency carry select addition}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1257--1262}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176685}, doi = {10.1109/DATE.2012.6176685}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DuVM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbeidFQS12, author = {Emad Samuel Malki Ebeid and Franco Fummi and Davide Quaglia and Francesco Stefanni}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Refinement of {UML/MARTE} models for the design of networked embedded systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1072--1077}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176654}, doi = {10.1109/DATE.2012.6176654}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EbeidFQS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EbrahimiDLPT12, author = {Masoumeh Ebrahimi and Masoud Daneshtalab and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{CATRA-} congestion aware trapezoid-based routing algorithm for on-chip networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {320--325}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176488}, doi = {10.1109/DATE.2012.6176488}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EbrahimiDLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/EllenEO12, author = {Christian Ellen and Christoph Etzien and Markus Oertel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Automatic transition between structural system views in a safety relevant embedded systems development process}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {820--823}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176607}, doi = {10.1109/DATE.2012.6176607}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/EllenEO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FanKGSH12, author = {Xin Fan and Milos Krstic and Eckhard Grass and Birgit Sanders and Christoph Heer}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Exploring pausible clocking based {GALS} design for 40-nm system integration}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1118--1121}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176663}, doi = {10.1109/DATE.2012.6176663}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FanKGSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FanQ12, author = {Ming Fan and Gang Quan}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Harmonic semi-partitioned scheduling for fixed-priority real-time tasks on multi-core platform}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {503--508}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176521}, doi = {10.1109/DATE.2012.6176521}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FanQ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FaruqueC12, author = {Mohammad Abdullah Al Faruque and Arquimedes Canedo}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Intelligent and collaborative embedded computing in automation engineering}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {344--345}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176494}, doi = {10.1109/DATE.2012.6176494}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FaruqueC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FettweisNL12, author = {Gerhard P. Fettweis and Wolfgang E. Nagel and Wolfgang Lehner}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Pathways to servers of the future}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1161--1166}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176577}, doi = {10.1109/DATE.2012.6176577}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FettweisNL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FirouziKT12, author = {Farshad Firouzi and Saman Kiamehr and Mehdi Baradaran Tahoori}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{NBTI} mitigation by optimized {NOP} assignment and insertion}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {218--223}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176465}, doi = {10.1109/DATE.2012.6176465}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FirouziKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FradetGP12, author = {Pascal Fradet and Alain Girault and Peter Poplavko}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{SPDF:} {A} schedulable parametric data-flow MoC}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {769--774}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176572}, doi = {10.1109/DATE.2012.6176572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FradetGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FritzBAH12, author = {Gilles Fritz and Vincent Beroulle and Oum{-}El{-}Kheir Aktouf and David H{\'{e}}ly}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Evaluation of a new {RFID} system performance monitoring approach}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1439--1442}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176591}, doi = {10.1109/DATE.2012.6176591}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FritzBAH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Gamatie12, author = {Abdoulaye Gamati{\'{e}}}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design of streaming applications on MPSoCs using abstract clocks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {763--768}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176571}, doi = {10.1109/DATE.2012.6176571}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Gamatie12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GanPGM12, author = {Junhe Gan and Paul Pop and Flavius Gruian and Jan Madsen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Robust and flexible mapping for real-time distributed applications during the early design phases}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {935--940}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176631}, doi = {10.1109/DATE.2012.6176631}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GanPGM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaoWHZL12, author = {Jianliang Gao and Jianxin Wang and Yinhe Han and Lei Zhang and Xiaowei Li}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A clustering-based scheme for concurrent trace in debugging NoC-based multicore systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {27--32}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176427}, doi = {10.1109/DATE.2012.6176427}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GaoWHZL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GaoXCG12, author = {Peng Gao and Xinpeng Xing and Jan Craninckx and Georges G. E. Gielen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design of an intrinsically-linear double-VCO-based {ADC} with 2\({}^{\mbox{nd}}\)-order noise shaping}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1215--1220}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176678}, doi = {10.1109/DATE.2012.6176678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GaoXCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GerdesKURS12, author = {Mike Gerdes and Florian Kluge and Theo Ungerer and Christine Rochange and Pascal Sainrat}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Time analysable synchronisation techniques for parallelised hard real-time applications}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {671--676}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176555}, doi = {10.1109/DATE.2012.6176555}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GerdesKURS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GomonyWAWG12, author = {Manil Dev Gomony and Christian Weis and Benny Akesson and Norbert Wehn and Kees Goossens}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{DRAM} selection and configuration for real-time mobile systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {51--56}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176432}, doi = {10.1109/DATE.2012.6176432}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GomonyWAWG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoossensKAG12, author = {Sven Goossens and Tim Kouters and Benny Akesson and Kees Goossens}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Memory-map selection for firm real-time {SDRAM} controllers}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {828--831}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176609}, doi = {10.1109/DATE.2012.6176609}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GoossensKAG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoswamiLSC12, author = {Dip Goswami and Martin Lukasiewycz and Reinhard Schneider and Samarjit Chakraborty}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Time-triggered implementations of mixed-criticality automotive software}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1227--1232}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176680}, doi = {10.1109/DATE.2012.6176680}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GoswamiLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Graeb12, author = {Helmut Graeb}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{ITRS} 2011 Analog {EDA} Challenges and Approaches}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1150--1155}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176575}, doi = {10.1109/DATE.2012.6176575}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Graeb12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GrudnitskyBH12, author = {Artjom Grudnitsky and Lars Bauer and J{\"{o}}rg Henkel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Partial online-synthesis for mixed-grained reconfigurable architectures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1555--1560}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176720}, doi = {10.1109/DATE.2012.6176720}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GrudnitskyBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuerraF12, author = {Raphael Guerra and Gerhard Fohler}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On-line scheduling of target sensitive periodic tasks with the gravitational task model}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {578--581}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176536}, doi = {10.1109/DATE.2012.6176536}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuerraF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuglielmoGFP12, author = {Giuseppe Di Guglielmo and Luigi Di Guglielmo and Franco Fummi and Graziano Pravadelli}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Enabling dynamic assertion-based verification of embedded software through model-driven design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {212--217}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176430}, doi = {10.1109/DATE.2012.6176430}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuglielmoGFP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuoSHGHNS12, author = {Xu Guo and Meeta Srivastav and Sinan Huang and Dinesh Ganta and Michael B. Henry and Leyla Nazhandali and Patrick Schaumont}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{ASIC} implementations of five {SHA-3} finalists}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1006--1011}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176643}, doi = {10.1109/DATE.2012.6176643}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuoSHGHNS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuptaPMR12, author = {Sumeet Kumar Gupta and Sang Phill Park and Niladri Narayan Mojumder and Kaushik Roy}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Layout-aware optimization of stt mrams}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1455--1458}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176595}, doi = {10.1109/DATE.2012.6176595}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GuptaPMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaedickeGD12, author = {Finn Haedicke and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A guiding coverage metric for formal verification}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {617--622}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176546}, doi = {10.1109/DATE.2012.6176546}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HaedickeGD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HameedBH12, author = {Fazal Hameed and Lars Bauer and J{\"{o}}rg Henkel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Dynamic cache management in multi-core architectures through run-time adaptation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {485--490}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176518}, doi = {10.1109/DATE.2012.6176518}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HameedBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HammamiLB12, author = {Omar Hammami and Xinyu Li and Jean{-}Marc Brault}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{NOCEVE:} Network on chip emulation and verification environment}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {163--164}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176452}, doi = {10.1109/DATE.2012.6176452}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HammamiLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HamoucheK12, author = {R{\'{e}}dha Hamouche and R{\'{e}}my Kocik}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Component-based and aspect-oriented methodology and tool for Real-Time Embedded Control Systems Design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1421--1424}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176586}, doi = {10.1109/DATE.2012.6176586}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HamoucheK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HamoudaAK12, author = {Ayman Yehia Hamouda and Mohab Anis and Karim S. Karim}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{AIR} (Aerial Image Retargeting): {A} novel technique for in-fab automatic model-based retargeting-for-yield}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1603--1608}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176728}, doi = {10.1109/DATE.2012.6176728}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HamoudaAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanPC12, author = {Kyuseung Han and Seongsik Park and Kiyoung Choi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {State-based full predication for low power coarse-grained reconfigurable architecture}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1367--1372}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176704}, doi = {10.1109/DATE.2012.6176704}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HanPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HankendiC12, author = {Can Hankendi and Ayse K. Coskun}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Reducing the energy cost of computing through efficient co-scheduling of parallel workloads}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {994--999}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176641}, doi = {10.1109/DATE.2012.6176641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HankendiC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HansenS12, author = {John Hansen and Montek Singh}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Multi-token resource sharing for pipelined asynchronous systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1191--1196}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176674}, doi = {10.1109/DATE.2012.6176674}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HansenS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaronH12, author = {Nor Zaidi Haron and Said Hamdioui}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {DfT schemes for resistive open defects in RRAMs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {799--804}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176603}, doi = {10.1109/DATE.2012.6176603}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HaronH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Hassoun12, author = {Soha Hassoun}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Genetic/bio design automation for (re-)engineering biological systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {242--247}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176469}, doi = {10.1109/DATE.2012.6176469}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Hassoun12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeuserSS12, author = {Annelie Heuser and Werner Schindler and Marc St{\"{o}}ttinger}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Revealing side-channel issues of complex circuits by enhanced leakage models}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1179--1184}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176672}, doi = {10.1109/DATE.2012.6176672}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HeuserSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsuCCLC12, author = {Hsin{-}Wu Hsu and Meng{-}Ling Chen and Hung{-}Ming Chen and Hung{-}Chun Li and Shi{-}Hao Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On effective flip-chip routing via pseudo single redistribution layer}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1597--1602}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176727}, doi = {10.1109/DATE.2012.6176727}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HsuCCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsuingCG12, author = {Hsunwei Hsiung and Byeongju Cha and Sandeep K. Gupta}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Salvaging chips with caches beyond repair}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1263--1268}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176686}, doi = {10.1109/DATE.2012.6176686}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HsuingCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangBRBK12, author = {Jia Huang and Jan Olaf Blech and Andreas Raabe and Christian Buckl and Alois C. Knoll}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Static scheduling of a Time-Triggered Network-on-Chip based on {SMT} solving}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {509--514}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176522}, doi = {10.1109/DATE.2012.6176522}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangBRBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangHLLLG12, author = {Keheng Huang and Yu Hu and Xiaowei Li and Bo Liu and Hongjin Liu and Jian Gong}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Off-path leakage power aware routing for SRAM-based FPGAs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {87--92}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176438}, doi = {10.1109/DATE.2012.6176438}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangHLLLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JafariJL12, author = {Fahimeh Jafari and Axel Jantsch and Zhonghai Lu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Worst-case delay analysis of Variable Bit-Rate flows in network-on-chip with aggregate scheduling}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {538--541}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176457}, doi = {10.1109/DATE.2012.6176457}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JafariJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JeongKKRS12, author = {Kwangok Jeong and Andrew B. Kahng and Seokhyeong Kang and Tajana Simunic Rosing and Richard D. Strong}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{MAPG:} Memory access power gating}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1054--1059}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176651}, doi = {10.1109/DATE.2012.6176651}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JeongKKRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiangEP12, author = {Ke Jiang and Petru Eles and Zebo Peng}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Co-design techniques for distributed real-time embedded systems with communication security constraints}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {947--952}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176633}, doi = {10.1109/DATE.2012.6176633}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JiangEP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiangSCBP12, author = {Jie Jiang and Matthias Sauer and Alexander Czutro and Bernd Becker and Ilia Polian}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On the optimality of {K} longest path generation algorithm under memory constraints}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {418--423}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176507}, doi = {10.1109/DATE.2012.6176507}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JiangSCBP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiangXE12, author = {Li Jiang and Qiang Xu and Bill Eklow}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On effective {TSV} repair for 3D-stacked ICs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {793--798}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176602}, doi = {10.1109/DATE.2012.6176602}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JiangXE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JinMM12, author = {Yier Jin and Dzmitry Maliuk and Yiorgos Makris}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Post-deployment trust evaluation in wireless cryptographic ICs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {965--970}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176636}, doi = {10.1109/DATE.2012.6176636}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JinMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JongheMGMTS12, author = {Dimitri de Jonghe and Elie Maricau and Georges G. E. Gielen and Trent McConaghy and Bratislav Tasic and Haralampos{-}G. D. Stratigopoulos}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Advances in variation-aware modeling, verification, and testing of analog ICs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1615--1620}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176730}, doi = {10.1109/DATE.2012.6176730}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JongheMGMTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JovicYMELA12, author = {Jovana Jovic and Sergey Yakoushkin and Luis Gabriel Murillo and Juan Fernando Eusse and Rainer Leupers and Gerd Ascheid}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Hybrid simulation for extensible processor cores}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {288--291}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176480}, doi = {10.1109/DATE.2012.6176480}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JovicYMELA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JuanCMC12, author = {Da{-}Cheng Juan and Yi{-}Lin Chuang and Diana Marculescu and Yao{-}Wen Chang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Statistical thermal modeling and optimization considering leakage power variations}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {605--610}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176544}, doi = {10.1109/DATE.2012.6176544}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JuanCMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KakoeeLB12, author = {Mohammad Reza Kakoee and Igor Loi and Luca Benini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A resilient architecture for low latency communication in shared-L1 processor clusters}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {887--892}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176623}, doi = {10.1109/DATE.2012.6176623}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KakoeeLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KamalASP12, author = {Mehdi Kamal and Ali Afzali{-}Kusha and Saeed Safari and Massoud Pedram}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An architecture-level approach for mitigating the impact of process variations on extensible processors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {467--472}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176516}, doi = {10.1109/DATE.2012.6176516}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KamalASP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KarimiCGP12, author = {Naghmeh Karimi and Krishnendu Chakrabarty and Pallav Gupta and Srinivas Patil}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Test generation for clock-domain crossing faults in integrated circuits}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {406--411}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176505}, doi = {10.1109/DATE.2012.6176505}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KarimiCGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KatzRZ12, author = {Yoav Katz and Michal Rimon and Avi Ziv}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Generating instruction streams using abstract {CSP}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {15--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176425}, doi = {10.1109/DATE.2012.6176425}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KatzRZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KesslerDTNRDBTP12, author = {Christoph W. Kessler and Usman Dastgeer and Samuel Thibault and Raymond Namyst and Andrew Richards and Uwe Dolinsky and Siegfried Benkner and Jesper Larsson Tr{\"{a}}ff and Sabri Pllana}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Programmability and performance portability aspects of heterogeneous multi-/manycore systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1403--1408}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176582}, doi = {10.1109/DATE.2012.6176582}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KesslerDTNRDBTP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimA12, author = {Hyunjin Kim and Jacob A. Abraham}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On-chip source synchronous interface timing test scheme with calibration}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1146--1149}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176574}, doi = {10.1109/DATE.2012.6176574}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KirschPSCHHLLRSTV12, author = {Christoph M. Kirsch and Eloi Pereira and Raja Sengupta and Hao Chen and Robert Hansen and Jiangchuan Huang and Florian Landolt and Michael Lippautz and Andreas Rottmann and Ryan Swick and Rainer Trummer and D. Vizzini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Cyber-physical cloud computing: The binding and migration problem}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1425--1428}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176587}, doi = {10.1109/DATE.2012.6176587}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KirschPSCHHLLRSTV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KnoedlerSLJHKSBZ12, author = {Kosmas Knoedler and Jochen Steinmann and Sylvain Laversanne and Stephen Jones and Arno Huss and Emre Kural and David Sanchez and Oliver Bringmann and Jochen Zimmermann}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Optimal energy management and recovery for {FEV}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {683--684}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176557}, doi = {10.1109/DATE.2012.6176557}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KnoedlerSLJHKSBZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KnothJS12, author = {Christoph Knoth and Hela Jedda and Ulf Schlichtmann}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Current source modeling for power and timing analysis at different supply voltages}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {923--928}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176629}, doi = {10.1109/DATE.2012.6176629}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KnothJS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KondratyevLMW12, author = {Alex Kondratyev and Luciano Lavagno and Mike Meyer and Yosinori Watanabe}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Exploiting area/delay tradeoffs in high-level synthesis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1024--1029}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176646}, doi = {10.1109/DATE.2012.6176646}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KondratyevLMW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KotiyalTR12, author = {Saurabh Kotiyal and Himanshu Thapliyal and Nagarajan Ranganathan}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Mach-Zehnder interferometer based design of all optical reversible binary adder}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {721--726}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176564}, doi = {10.1109/DATE.2012.6176564}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KotiyalTR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KroneAGF12, author = {Stefan Krone and Bjoern Almeroth and Falko Guderian and Gerhard P. Fettweis}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Towards a wireless medical smart card}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1483--1488}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176708}, doi = {10.1109/DATE.2012.6176708}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KroneAGF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KwonKKYL12, author = {Suknam Kwon and Dongki Kim and Youngsik Kim and Sungjoo Yoo and Sunggu Lee}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A case study on the application of real phase-change {RAM} to main memory subsystem}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {264--267}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176474}, doi = {10.1109/DATE.2012.6176474}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KwonKKYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KwongG12, author = {Joyce Kwong and Manish Goel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A high performance split-radix {FFT} with constant geometry architecture}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1537--1542}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176717}, doi = {10.1109/DATE.2012.6176717}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KwongG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LafayePBGF12, author = {Micha{\"{e}}l Lafaye and Laurent Pautet and Etienne Borde and Marc Gatti and David Faura}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Model driven resource usage simulation for critical embedded systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {312--315}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176486}, doi = {10.1109/DATE.2012.6176486}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LafayePBGF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeMKV12, author = {Bao Le and Hratch Mangassarian and Brian Keng and Andreas G. Veneris}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Non-solution implications using reverse domination in a modern SAT-based debugging environment}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {629--634}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176548}, doi = {10.1109/DATE.2012.6176548}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeMKV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeupersMPHSKV12, author = {Rainer Leupers and Grant Martin and Roman Plyaskin and Andreas Herkersdorf and Frank Schirrmeister and Tim Kogel and Martin Vaupel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Virtual platforms: Breaking new grounds}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {685--690}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176558}, doi = {10.1109/DATE.2012.6176558}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LeupersMPHSKV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LevequePLACSMC12, author = {Antoine L{\'{e}}v{\^{e}}que and Fran{\c{c}}ois P{\^{e}}cheux and Marie{-}Minerve Lou{\"{e}}rat and Hassan Aboushady and Fabio Cenni and Serge Scotti and Abdelbasset Massouri and Laurent Clavier}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Holistic modeling of embedded systems with multi-discipline feedback: Application to a Precollision Mitigation Braking System}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {739--744}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176567}, doi = {10.1109/DATE.2012.6176567}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LevequePLACSMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiDT12, author = {Min Li and Azadeh Davoodi and Mohammad Tehranipoor}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A sensor-assisted self-authentication framework for hardware trojan detection}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1331--1336}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176698}, doi = {10.1109/DATE.2012.6176698}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiDT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiDX12, author = {Min Li and Azadeh Davoodi and Lin Xie}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Custom on-chip sensors for post-silicon failing path isolation in the presence of process variations}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1591--1596}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176726}, doi = {10.1109/DATE.2012.6176726}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiDX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiH12, author = {Min Li and Michael S. Hsiao}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{RAG:} An efficient reliability analysis of logic circuits on graphics processing units}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {316--319}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176487}, doi = {10.1109/DATE.2012.6176487}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiRP12, author = {Tuo Li and Roshan G. Ragel and Sri Parameswaran}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Reli: Hardware/software Checkpoint and Recovery scheme for embedded processors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {875--880}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176621}, doi = {10.1109/DATE.2012.6176621}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiRP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiangCZRZJC12, author = {Yun Liang and Zheng Cui and Shengkui Zhao and Kyle Rupnow and Yihao Zhang and Douglas L. Jones and Deming Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Real-time implementation and performance optimization of 3D sound localization on GPUs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {832--835}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176610}, doi = {10.1109/DATE.2012.6176610}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiangCZRZJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinC12, author = {Wen{-}Huei Lin and Li{-}Pin Chang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Dual Greedy: Adaptive garbage collection for page-mapping solid-state disks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {117--122}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176443}, doi = {10.1109/DATE.2012.6176443}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinWCCCHYS12, author = {Hsiu{-}Yi Lin and Chun{-}Yao Wang and Shih{-}Chieh Chang and Yung{-}Chih Chen and Hsuan{-}Ming Chou and Ching{-}Yi Huang and Yen{-}Chi Yang and Chun{-}Chien Shen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A probabilistic analysis method for functional qualification under Mutation Analysis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {147--152}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176448}, doi = {10.1109/DATE.2012.6176448}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinWCCCHYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuFQ12, author = {Guanglei Liu and Ming Fan and Gang Quan}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Neighbor-aware dynamic thermal management for multi-core platform}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {187--192}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176460}, doi = {10.1109/DATE.2012.6176460}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuFQ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuJL12, author = {Shaoteng Liu and Axel Jantsch and Zhonghai Lu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Parallel probing: Dynamic and constant time setup procedure in circuit switching NoC}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1289--1294}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176691}, doi = {10.1109/DATE.2012.6176691}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuLC12, author = {Shih{-}Ying Liu and Chieh{-}Jui Lee and Hung{-}Ming Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Agglomerative-based flip-flop merging with signal wirelength optimization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1391--1396}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176580}, doi = {10.1109/DATE.2012.6176580}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuMG12, author = {Bo Liu and Jarir Messaoudi and Georges G. E. Gielen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A fast analog circuit yield estimation method for medium and high dimensional problems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {751--756}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176569}, doi = {10.1109/DATE.2012.6176569}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuPC12, author = {Hung{-}Yi Liu and Michele Petracca and Luca P. Carloni}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Compositional system-level design exploration with planning of high-level synthesis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {641--646}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176550}, doi = {10.1109/DATE.2012.6176550}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuTW12, author = {Xuexin Liu and Sheldon X.{-}D. Tan and Hai Wang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Parallel statistical analysis of analog circuits by GPU-accelerated graph-based approach}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {852--857}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176615}, doi = {10.1109/DATE.2012.6176615}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuTWY12, author = {Xuexin Liu and Sheldon X.{-}D. Tan and Hai Wang and Hao Yu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A GPU-accelerated envelope-following method for switching power converter simulation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1349--1354}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176701}, doi = {10.1109/DATE.2012.6176701}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuTWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuWWQS12, author = {Duo Liu and Tianzheng Wang and Yi Wang and Zhiwei Qin and Zili Shao}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A block-level flash memory management scheme for reducing write activities in PCM-based embedded systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1447--1450}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176593}, doi = {10.1109/DATE.2012.6176593}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiuWWQS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuMS12, author = {Kun Lu and Daniel Mueller{-}Gritschneder and Ulf Schlichtmann}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Accurately timed transaction level models for virtual prototyping at high abstraction level}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {135--140}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176446}, doi = {10.1109/DATE.2012.6176446}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LuMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuoCH12, author = {Yan Luo and Krishnendu Chakrabarty and Tsung{-}Yi Ho}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A cyberphysical synthesis approach for error recovery in digital microfluidic biochips}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1239--1244}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176682}, doi = {10.1109/DATE.2012.6176682}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LuoCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LvKE12, author = {Jinpeng Lv and Priyank Kalla and Florian Enescu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Efficient Gr{\"{o}}bner basis reductions for formal verification of galois field multipliers}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {899--904}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176625}, doi = {10.1109/DATE.2012.6176625}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LvKE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MagnoMBPOB12, author = {Michele Magno and Stevan Jovica Marinkovic and Davide Brunelli and Emanuel M. Popovici and Brendan O'Flynn and Luca Benini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Smart power unit with ultra low power radio trigger capabilities for wireless sensor networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {75--80}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176436}, doi = {10.1109/DATE.2012.6176436}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MagnoMBPOB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MahmoodPLM12, author = {Haroon Mahmood and Massimo Poncino and Mirko Loghi and Enrico Macii}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Application-specific memory partitioning for joint energy and lifetime optimization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {364--369}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176498}, doi = {10.1109/DATE.2012.6176498}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MahmoodPLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MahmoodSD12, author = {Zohaib Mahmood and Roberto Suaya and Luca Daniel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An efficient framework for passive compact dynamical modeling of multiport linear systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1203--1208}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176676}, doi = {10.1109/DATE.2012.6176676}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MahmoodSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MakosiejTVA12, author = {Adam Makosiej and Olivier Thomas and Andrei Vladimirescu and Amara Amara}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Stability and yield-oriented ultra-low-power embedded 6T {SRAM} cell design optimization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {93--98}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176439}, doi = {10.1109/DATE.2012.6176439}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MakosiejTVA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MammoCPNZMB12, author = {Biruk Mammo and Debapriya Chatterjee and Dmitry Pidan and Amir Nahir and Avi Ziv and Ronny Morad and Valeria Bertacco}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Approximating checkers for simulation acceleration}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {153--158}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176449}, doi = {10.1109/DATE.2012.6176449}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MammoCPNZMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Mancini12, author = {St{\'{e}}phane Mancini and Fr{\'{e}}d{\'{e}}ric Rousseau}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Enhancing non-linear kernels by an optimized memory hierarchy in a High Level Synthesis flow}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1130--1133}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176666}, doi = {10.1109/DATE.2012.6176666}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Mancini12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MandalKM12, author = {Ayan Mandal and Sunil P. Khatri and Rabi N. Mahapatra}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A fast, source-synchronous ring-based network-on-chip design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1489--1494}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176709}, doi = {10.1109/DATE.2012.6176709}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MandalKM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarianiSPZSB12, author = {Giovanni Mariani and Vlad Mihai Sima and Gianluca Palermo and Vittorio Zaccaria and Cristina Silvano and Koen Bertels}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Using multi-objective design space exploration to enable run-time resource management for reconfigurable architectures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1379--1384}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176578}, doi = {10.1109/DATE.2012.6176578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarianiSPZSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaricauJG12, author = {Elie Maricau and Dimitri de Jonghe and Georges G. E. Gielen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Hierarchical analog circuit reliability analysis using multivariate nonlinear regression and active learning sample selection}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {745--750}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176568}, doi = {10.1109/DATE.2012.6176568}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaricauJG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarinMLB12, author = {Paolo Marin and Christian Miller and Matthew Lewis and Bernd Becker}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Verification of partial designs using incremental {QBF} solving}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {623--628}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176547}, doi = {10.1109/DATE.2012.6176547}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarinMLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarinhoNPP12, author = {Jos{\'{e}} Marinho and Vincent N{\'{e}}lis and Stefan M. Petters and Isabelle Puaut}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Preemption delay analysis for floating non-preemptive region scheduling}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {497--502}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176520}, doi = {10.1109/DATE.2012.6176520}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarinhoNPP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Marinissen12, author = {Erik Jan Marinissen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1277--1282}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176689}, doi = {10.1109/DATE.2012.6176689}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Marinissen12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarinissenVGHRMB12, author = {Erik Jan Marinissen and Gilbert Vandling and Sandeep Kumar Goel and Friedrich Hapke and Jason Rivers and Nikolaus Mittermaier and Swapnil Bahl}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{EDA} solutions to new-defect detection in advanced process technologies}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {123--128}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176444}, doi = {10.1109/DATE.2012.6176444}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarinissenVGHRMB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MarongiuBB12, author = {Andrea Marongiu and Paolo Burgio and Luca Benini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Fast and lightweight support for nested parallelism on cluster-based embedded many-cores}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {105--110}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176441}, doi = {10.1109/DATE.2012.6176441}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MarongiuBB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MasrurGCCAB12, author = {Alejandro Masrur and Dip Goswami and Samarjit Chakraborty and Jian{-}Jia Chen and Anuradha Annaswamy and Ansuman Banerjee}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Timing analysis of cyber-physical applications for hybrid communication protocols}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1233--1238}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176681}, doi = {10.1109/DATE.2012.6176681}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MasrurGCCAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Meder12, author = {Klaus Meder}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {The mobile society - chances and challenges for micro- and power electronics}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176421}, doi = {10.1109/DATE.2012.6176421}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Meder12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MeissnerMLH12, author = {Markus Meissner and Oliver Mitea and Linda Luy and Lars Hedrich}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Fast isomorphism testing for a graph-based analog circuit synthesis framework}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {757--762}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176570}, doi = {10.1109/DATE.2012.6176570}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MeissnerMLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MengC12, author = {Jie Meng and Ayse K. Coskun}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Analysis and runtime management of 3D systems with stacked {DRAM} for boosting energy efficiency}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {611--616}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176545}, doi = {10.1109/DATE.2012.6176545}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MengC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MilbredtGLST12, author = {Paul Milbredt and Michael Gla{\ss} and Martin Lukasiewycz and Andreas Steininger and J{\"{u}}rgen Teich}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Designing FlexRay-based automotive architectures: {A} holistic {OEM} approach}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {276--279}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176477}, doi = {10.1109/DATE.2012.6176477}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MilbredtGLST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MillerVG12, author = {Bailey Miller and Frank Vahid and Tony Givargis}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{MEDS:} Mockup Electronic Data Sheets for automated testing of cyber-physical systems using digital mockups}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1417--1420}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176585}, doi = {10.1109/DATE.2012.6176585}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MillerVG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiryalaCMP12, author = {Sandeep Miryala and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {IR-drop analysis of graphene-based power distribution networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {81--86}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176437}, doi = {10.1109/DATE.2012.6176437}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MiryalaCMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MitraBD12, author = {Srobona Mitra and Ansuman Banerjee and Pallab Dasgupta}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Formal methods for ranking counterexamples through assumption mining}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {911--916}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176627}, doi = {10.1109/DATE.2012.6176627}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MitraBD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MittagKJR12, author = {Maximilian Mittag and Andreas Krinke and G{\"{o}}ran Jerke and Wolfgang Rosenstiel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Hierarchical propagation of geometric constraints for full-custom physical design of ICs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1471--1474}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176599}, doi = {10.1109/DATE.2012.6176599}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MittagKJR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MohammadiEEM12, author = {Abbas Mohammadi and Mojtaba Ebrahimi and Alireza Ejlali and Seyed Ghassem Miremadi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{SCFIT:} {A} FPGA-based fault injection technique for {SEU} fault model}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {586--589}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176538}, doi = {10.1109/DATE.2012.6176538}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MohammadiEEM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MorchePMV12, author = {Dominique Morche and Micha{\"{e}}l Pelissier and Gilles Masson and Pierre Vincent}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{UWB:} Innovative architectures enable disruptive low power wireless applications}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1156--1160}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176576}, doi = {10.1109/DATE.2012.6176576}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MorchePMV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MuradoreQF12, author = {Riccardo Muradore and Davide Quaglia and Paolo Fiorini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Predictive control of networked control systems over differentiated services lossy networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1245--1250}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176683}, doi = {10.1109/DATE.2012.6176683}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MuradoreQF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NarayananDZT12, author = {Rajeev Narayanan and Alaeddine Daghar and Mohamed H. Zaki and Sofi{\`{e}}ne Tahar}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Verifying jitter in an analog and mixed signal design using dynamic time warping}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1413--1416}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176584}, doi = {10.1109/DATE.2012.6176584}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NarayananDZT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NassarSGD12, author = {Maxime Nassar and Youssef Souissi and Sylvain Guilley and Jean{-}Luc Danger}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {{RSM:} {A} small and fast countermeasure for AES, secure against 1st and 2nd-order zero-offset SCAs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1173--1178}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176671}, doi = {10.1109/DATE.2012.6176671}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NassarSGD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NasseryO12, author = {Afsaneh Nassery and Sule Ozev}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An analytical technique for characterization of transceiver {IQ} imbalances in the loop-back mode}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1084--1089}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176656}, doi = {10.1109/DATE.2012.6176656}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NasseryO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NataleZ12, author = {Marco Di Natale and Haibo Zeng}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Task implementation of synchronous finite state machines}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {206--211}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176464}, doi = {10.1109/DATE.2012.6176464}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NataleZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NazinMR12, author = {Sergey A. Nazin and Dominique Morche and Alexandre Reinhardt}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Yield optimization for radio frequency receiver at system level}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {848--851}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176614}, doi = {10.1109/DATE.2012.6176614}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NazinMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeogyR12, author = {Arkosnato Neogy and Jaijeet S. Roychowdhury}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Analysis and design of sub-harmonically injection locked oscillators}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1209--1214}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176677}, doi = {10.1109/DATE.2012.6176677}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NeogyR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NicolaidisAZZKBTLTRKKDA12, author = {Michael Nicolaidis and Lorena Anghel and Nacer{-}Eddine Zergainoh and Yervant Zorian and Tanay Karnik and Keith A. Bowman and James W. Tschanz and Shih{-}Lien Lu and Carlos Tokunaga and Arijit Raychowdhury and Muhammad M. Khellah and Jaydeep Kulkarni and Vivek De and Dimiter Avresky}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design for test and reliability in ultimate {CMOS}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {677--682}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176556}, doi = {10.1109/DATE.2012.6176556}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NicolaidisAZZKBTLTRKKDA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NirmaierBTHKRLP12, author = {Thomas Nirmaier and Volker Meyer zu Bexten and Markus Tristl and Manuel Harrant and Matthias Kunze and Monica Rafaila and Julia Lau and Georg Pelz}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Measuring and improving the robustness of automotive smart power microelectronics}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {872--873}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176619}, doi = {10.1109/DATE.2012.6176619}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NirmaierBTHKRLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OzTKT12, author = {Isil {\"{O}}z and Haluk Rahmi Topcuoglu and Mahmut T. Kandemir and Oguz Tosun}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Performance-reliability tradeoff analysis for multithreaded applications}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {893--898}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176624}, doi = {10.1109/DATE.2012.6176624}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OzTKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanagopoulosAR12, author = {Georgios Panagopoulos and Charles Augustine and Kaushik Roy}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A framework for simulating hybrid {MTJ/CMOS} circuits: Atoms to system approach}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1443--1446}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176592}, doi = {10.1109/DATE.2012.6176592}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PanagopoulosAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkKSNI12, author = {Mi Sun Park and Srinidhi Kestur and Jagdish Sabarad and Vijaykrishnan Narayanan and Mary Jane Irwin}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An FPGA-based accelerator for cortical object classification}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {691--696}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176559}, doi = {10.1109/DATE.2012.6176559}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkKSNI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PatilJCLYPLCC12, author = {Shruti Patil and Min{-}Woo Jang and Chia{-}Ling Chen and Dongjin Lee and Zhijang Ye and Walter E. Partlo and David J. Lilja and Stephen A. Campbell and Tianhong Cui}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Weighted area technique for electromechanically enabled logic computation with cantilever-based {NEMS} switches}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {727--732}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176565}, doi = {10.1109/DATE.2012.6176565}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PatilJCLYPLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PattiAAOCJJM12, author = {Edoardo Patti and Andrea Acquaviva and Francesco Abate and Anna Osello and A. Cocuccio and Marco Jahn and Marc Jentsch and Enrico Macii}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Middleware services for network interoperability in smart energy efficient buildings}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {338--339}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176491}, doi = {10.1109/DATE.2012.6176491}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PattiAAOCJJM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PellegriniSCFHJAAB12, author = {Andrea Pellegrini and Robert Smolinski and Lei Chen and Xin Fu and Siva Kumar Sastry Hari and Junhao Jiang and Sarita V. Adve and Todd M. Austin and Valeria Bertacco}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {CrashTest'ing {SWAT:} Accurate, gate-level evaluation of symptom-based resiliency solutions}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1106--1109}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176660}, doi = {10.1109/DATE.2012.6176660}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PellegriniSCFHJAAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Peraldi-FratiBKK12, author = {Marie{-}Agn{\`{e}}s Peraldi{-}Frati and Hans Blom and Daniel Karlsson and Stefan Kuntz}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Timing Modeling with {AUTOSAR} - Current state and future directions}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {805--809}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176604}, doi = {10.1109/DATE.2012.6176604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Peraldi-FratiBKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PeranandamRSYGR12, author = {Prakash Mohan Peranandam and Sachin Raviram and Manoranjan Satpathy and Anand Yeolekar and Ambar A. Gadkari and S. Ramesh}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An integrated test generation tool for enhanced coverage of Simulink/Stateflow models}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {308--311}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176485}, doi = {10.1109/DATE.2012.6176485}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PeranandamRSYGR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PerinTBM12, author = {Guilherme Perin and Lionel Torres and Pascal Benoit and Philippe Maurine}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Amplitude demodulation-based {EM} analysis of different {RSA} implementations}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1167--1172}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176670}, doi = {10.1109/DATE.2012.6176670}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PerinTBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PiscitelliP12, author = {Roberta Piscitelli and Andy D. Pimentel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design space pruning through hybrid analysis in system-level design space exploration}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {781--786}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176600}, doi = {10.1109/DATE.2012.6176600}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PiscitelliP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PomataMTRL12, author = {Sebastiano Pomata and Paolo Meloni and Giuseppe Tuveri and Luigi Raffo and Menno Lindwer}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Exploiting binary translation for fast {ASIP} design space exploration on FPGAs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {566--569}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176533}, doi = {10.1109/DATE.2012.6176533}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PomataMTRL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PonsMP12, author = {Marc Pons and Marc{-}Nicolas Morgan and Christian Piguet}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Fixed origin corner square inspection layout regularity metric}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1397--1402}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176581}, doi = {10.1109/DATE.2012.6176581}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PonsMP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PontesCV12, author = {Julian J. H. Pontes and Ney Calazans and Pascal Vivet}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An accurate Single Event Effect digital design flow for reliable system level design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {224--229}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176466}, doi = {10.1109/DATE.2012.6176466}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PontesCV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PoulosYAVL12, author = {Zissis Poulos and Yu{-}Shen Yang and Jason Helge Anderson and Andreas G. Veneris and Bao Le}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Leveraging reconfigurability to raise productivity in {FPGA} functional debug}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {292--295}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176481}, doi = {10.1109/DATE.2012.6176481}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PoulosYAVL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PourshaghaghiFG12, author = {Hamid Reza Pourshaghaghi and Hamed Fatemi and Jos{\'{e}} Pineda de Gyvez}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Sliding-Mode Control to Compensate {PVT} Variations in dual core systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1048--1053}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176650}, doi = {10.1109/DATE.2012.6176650}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PourshaghaghiFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PrakashP12, author = {Aayush Prakash and Hiren D. Patel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An instruction scratchpad memory allocation for the precision timed architecture}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {659--664}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176553}, doi = {10.1109/DATE.2012.6176553}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PrakashP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Pyl12, author = {Thierry Van der Pyl}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Research and innovation on Advanced Computing - an {EU} perspective}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {591}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176539}, doi = {10.1109/DATE.2012.6176539}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Pyl12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QianTT12, author = {Zhiliang Qian and Ying Fei Teh and Chi{-}Ying Tsui}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A flit-level speedup scheme for network-on-chips using self-reconfigurable bi-directional channels}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1295--1300}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176692}, doi = {10.1109/DATE.2012.6176692}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QianTT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QinM12, author = {Xiaoke Qin and Prabhat Mishra}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Automated generation of directed tests for transition coverage in cache coherence protocols}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {3--8}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176423}, doi = {10.1109/DATE.2012.6176423}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QinM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QuintonEBY12, author = {Sophie Quinton and Rolf Ernst and Dominique Bertrand and Patrick Meumeu Yomsi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Challenges and new trends in probabilistic timing analysis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {810--815}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176605}, doi = {10.1109/DATE.2012.6176605}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QuintonEBY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QuintonHE12, author = {Sophie Quinton and Matthias Hanke and Rolf Ernst}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Formal analysis of sporadic overload in real-time systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {515--520}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176523}, doi = {10.1109/DATE.2012.6176523}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QuintonHE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahimiBG12, author = {Abbas Rahimi and Luca Benini and Rajesh K. Gupta}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Analysis of instruction-level vulnerability to dynamic voltage and temperature variations}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1102--1105}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176659}, doi = {10.1109/DATE.2012.6176659}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RahimiBG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RahmanS12, author = {Mohammad Rahman and Carl Sechen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Post-synthesis leakage power minimization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {99--104}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176440}, doi = {10.1109/DATE.2012.6176440}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RahmanS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RajeevMR12, author = {A. C. Rajeev and Swarup Mohalik and S. Ramesh}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Verifying timing synchronization constraints in distributed embedded architectures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {200--205}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176463}, doi = {10.1109/DATE.2012.6176463}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RajeevMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RajendranPSK12, author = {Jeyavijayan Rajendran and Youngok K. Pino and Ozgur Sinanoglu and Ramesh Karri}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Logic encryption: {A} fault analysis perspective}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {953--958}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176634}, doi = {10.1109/DATE.2012.6176634}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RajendranPSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RamboHS12, author = {Eberle A. Rambo and Olav P. Henschel and Luiz C. V. dos Santos}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On {ESL} verification of memory consistency for system-on-chip multiprocessing}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {9--14}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176424}, doi = {10.1109/DATE.2012.6176424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RamboHS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RayB12, author = {Sayak Ray and Robert K. Brayton}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Scalable progress verification in credit-based flow-control systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {905--910}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176626}, doi = {10.1109/DATE.2012.6176626}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RayB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RayMEBJC12, author = {Sayak Ray and Alan Mishchenko and Niklas E{\'{e}}n and Robert K. Brayton and Stephen Jang and Chao Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Mapping into {LUT} structures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1579--1584}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176724}, doi = {10.1109/DATE.2012.6176724}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RayMEBJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RichterC12, author = {Michael Richter and Krishnendu Chakrabarty}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Test pin count reduction for NoC-based Test delivery in multicore SOCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {787--792}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176601}, doi = {10.1109/DATE.2012.6176601}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RichterC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RofoueiGPM12, author = {Mahsan Rofouei and Mohammad Ali Ghodrat and Miodrag Potkonjak and Alfonso Martinez{-}Nova}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Optimization intensive energy harvesting}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {272--275}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176476}, doi = {10.1109/DATE.2012.6176476}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RofoueiGPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RosiereDDW12, author = {Mathieu Rosiere and Jean Lou Desbarbieux and Nathalie Drach and Franck Wajsb{\"{u}}rt}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An out-of-order superscalar processor on {FPGA:} The ReOrder Buffer design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1549--1554}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176719}, doi = {10.1109/DATE.2012.6176719}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RosiereDDW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RoxEG12, author = {Jonas Rox and Rolf Ernst and Paolo Giusto}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Using timing analysis for the design of future switched based Ethernet automotive networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {57--62}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176433}, doi = {10.1109/DATE.2012.6176433}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RoxEG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RudolfTWW12, author = {Robert Rudolf and Pouya Taatizadeh and Reuben Wilcock and Peter R. Wilson}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Automated critical device identification for configurable analogue transistors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {858--861}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176616}, doi = {10.1109/DATE.2012.6176616}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RudolfTWW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabenaRS12, author = {Davide Sabena and Matteo Sonza Reorda and Luca Sterpone}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A new {SBST} algorithm for testing the register file of {VLIW} processors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {412--417}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176506}, doi = {10.1109/DATE.2012.6176506}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabenaRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabryAC12, author = {Mohamed M. Sabry and David Atienza and Francky Catthoor}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A hybrid {HW-SW} approach for intermittent error mitigation in streaming-based embedded systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1110--1113}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176661}, doi = {10.1109/DATE.2012.6176661}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabryAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SabrySA12, author = {Mohamed M. Sabry and Arvind Sridhar and David Atienza}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Thermal balancing of liquid-cooled 3D-MPSoCs using channel modulation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {599--604}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176543}, doi = {10.1109/DATE.2012.6176543}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SabrySA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sadooghi-AlvandiAM12, author = {Maryam Sadooghi{-}Alvandi and Kaveh Aasaraai and Andreas Moshovos}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Toward virtualizing branch direction prediction}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {455--460}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176514}, doi = {10.1109/DATE.2012.6176514}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Sadooghi-AlvandiAM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SahlbachWE12, author = {Henning Sahlbach and Sean Whitty and Rolf Ernst}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A high-performance dense block matching solution for automotive 6D-vision}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {268--271}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176475}, doi = {10.1109/DATE.2012.6176475}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SahlbachWE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sasao12, author = {Tsutomu Sasao}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Row-shift decompositions for index generation functions}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1585--1590}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176725}, doi = {10.1109/DATE.2012.6176725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Sasao12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SassoneCMMPGMBR12, author = {Alessandro Sassone and Andrea Calimera and Alberto Macii and Enrico Macii and Massimo Poncino and Richard Goldman and Vazgen Melikyan and Eduard Babayan and Salvatore Rinaudo}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Investigating the effects of Inverted Temperature Dependence {(ITD)} on clock distribution networks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {165--166}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176453}, doi = {10.1109/DATE.2012.6176453}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SassoneCMMPGMBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchmutzlerSB12, author = {Christoph Schmutzler and Martin Simons and J{\"{u}}rgen Becker}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {On demand dependent deactivation of automotive ECUs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {69--74}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176435}, doi = {10.1109/DATE.2012.6176435}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchmutzlerSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchoenmakerMSBTJ12, author = {Wim Schoenmaker and Michael Matthes and Bart De Smedt and Sascha Baumanns and Caren Tischendorf and Rick Janssen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Large signal simulation of integrated inductors on semi-conducting substrates}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1221--1226}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176679}, doi = {10.1109/DATE.2012.6176679}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchoenmakerMSBTJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchrijenL12, author = {Geert Jan Schrijen and Vincent van der Leest}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Comparative analysis of {SRAM} memories used as {PUF} primitives}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1319--1324}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176696}, doi = {10.1109/DATE.2012.6176696}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchrijenL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SekaninaS12, author = {Luk{\'{a}}s Sekanina and Vojtech Salajka}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Towards new applications of multi-function logic: Image multi-filtering}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {824--827}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176608}, doi = {10.1109/DATE.2012.6176608}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SekaninaS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SekaninaV12, author = {Luk{\'{a}}s Sekanina and Zdenek Vas{\'{\i}}cek}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A SAT-based fitness function for evolutionary optimization of polymorphic circuits}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {715--720}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176563}, doi = {10.1109/DATE.2012.6176563}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SekaninaV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShafiqueZRKH12, author = {Muhammad Shafique and Bruno Zatt and Semeen Rehman and Florian Kriebel and J{\"{o}}rg Henkel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Power-efficient error-resiliency for {H.264/AVC} Context-Adaptive Variable Length Coding}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {697--702}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176560}, doi = {10.1109/DATE.2012.6176560}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShafiqueZRKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShahRK12, author = {Hardik Shah and Andreas Raabe and Alois C. Knoll}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Bounding {WCET} of applications using {SDRAM} with Priority Based Budget Scheduling in MPSoCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {665--670}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176554}, doi = {10.1109/DATE.2012.6176554}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShahRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Shahid12, author = {Mohammed Abdul Shahid}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Cross entropy minimization for efficient estimation of {SRAM} failure rate}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {230--235}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176467}, doi = {10.1109/DATE.2012.6176467}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Shahid12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SharifiAR12, author = {Shervin Sharifi and Raid Zuhair Ayoub and Tajana Simunic Rosing}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {TempoMP: Integrated prediction and management of temperature in heterogeneous MPSoCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {593--598}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176542}, doi = {10.1109/DATE.2012.6176542}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SharifiAR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SharmaCAHCD12, author = {Vibhu Sharma and Stefan Cosemans and Maryam Ashouei and Jos Huisken and Francky Catthoor and Wim Dehaene}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Ultra low power litho friendly local assist circuitry for variability resilient 8T {SRAM}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1042--1047}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176649}, doi = {10.1109/DATE.2012.6176649}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SharmaCAHCD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SheHMC12, author = {Dongrui She and Yifan He and Bart Mesman and Henk Corporaal}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Scheduling for register file energy minimization in explicit datapath architectures}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {388--393}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176502}, doi = {10.1109/DATE.2012.6176502}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SheHMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShoaibJV12, author = {Mohammed Shoaib and Niraj K. Jha and Naveen Verma}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Enabling advanced inference on sensor nodes through direct use of compressively-sensed signals}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {437--442}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176511}, doi = {10.1109/DATE.2012.6176511}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShoaibJV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShoaibMGM12, author = {Mohammed Shoaib and Gene Marsh and Harinath Garudadri and Somdeb Majumdar}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A closed-loop system for artifact mitigation in ambulatory electrocardiogram monitoring}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {431--436}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176510}, doi = {10.1109/DATE.2012.6176510}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShoaibMGM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinghNL12, author = {Padmaraj Singh and Vijaykrishnan Narayanan and David L. Landis}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Hazard driven test generation for {SMT} processors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {256--259}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176472}, doi = {10.1109/DATE.2012.6176472}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SinghNL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinhaRSB12, author = {Roopak Sinha and Partha S. Roop and Zoran Salcic and Samik Basu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Correct-by-construction multi-component SoC design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {647--652}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176551}, doi = {10.1109/DATE.2012.6176551}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SinhaRSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinkarWK12, author = {Abhishek A. Sinkar and Hao Wang and Nam Sung Kim}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Workload-aware voltage regulator optimization for power efficient multi-core processors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1134--1137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176667}, doi = {10.1109/DATE.2012.6176667}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SinkarWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SoekenWD12, author = {Mathias Soeken and Robert Wille and Rolf Drechsler}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Eliminating invariants in {UML/OCL} models}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1142--1145}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176669}, doi = {10.1109/DATE.2012.6176669}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SoekenWD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StattelmannGCBR12, author = {Stefan Stattelmann and Gernot Gebhard and Christoph Cullmann and Oliver Bringmann and Wolfgang Rosenstiel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Hybrid source-level simulation of data caches using abstract cache models}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {376--381}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176500}, doi = {10.1109/DATE.2012.6176500}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StattelmannGCBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StefanMAG12, author = {Radu Andrei Stefan and Anca Mariana Molnos and Jude Angelo Ambrose and Kees Goossens}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A {TDM} NoC supporting QoS, multicast, and fast connection set-up}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1283--1288}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176690}, doi = {10.1109/DATE.2012.6176690}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StefanMAG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Steinbach12, author = {Dierk Steinbach}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Guidelines for model based systems engineering}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {159--160}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176450}, doi = {10.1109/DATE.2012.6176450}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Steinbach12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StipicTZCUV12, author = {Srdjan Stipic and Sasa Tomic and Ferad Zyulkyarov and Adri{\'{a}}n Cristal and Osman S. {\"{U}}nsal and Mateo Valero}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {TagTM - accelerating STMs with hardware tags for fast meta-data access}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {39--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176429}, doi = {10.1109/DATE.2012.6176429}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StipicTZCUV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StojilovicNSBI12, author = {Mirjana Stojilovic and David Novo and Lazar Saranovac and Philip Brisk and Paolo Ienne}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Selective flexibility: Breaking the rigidity of datapath merging}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1543--1548}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176718}, doi = {10.1109/DATE.2012.6176718}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StojilovicNSBI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StripfKB12, author = {Timo Stripf and Ralf K{\"{o}}nig and J{\"{u}}rgen Becker}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A cycle-approximate, mixed-ISA simulator for the {KAHRISMA} architecture}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {21--26}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176426}, doi = {10.1109/DATE.2012.6176426}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/StripfKB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Sun12, author = {Fei Sun}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Automatic generation of functional models for embedded processor extensions}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {304--307}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176484}, doi = {10.1109/DATE.2012.6176484}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Sun12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunXX12, author = {Guangyu Sun and Cong Xu and Yuan Xie}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Modeling and design exploration of {FBDRAM} as on-chip memory}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1507--1512}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176712}, doi = {10.1109/DATE.2012.6176712}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SunXX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SuriBE12, author = {Bharath Suri and Unmesh D. Bordoloi and Petru Eles}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A scalable GPU-based approach to accelerate the multiple-choice knapsack problem}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1126--1129}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176665}, doi = {10.1109/DATE.2012.6176665}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SuriBE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TabkhiS12, author = {Hamed Tabkhi and Gunar Schirner}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Application-specific power-efficient approach for reducing register file vulnerability}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {574--577}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176535}, doi = {10.1109/DATE.2012.6176535}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TabkhiS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TanLXTC12, author = {Mingxing Tan and Xianhua Liu and Zichao Xie and Dong Tong and Xu Cheng}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Energy-efficient branch prediction with Compiler-guided History Stack}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {449--454}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176513}, doi = {10.1109/DATE.2012.6176513}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TanLXTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TangHCH12, author = {Kai{-}Fu Tang and Po{-}Kai Huang and Chun{-}Nan Chou and Chung{-}Yang Huang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Multi-patch generation for multi-error logic rectification by interpolation with cofactor reduction}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1567--1572}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176722}, doi = {10.1109/DATE.2012.6176722}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TangHCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TangZBM12, author = {Qin Tang and Amir Zjajo and Michel Berkelaar and Nick van der Meijs}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Transistor-level gate model based statistical timing analysis considering correlations}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {917--922}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176628}, doi = {10.1109/DATE.2012.6176628}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TangZBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TetzlaffB12, author = {Ronald Tetzlaff and Andreas Bruening}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Memristor technology in future electronic system design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {592}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176541}, doi = {10.1109/DATE.2012.6176541}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TetzlaffB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThachTKI12, author = {David Thach and Yutaka Tamiya and Shinya Kuwamura and Atsushi Ike}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Fast cycle estimation methodology for instruction-level emulator}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {248--251}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176470}, doi = {10.1109/DATE.2012.6176470}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ThachTKI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TheelenKW12, author = {Bart D. Theelen and Joost{-}Pieter Katoen and Hao Wu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Model checking of Scenario-Aware Dataflow with {CADP}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {653--658}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176552}, doi = {10.1109/DATE.2012.6176552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TheelenKW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ThieleE12, author = {Daniel Thiele and Rolf Ernst}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Optimizing performance analysis for synchronous dataflow graphs with shared resources}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {635--640}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176549}, doi = {10.1109/DATE.2012.6176549}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ThieleE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TodorovMRS12, author = {Vladimir Todorov and Daniel Mueller{-}Gritschneder and Helmut Reinig and Ulf Schlichtmann}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Automated construction of a cycle-approximate transaction level model of a memory controller}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1066--1071}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176653}, doi = {10.1109/DATE.2012.6176653}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TodorovMRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TorresAGPR12, author = {Clivia M. Sotomayor Torres and Jouni Ahopelto and Mart W. M. Graef and R. M. Popp and Wolfgang Rosenstiel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Beyond {CMOS} - benchmarking for future technologies}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {129--134}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176445}, doi = {10.1109/DATE.2012.6176445}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TorresAGPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TsaiLL12, author = {Hsin{-}Pei Tsai and Rung{-}Bin Lin and Liang{-}Chi Lai}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design and analysis of via-configurable routing fabrics for structured ASICs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1479--1482}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176707}, doi = {10.1109/DATE.2012.6176707}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TsaiLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TtofisT12, author = {Christos Ttofis and Theocharis Theocharides}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Towards accurate hardware stereo correspondence: {A} real-time {FPGA} implementation of a segmentation-based adaptive support weight algorithm}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {703--708}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176561}, doi = {10.1109/DATE.2012.6176561}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TtofisT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TurturiciSFF12, author = {Mauro Turturici and Sergio Saponara and Luca Fanucci and Emilio Franchi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Low-power embedded system for real-time correction of fish-eye automotive cameras}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {340--341}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176492}, doi = {10.1109/DATE.2012.6176492}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TurturiciSFF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VatajeluF12, author = {Elena I. Vatajelu and Joan Figueras}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Efficiency evaluation of parametric failure mitigation techniques for reliable {SRAM} operation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1343--1348}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176700}, doi = {10.1109/DATE.2012.6176700}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VatajeluF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VeljkovicRV12, author = {Filip Veljkovic and Vladimir Rozic and Ingrid Verbauwhede}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Low-cost implementations of on-the-fly tests for random number generators}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {959--964}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176635}, doi = {10.1109/DATE.2012.6176635}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VeljkovicRV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Voyiatzis12, author = {Ioannis Voyiatzis}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Input vector monitoring on line concurrent {BIST} based on multilevel decoding logic}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1251--1256}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176684}, doi = {10.1109/DATE.2012.6176684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Voyiatzis12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VyagrheswaruduDR12, author = {Nainala Vyagrheswarudu and Subrangshu Das and Abhishek Ranjan}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {PowerAdviser: An {RTL} power platform for interactive sequential optimizations}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {550--553}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176529}, doi = {10.1109/DATE.2012.6176529}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VyagrheswaruduDR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WalravensD12, author = {Cedric Walravens and Wim Dehaene}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Design of a low-energy data processing architecture for {WSN} nodes}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {570--573}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176534}, doi = {10.1109/DATE.2012.6176534}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WalravensD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WanK12, author = {Jinbo Wan and Hans G. Kerkhoff}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Monitoring active filters under automotive aging scenarios with embedded instrument}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1096--1101}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176658}, doi = {10.1109/DATE.2012.6176658}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WanK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangBSD12, author = {Yi Wang and Luis Angel D. Bathen and Zili Shao and Nikil D. Dutt}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {3D-FlashMap: {A} physical-location-aware block mapping strategy for 3D {NAND} flash memory}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1307--1312}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176694}, doi = {10.1109/DATE.2012.6176694}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangBSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangH12, author = {Zhonglei Wang and J{\"{o}}rg Henkel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Accurate source-level simulation of embedded software with respect to compiler optimizations}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {382--387}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176501}, doi = {10.1109/DATE.2012.6176501}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangJZD12, author = {Shuai Wang and Tao Jin and Chuanlei Zheng and Guangshan Duan}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Low power aging-aware register file design by duty cycle balancing}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {546--549}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176528}, doi = {10.1109/DATE.2012.6176528}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangJZD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangKAAW12, author = {Leran Wang and Tom J. Kazmierski and Bashir M. Al{-}Hashimi and Mansour Aloufi and Joseph Wenninger}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Response-surface-based design space exploration and optimisation of wireless sensor nodes with tunable energy harvesters}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {733--738}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176566}, doi = {10.1109/DATE.2012.6176566}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangKAAW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLLZLSCY12, author = {Yiqun Wang and Yongpan Liu and Yumeng Liu and Daming Zhang and Shuangchen Li and Baiko Sai and Mei{-}Fang Chiang and Huazhong Yang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A compression-based area-efficient recovery architecture for nonvolatile processors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1519--1524}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176714}, doi = {10.1109/DATE.2012.6176714}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangLLZLSCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangLPW12, author = {Yuanzhe Wang and Haotian Liu and Grantham K. H. Pang and Ngai Wong}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An operational matrix-based algorithm for simulating linear and fractional differential circuits}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1463--1466}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176597}, doi = {10.1109/DATE.2012.6176597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangLPW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangRR12, author = {Yue Wang and Soumyaroop Roy and Nagarajan Ranganathan}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Run-time power-gating in caches of GPUs for leakage energy savings}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {300--303}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176483}, doi = {10.1109/DATE.2012.6176483}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangRR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangTLG12, author = {Hai Wang and Sheldon X.{-}D. Tan and Xuexin Liu and Ashish Gupta}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Runtime power estimator calibration for high-performance microprocessors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {352--357}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176496}, doi = {10.1109/DATE.2012.6176496}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangTLG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangW12, author = {Chundong Wang and Weng{-}Fai Wong}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Extending the lifetime of {NAND} flash memory by salvaging bad blocks}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {260--263}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176473}, doi = {10.1109/DATE.2012.6176473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangXPKCP12, author = {Yanzhi Wang and Qing Xie and Massoud Pedram and Younghyun Kim and Naehyuck Chang and Massimo Poncino}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Multiple-source and multiple-destination charge migration in hybrid electrical energy storage systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {169--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176455}, doi = {10.1109/DATE.2012.6176455}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangXPKCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeisLBW12, author = {Christian Weis and Igor Loi and Luca Benini and Norbert Wehn}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {An energy efficient {DRAM} subsystem for 3D integrated SoCs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1138--1141}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176668}, doi = {10.1109/DATE.2012.6176668}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WeisLBW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WernerOGHB12, author = {Stephan Werner and Oliver Oey and Diana G{\"{o}}hringer and Michael H{\"{u}}bner and J{\"{u}}rgen Becker}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Virtualized on-chip distributed computing for heterogeneous reconfigurable multi-core systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {280--283}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176478}, doi = {10.1109/DATE.2012.6176478}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WernerOGHB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WilleDOO12, author = {Robert Wille and Rolf Drechsler and Christof Osewold and Alberto Garc{\'{\i}}a Ortiz}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Automatic design of low-power encoders using reversible circuit synthesis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1036--1041}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176648}, doi = {10.1109/DATE.2012.6176648}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WilleDOO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WilleSD12, author = {Robert Wille and Mathias Soeken and Rolf Drechsler}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Debugging of inconsistent {UML/OCL} models}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1078--1083}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176655}, doi = {10.1109/DATE.2012.6176655}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WilleSD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuLMC12, author = {Kai{-}Chiang Wu and Ming{-}Chao Lee and Diana Marculescu and Shih{-}Chieh Chang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Mitigating lifetime underestimation: {A} system-level approach considering temperature variations and correlations between failure mechanisms}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1269--1274}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176687}, doi = {10.1109/DATE.2012.6176687}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WuLMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XhakoniBG12, author = {Adi Xhakoni and David San Segundo Bello and Georges G. E. Gielen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Impact of {TSV} area on the dynamic range and frame rate performance of 3D-integrated image sensors}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {836--839}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176611}, doi = {10.1109/DATE.2012.6176611}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XhakoniBG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XieLWPSC12, author = {Qing Xie and Xue Lin and Yanzhi Wang and Massoud Pedram and Donghwa Shin and Naehyuck Chang}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {State of health aware charge management in hybrid electrical energy storage systems}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1060--1065}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176652}, doi = {10.1109/DATE.2012.6176652}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XieLWPSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuLHRHT12, author = {Yang Xu and Bing Li and Ralph Hasholzner and Bernhard Rohfleisch and Christian Haubelt and J{\"{u}}rgen Teich}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Variation-aware leakage power model extraction for system-level hierarchical power analysis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {346--351}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176495}, doi = {10.1109/DATE.2012.6176495}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuLHRHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuYCJW12, author = {Yuanzhe Xu and Wenjian Yu and Quan Chen and Lijun Jiang and Ngai Wong}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Efficient variation-aware EM-semiconductor coupled solver for the {TSV} structures in 3D {IC}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1409--1412}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176583}, doi = {10.1109/DATE.2012.6176583}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuYCJW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangCJTZ12, author = {Geng Yang and Jian Chen and Fredrik Jonsson and Hannu Tenhunen and Li{-}Rong Zheng}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A multi-parameter bio-electric {ASIC} sensor with integrated 2-wire data transmission protocol for wearable healthcare system}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {443--448}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176512}, doi = {10.1109/DATE.2012.6176512}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangCJTZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangGBSC12, author = {Yang Yang and Marc Geilen and Twan Basten and Sander Stuijk and Henk Corporaal}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Playing games with scenario- and resource-aware {SDF} graphs through policy iteration}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {194--199}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176462}, doi = {10.1109/DATE.2012.6176462}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangGBSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangLTW12, author = {Xiaoqing Yang and Tak{-}Kei Lam and Wai{-}Chung Tang and Yu{-}Liang Wu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Almost every wire is removable: {A} modeling and solution for removing any circuit wire}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1573--1578}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176723}, doi = {10.1109/DATE.2012.6176723}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangLTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YeYZX12, author = {Rong Ye and Feng Yuan and Hai Zhou and Qiang Xu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Clock skew scheduling for timing speculation}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {929--934}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176630}, doi = {10.1109/DATE.2012.6176630}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YeYZX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YipHI12, author = {Tsunwai Gary Yip and Chuan Yung Hung and Venu Iyengar}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Challenges in verifying an integrated 3D design}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {167--168}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176454}, doi = {10.1109/DATE.2012.6176454}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YipHI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YordanovAGGCBHBD12, author = {Boyan Yordanov and Evan Appleton and Rishi Ganguly and Ebru Aydin Gol and Swati Banerjee Carr and Swapnil Bhatia and Traci Haddock and Calin Belta and Douglas Densmore}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Experimentally driven verification of synthetic biological circuits}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {236--241}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176468}, doi = {10.1109/DATE.2012.6176468}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YordanovAGGCBHBD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuBL12, author = {Zhibin Yu and C. H. van Berkel and Hong Li}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A complexity adaptive channel estimator for low power}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1531--1536}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176716}, doi = {10.1109/DATE.2012.6176716}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YuBL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YunLY12, author = {Joosung Yun and Sunggu Lee and Sungjoo Yoo}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Bloom filter-based dynamic wear leveling for phase-change {RAM}}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1513--1518}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176713}, doi = {10.1109/DATE.2012.6176713}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YunLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZambelliIFCPOB12, author = {Cristian Zambelli and Marco Indaco and Michele Fabiano and Stefano Di Carlo and Paolo Prinetto and Piero Olivo and Davide Bertozzi}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A cross-layer approach for new reliability-performance trade-offs in {MLC} {NAND} flash memories}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {881--886}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176622}, doi = {10.1109/DATE.2012.6176622}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZambelliIFCPOB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaCL12, author = {Jin Zha and Xiaole Cui and Chung Len Lee}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Modeling and testing of interference faults in the nano {NAND} Flash memory}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {527--531}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176525}, doi = {10.1109/DATE.2012.6176525}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhaCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangPM12, author = {Cheng Zhang and Vasilis F. Pavlidis and Giovanni De Micheli}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Voltage propagation method for 3-D power grid analysis}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {844--847}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176613}, doi = {10.1109/DATE.2012.6176613}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangWHY12, author = {Chun Zhang and Wei Wu and Hantao Huang and Hao Yu}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Fair energy resource allocation by minority game algorithm for smart buildings}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {63--68}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176434}, doi = {10.1109/DATE.2012.6176434}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangWHY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangWLJC12, author = {Yaojun Zhang and Xiaobin Wang and Yong Li and Alex K. Jones and Yiran Chen}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Asymmetry of {MTJ} switching and its implication to {STT-RAM} designs}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1313--1318}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176695}, doi = {10.1109/DATE.2012.6176695}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangWLJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoYZCL12, author = {Bo Zhao and Jun Yang and Youtao Zhang and Yiran Chen and Hai Li}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Architecting a common-source-line array for bipolar non-volatile memory devices}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1451--1454}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176594}, doi = {10.1109/DATE.2012.6176594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhaoYZCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhengLGBYC12, author = {Yan Zheng and Peter Lisherness and Ming Gao and Jock Bovington and Shiyuan Yang and Kwang{-}Ting Cheng}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Power-efficient calibration and reconfiguration for on-chip optical communication}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1501--1506}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176711}, doi = {10.1109/DATE.2012.6176711}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhengLGBYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZimmermannBR12, author = {Jochen Zimmermann and Oliver Bringmann and Wolfgang Rosenstiel}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Analysis of multi-domain scenarios for optimized dynamic power management strategies}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {862--865}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176617}, doi = {10.1109/DATE.2012.6176617}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZimmermannBR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZohnerKSH12, author = {Michael Zohner and Michael Kasper and Marc St{\"{o}}ttinger and Sorin A. Huss}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Side channel analysis of the {SHA-3} finalists}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1012--1017}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176644}, doi = {10.1109/DATE.2012.6176644}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZohnerKSH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZuluagaBT12, author = {Marcela Zuluaga and Edwin V. Bonilla and Nigel P. Topham}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Predicting best design trade-offs: {A} case study in processor customization}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {1030--1035}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176647}, doi = {10.1109/DATE.2012.6176647}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZuluagaBT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2012, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6171057/proceeding}, isbn = {978-1-4577-2145-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.