Search dblp for Publications

export results for "toc:db/conf/islped/islped2005.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/AgarwalKBGR05,
  author       = {Amit Agarwal and
                  Kunhyuk Kang and
                  Swarup Bhunia and
                  James D. Gallagher and
                  Kaushik Roy},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Effectiveness of low power dual-V\({}_{\mbox{t}}\) designs in nano-scale
                  technologies under process parameter variations},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {14--19},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077609},
  doi          = {10.1145/1077603.1077609},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/AgarwalKBGR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AllierGSDAR05,
  author       = {Emmanuel Allier and
                  Julien Goulier and
                  Gilles Sicard and
                  Alessandro Dezzani and
                  Eric Andr{\'{e}} and
                  Marc Renaudin},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A 120nm low power asynchronous {ADC}},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {60--65},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077619},
  doi          = {10.1145/1077603.1077619},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AllierGSDAR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AmelifardFP05,
  author       = {Behnam Amelifard and
                  Farzan Fallah and
                  Massoud Pedram},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Low-power fanout optimization using multiple threshold voltage inverters},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {95--98},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077628},
  doi          = {10.1145/1077603.1077628},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AmelifardFP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BallapuramLP05,
  author       = {Chinnakrishnan S. Ballapuram and
                  Hsien{-}Hsin S. Lee and
                  Milos Prvulovic},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Synonymous address compaction for energy reduction in data {TLB}},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {357--362},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077689},
  doi          = {10.1145/1077603.1077689},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BallapuramLP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BircherVLJ05,
  author       = {William Lloyd Bircher and
                  M. Valluri and
                  J. Law and
                  Lizy K. John},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Runtime identification of microprocessor energy saving opportunities},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {275--280},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077668},
  doi          = {10.1145/1077603.1077668},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BircherVLJ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Buss05,
  author       = {Dennis Buss},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Technology and design challenges for mobile communication and computing
                  products},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077604},
  doi          = {10.1145/1077603.1077604},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Buss05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangSC05,
  author       = {Kuei{-}Chung Chang and
                  Jih{-}Sheng Shen and
                  Tien{-}Fu Chen},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A low-power crossroad switch architecture and its core placement for
                  network-on-chip},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {375--380},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077693},
  doi          = {10.1145/1077603.1077693},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChangSC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChangTH05,
  author       = {Yu Ching Chang and
                  King Ho Tam and
                  Lei He},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Power-optimal repeater insertion considering Vdd and Vth as design
                  freedoms},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {137--142},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077640},
  doi          = {10.1145/1077603.1077640},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChangTH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChaverRPPTH05,
  author       = {Daniel Chaver and
                  Miguel A. Rojas and
                  Luis Pi{\~{n}}uel and
                  Manuel Prieto and
                  Francisco Tirado and
                  Michael C. Huang},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Energy-aware fetch mechanism: trace cache and {BTB} customization},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {42--47},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077615},
  doi          = {10.1145/1077603.1077615},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChaverRPPTH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenCWCG05,
  author       = {Kuan{-}Hung Chen and
                  Kuo{-}Chuan Chao and
                  Jinn{-}Shyan Wang and
                  Yuan{-}Sun Chu and
                  Jiun{-}In Guo},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {An efficient spurious power suppression technique {(SPST)} and its
                  applications on {MPEG-4} {AVC/H.264} transform coding design},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {155--160},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077644},
  doi          = {10.1145/1077603.1077644},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenCWCG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenH05,
  author       = {Xinhua Chen and
                  Qiuting Huang},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A 9.5mW 4GHz {WCDMA} frequency synthesizer in 0.13{\(\mathrm{\mu}\)}m
                  {CMOS}},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {66--71},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077620},
  doi          = {10.1145/1077603.1077620},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenK05,
  author       = {Guangyu Chen and
                  Mahmut T. Kandemir},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Dataflow analysis for energy-efficient scratch-pad memory management},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {327--330},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077682},
  doi          = {10.1145/1077603.1077682},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenLRK05,
  author       = {Yiran Chen and
                  Hai Li and
                  Kaushik Roy and
                  Cheng{-}Kok Koh},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Cascaded carry-select adder (C\({}^{\mbox{2}}\)SA): a new structure
                  for low-power {CSA} design},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {115--118},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077634},
  doi          = {10.1145/1077603.1077634},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenLRK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChengH05,
  author       = {Xueqi Cheng and
                  Michael S. Hsiao},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Region-level approximate computation reuse for power reduction in
                  multimedia applications},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {119--122},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077635},
  doi          = {10.1145/1077603.1077635},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChengH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChinneryK05,
  author       = {David G. Chinnery and
                  Kurt Keutzer},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Linear programming for sizing, V\({}_{\mbox{th}}\) and V\({}_{\mbox{dd}}\)
                  assignment},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {149--154},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077642},
  doi          = {10.1145/1077603.1077642},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChinneryK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CongJRT05,
  author       = {Jason Cong and
                  Ashok Jagannathan and
                  Glenn Reinman and
                  Yuval Tamir},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Understanding the energy efficiency of {SMT} and {CMP} with multiclustering},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {48--53},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077616},
  doi          = {10.1145/1077603.1077616},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CongJRT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ContrerasM05,
  author       = {Gilberto Contreras and
                  Margaret Martonosi},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Power prediction for intel XScale processors using performance monitoring
                  unit events},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {221--226},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077657},
  doi          = {10.1145/1077603.1077657},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ContrerasM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DavoodiS05,
  author       = {Azadeh Davoodi and
                  Ankur Srivastava},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Probabilistic dual-Vth leakage optimization under variability},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {143--148},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077641},
  doi          = {10.1145/1077603.1077641},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DavoodiS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DieterDK05,
  author       = {William R. Dieter and
                  Srabosti Datta and
                  Wong Key Kai},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Power reduction by varying sampling rate},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {227--232},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077658},
  doi          = {10.1145/1077603.1077658},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DieterDK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/EjlaliSAMR05,
  author       = {Alireza Ejlali and
                  Marcus T. Schmitz and
                  Bashir M. Al{-}Hashimi and
                  Seyed Ghassem Miremadi and
                  Paul M. Rosinger},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Energy efficient SEU-tolerance in DVS-enabled real-time systems through
                  information redundancy},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {281--286},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077669},
  doi          = {10.1145/1077603.1077669},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/EjlaliSAMR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/FanTHG05,
  author       = {Dongrui Fan and
                  Zhimin Tang and
                  Hailin Huang and
                  Guang R. Gao},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {An energy efficient {TLB} design methodology},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {351--356},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077688},
  doi          = {10.1145/1077603.1077688},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/FanTHG05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Gordon-RossVD05,
  author       = {Ann Gordon{-}Ross and
                  Frank Vahid and
                  Nikil D. Dutt},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Fast configurable-cache tuning with a unified second-level cache},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {323--326},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077681},
  doi          = {10.1145/1077603.1077681},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/Gordon-RossVD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuK05,
  author       = {Jie Gu and
                  Chris H. Kim},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Multi-story power delivery for supply noise reduction and low voltage
                  operation},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {192--197},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077651},
  doi          = {10.1145/1077603.1077651},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GulatiJK05,
  author       = {Kanupriya Gulati and
                  Nikhil Jayakumar and
                  Sunil P. Khatri},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {An algebraic decision diagram {(ADD)} based technique to find leakage
                  histograms of combinational designs},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {111--114},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077633},
  doi          = {10.1145/1077603.1077633},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GulatiJK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuoBZKN05,
  author       = {Zheng Guo and
                  Sriram Balasubramanian and
                  Radu Zlatanovici and
                  Tsu{-}Jae King and
                  Borivoje Nikolic},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {FinFET-based {SRAM} design},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {2--7},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077607},
  doi          = {10.1145/1077603.1077607},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GuoBZKN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GuoNM05,
  author       = {Yao Guo and
                  Mahmoud Ben Naser and
                  Csaba Andras Moritz},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {{PARE:} a power-aware hardware data prefetching engine},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {339--344},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077686},
  doi          = {10.1145/1077603.1077686},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GuoNM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HassanAE05,
  author       = {Hassan Hassan and
                  Mohab Anis and
                  Mohamed I. Elmasry},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {{LAP:} a logic activity packing methodology for leakage power-tolerant
                  FPGAs},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {257--262},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077664},
  doi          = {10.1145/1077603.1077664},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HassanAE05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HeHTW05,
  author       = {Lei He and
                  Mike Hutton and
                  Tim Tuan and
                  Steven J. E. Wilton},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Challenges and opportunities for low power FPGAs in nanometer technologies},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {90},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077625},
  doi          = {10.1145/1077603.1077625},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HeHTW05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HeoA05,
  author       = {Seongmoo Heo and
                  Krste Asanovic},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Replacing global wires with an on-chip network: a power analysis},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {369--374},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077692},
  doi          = {10.1145/1077603.1077692},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HeoA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HomK05,
  author       = {Jerry Hom and
                  Ulrich Kremer},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Inter-program optimizations for conserving disk energy},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {335--338},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077684},
  doi          = {10.1145/1077603.1077684},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HomK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HsuARKB05,
  author       = {Steven Hsu and
                  Amit Agarwal and
                  Kaushik Roy and
                  Ram Krishnamurthy and
                  Shekhar Borkar},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {An 8.3GHz dual supply/threshold optimized 32b integer ALU-register
                  file loop in 90nm {CMOS}},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {103--106},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077630},
  doi          = {10.1145/1077603.1077630},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HsuARKB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuangHSS05,
  author       = {Wei Huang and
                  Eric Humenay and
                  Kevin Skadron and
                  Mircea R. Stan},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {The need for a full-chip and package thermal model for thermally optimized
                  {IC} designs},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {245--250},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077662},
  doi          = {10.1145/1077603.1077662},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuangHSS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HuangSLK05,
  author       = {Hai Huang and
                  Kang G. Shin and
                  Charles Lefurgy and
                  Tom W. Keller},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Improving energy efficiency by making {DRAM} less randomly accessed},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {393--398},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077696},
  doi          = {10.1145/1077603.1077696},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HuangSLK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/IranliMP05,
  author       = {Ali Iranli and
                  Morteza Maleki and
                  Massoud Pedram},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Energy efficient strategies for deployment of a two-level wireless
                  sensor network},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {233--238},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077659},
  doi          = {10.1145/1077603.1077659},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/IranliMP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/IshiharaF05,
  author       = {Tohru Ishihara and
                  Farzan Fallah},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A non-uniform cache architecture for low power system design},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {363--368},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077690},
  doi          = {10.1145/1077603.1077690},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/IshiharaF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/IslamBL05,
  author       = {Rabiul Islam and
                  Adam Brand and
                  Dave Lippincott},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Low power {SRAM} techniques for handheld products},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {198--202},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077652},
  doi          = {10.1145/1077603.1077652},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/IslamBL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/JuangWPMC05,
  author       = {Philo Juang and
                  Qiang Wu and
                  Li{-}Shiuan Peh and
                  Margaret Martonosi and
                  Douglas W. Clark},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Coordinated, distributed, formal energy management of chip multiprocessors},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {127--130},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077637},
  doi          = {10.1145/1077603.1077637},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/JuangWPMC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KahngMS05,
  author       = {Andrew B. Kahng and
                  Swamy Muddu and
                  Puneet Sharma},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Defocus-aware leakage estimation and control},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {263--268},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077665},
  doi          = {10.1145/1077603.1077665},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KahngMS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KandemirSC05,
  author       = {Mahmut T. Kandemir and
                  Seung Woo Son and
                  Guangyu Chen},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {An evaluation of code and data optimizations in the context of disk
                  power reduction},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {209--214},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077655},
  doi          = {10.1145/1077603.1077655},
  timestamp    = {Wed, 20 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KandemirSC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KaxirasXK05,
  author       = {Stefanos Kaxiras and
                  Polychronis Xekalakis and
                  Georgios Keramidas},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A simple mechanism to adapt leakage-control policies to temperature},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {54--59},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077617},
  doi          = {10.1145/1077603.1077617},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KaxirasXK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KeshavarziSTMBTZLHDBD05,
  author       = {Ali Keshavarzi and
                  Gerhard Schrom and
                  Stephen Tang and
                  Sean Ma and
                  Keith A. Bowman and
                  Sunit Tyagi and
                  Kevin Zhang and
                  Tom Linton and
                  Nagib Hakim and
                  Steven G. Duvall and
                  John Brews and
                  Vivek De},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Measurements and modeling of intrinsic fluctuations in {MOSFET} threshold
                  voltage},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {26--29},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077611},
  doi          = {10.1145/1077603.1077611},
  timestamp    = {Tue, 30 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KeshavarziSTMBTZLHDBD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimC05,
  author       = {Seokkee Kim and
                  Soo{-}Ik Chae},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Complexity reduction in an nRERL microprocessor},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {180--185},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077649},
  doi          = {10.1145/1077603.1077649},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LanuzzaMC05,
  author       = {Marco Lanuzza and
                  Martin Margala and
                  Pasquale Corsonello},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Cost-effective low-power processor-in-memory-based reconfigurable
                  datapath for multimedia applications},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {161--166},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077645},
  doi          = {10.1145/1077603.1077645},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LanuzzaMC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeA05,
  author       = {Il{-}soo Lee and
                  Tony Ambler},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Two efficient methods to reduce power and testing time},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {167--172},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077646},
  doi          = {10.1145/1077603.1077646},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LeeA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiH05,
  author       = {Jia{-}Jhe Li and
                  Yuan{-}Shin Hwang},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Snug set-associative caches: reducing leakage power while improving
                  performance},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {345--350},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077687},
  doi          = {10.1145/1077603.1077687},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiHMBHS05,
  author       = {Yingmin Li and
                  Mark Hempstead and
                  Patrick Mauro and
                  David M. Brooks and
                  Zhigang Hu and
                  Kevin Skadron},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Power and thermal effects of {SRAM} vs. Latch-Mux design styles and
                  clock gating choices},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {173--178},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077647},
  doi          = {10.1145/1077603.1077647},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiHMBHS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MaWSH05,
  author       = {Dongsheng Ma and
                  Janet Meiling Wang and
                  Mohankumar N. Somasundaram and
                  Zongqi Hu},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Design and optimization on dynamic power system for self-powered integrated
                  wireless sensing nodes},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {303--306},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077675},
  doi          = {10.1145/1077603.1077675},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MaWSH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MeijerGO05,
  author       = {Maurice Meijer and
                  Jos{\'{e}} Pineda de Gyvez and
                  Ralph Otten},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {On-chip digital power supply control for system-on-chip applications},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {311--314},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077677},
  doi          = {10.1145/1077603.1077677},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MeijerGO05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Mercer05,
  author       = {Douglas Mercer},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A low power current steering digital to analog converter in 0.18 Micron
                  {CMOS}},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {72--77},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077621},
  doi          = {10.1145/1077603.1077621},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Mercer05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MilnerR05,
  author       = {Lucas Andrew Milner and
                  Gabriel A. Rinc{\'{o}}n{-}Mora},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A novel predictive inductor multiplier for integrated circuit {DC-DC}
                  converters in portable applications},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {84--89},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077623},
  doi          = {10.1145/1077603.1077623},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MilnerR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MoreshetBH05,
  author       = {Tali Moreshet and
                  R. Iris Bahar and
                  Maurice Herlihy},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Energy reduction in multiprocessor systems using transactional memory},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {331--334},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077683},
  doi          = {10.1145/1077603.1077683},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MoreshetBH05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MukherjeeMM05,
  author       = {Rajarshi Mukherjee and
                  Seda Ogrenci Memik and
                  Gokhan Memik},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Peak temperature control and leakage reduction during binding in high
                  level synthesis},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {251--256},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077663},
  doi          = {10.1145/1077603.1077663},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MukherjeeMM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MukhopadhyayKCR05,
  author       = {Saibal Mukhopadhyay and
                  Keunwoo Kim and
                  Ching{-}Te Chuang and
                  Kaushik Roy},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Modeling and analysis of total leakage currents in nanoscale double
                  gate devices and circuits},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {8--13},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077608},
  doi          = {10.1145/1077603.1077608},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MukhopadhyayKCR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Narayanaswami05,
  author       = {Chandra Narayanaswami},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Wearable computing: a catalyst for business and entertainment},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {302},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077605},
  doi          = {10.1145/1077603.1077605},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Narayanaswami05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NiyogiM05,
  author       = {Koushik Niyogi and
                  Diana Marculescu},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {System level power and performance modeling of {GALS} point-to-point
                  communication interfaces},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {381--386},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077694},
  doi          = {10.1145/1077603.1077694},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NiyogiM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NizamND05,
  author       = {Maha Nizam and
                  Farid N. Najm and
                  Anirudh Devgan},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Power grid voltage integrity verification},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {239--244},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077661},
  doi          = {10.1145/1077603.1077661},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NizamND05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RongP05,
  author       = {Peng Rong and
                  Massoud Pedram},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Hierarchical power management with application to scheduling},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {269--274},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077667},
  doi          = {10.1145/1077603.1077667},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RongP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SathePZ05,
  author       = {Visvesh S. Sathe and
                  Marios C. Papaefthymiou and
                  Conrad H. Ziesler},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A GHz-class charge recovery logic},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {91--94},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077627},
  doi          = {10.1145/1077603.1077627},
  timestamp    = {Thu, 28 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SathePZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ScholtensSV05,
  author       = {Peter C. S. Scholtens and
                  David Smola and
                  Maarten Vertregt},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Systematic power reduction and performance analysis of mismatch limited
                  {ADC} designs},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {78--83},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077622},
  doi          = {10.1145/1077603.1077622},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ScholtensSV05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SharkeyPGE05,
  author       = {Joseph J. Sharkey and
                  Dmitry V. Ponomarev and
                  Kanad Ghose and
                  Oguz Ergin},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Instruction packing: reducing power and delay of the dynamic scheduling
                  logic},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {30--35},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077613},
  doi          = {10.1145/1077603.1077613},
  timestamp    = {Thu, 25 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SharkeyPGE05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SiebertCA05,
  author       = {Jeff Siebert and
                  Jamie Collier and
                  Rajeevan Amirtharajah},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Self-timed circuits for energy harvesting {AC} power supplies},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {315--318},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077678},
  doi          = {10.1145/1077603.1077678},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SiebertCA05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SimjeeC05,
  author       = {Farhan Simjee and
                  Pai H. Chou},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Accurate battery lifetime estimation using high-frequency power profile
                  emulation},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {307--310},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077676},
  doi          = {10.1145/1077603.1077676},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SimjeeC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SonCK05,
  author       = {Seung Woo Son and
                  Guangyu Chen and
                  Mahmut T. Kandemir},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Power-aware code scheduling for clusters of active disks},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {293--298},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077671},
  doi          = {10.1145/1077603.1077671},
  timestamp    = {Wed, 20 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SonCK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SridharaS05,
  author       = {Srinivasa R. Sridhara and
                  Naresh R. Shanbhag},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A low-power bus design using joint repeater insertion and coding},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {99--102},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077629},
  doi          = {10.1145/1077603.1077629},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SridharaS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SrinivasanC05,
  author       = {Krishnan Srinivasan and
                  Karam S. Chatha},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A technique for low energy mapping and routing in network-on-chip
                  architectures},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {387--392},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077695},
  doi          = {10.1145/1077603.1077695},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SrinivasanC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Sumita05,
  author       = {Masaya Sumita},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {High resolution body bias techniques for reducing the impacts of leakage
                  current and parasitic bipolar},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {203--208},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077653},
  doi          = {10.1145/1077603.1077653},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Sumita05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SureshAYN05,
  author       = {Dinesh C. Suresh and
                  Banit Agrawal and
                  Jun Yang and
                  Walid A. Najjar},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A tunable bus encoder for off-chip data buses},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {319--322},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077680},
  doi          = {10.1145/1077603.1077680},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SureshAYN05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/TajalliMAL05,
  author       = {Armin Tajalli and
                  Paul Muller and
                  Seyed Mojtaba Atarodi and
                  Yusuf Leblebici},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A low-power, multichannel gated oscillator-based {CDR} for short-haul
                  applications},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {107--110},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077631},
  doi          = {10.1145/1077603.1077631},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/TajalliMAL05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangIBFP05,
  author       = {Guiling Wang and
                  Mary Jane Irwin and
                  Piotr Berman and
                  Haoying Fu and
                  Thomas F. La Porta},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Optimizing sensor movement planning for energy efficiency},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {215--220},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077656},
  doi          = {10.1145/1077603.1077656},
  timestamp    = {Wed, 17 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WangIBFP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WasonB05,
  author       = {Vineet Wason and
                  Kaustav Banerjee},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {A probabilistic framework for power-optimal repeater insertion in
                  global interconnects under parameter variations},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {131--136},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077639},
  doi          = {10.1145/1077603.1077639},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WasonB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WuYYC05,
  author       = {Yen{-}Wei Wu and
                  Chia{-}Lin Yang and
                  Ping{-}Hung Yuh and
                  Yao{-}Wen Chang},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Joint exploration of architectural and physical design spaces with
                  thermal consideration},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {123--126},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077636},
  doi          = {10.1145/1077603.1077636},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/WuYYC05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/XieMM05,
  author       = {Fen Xie and
                  Margaret Martonosi and
                  Sharad Malik},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Bounds on power savings using runtime dynamic voltage scaling: an
                  exact algorithm and a linear-time heuristic approximation},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {287--292},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077670},
  doi          = {10.1145/1077603.1077670},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/XieMM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhaiHBS05,
  author       = {Bo Zhai and
                  Scott Hanson and
                  David T. Blaauw and
                  Dennis Sylvester},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Analysis and mitigation of variability in subthreshold design},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {20--25},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077610},
  doi          = {10.1145/1077603.1077610},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhaiHBS05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangWBLXF05,
  author       = {Liang Zhang and
                  John M. Wilson and
                  Rizwan Bashirullah and
                  Lei Luo and
                  Jian Xu and
                  Paul D. Franzon},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Driver pre-emphasis techniques for on-chip global buses},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {186--191},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077650},
  doi          = {10.1145/1077603.1077650},
  timestamp    = {Wed, 22 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangWBLXF05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZmilyK05,
  author       = {Ahmad Zmily and
                  Christos Kozyrakis},
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Energy-efficient and high-performance instruction fetch using a block-aware
                  {ISA}},
  booktitle    = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  pages        = {36--41},
  publisher    = {{ACM}},
  year         = {2005},
  url          = {https://doi.org/10.1145/1077603.1077614},
  doi          = {10.1145/1077603.1077614},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZmilyK05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2005,
  editor       = {Kaushik Roy and
                  Vivek Tiwari},
  title        = {Proceedings of the 2005 International Symposium on Low Power Electronics
                  and Design, 2005, San Diego, California, USA, August 8-10, 2005},
  publisher    = {{ACM}},
  year         = {2005},
  isbn         = {1-59593-137-6},
  timestamp    = {Wed, 27 Jul 2016 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2005.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics