Search dblp for Publications

export results for "toc:db/conf/islped/islped2010.bht:"

 download as .bib file

@inproceedings{DBLP:conf/islped/Amerasekera10,
  author       = {Ajith Amerasekera},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Ultra low power electronics in the next decade},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {237--238},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840892},
  doi          = {10.1145/1840845.1840892},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Amerasekera10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AminC10,
  author       = {Ahmed M. Amin and
                  Zeshan Chishti},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Rank-aware cache replacement and write buffering to improve {DRAM}
                  energy efficiency},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {383--388},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840930},
  doi          = {10.1145/1840845.1840930},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AminC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/AyoubIR10,
  author       = {Raid Zuhair Ayoub and
                  Krishnam Raju Indukuri and
                  Tajana Simunic Rosing},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Energy efficient proactive thermal management in memory subsystem},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {195--200},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840884},
  doi          = {10.1145/1840845.1840884},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/AyoubIR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BaranAO10,
  author       = {Dursun Baran and
                  Mustafa Aktan and
                  Vojin G. Oklobdzija},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Energy efficient implementation of parallel {CMOS} multipliers with
                  improved compressors},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {147--152},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840876},
  doi          = {10.1145/1840845.1840876},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BaranAO10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BasogluOE10,
  author       = {Mehmet Basoglu and
                  Michael Orshansky and
                  Mattan Erez},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {NBTI-aware {DVFS:} a new approach to saving energy and increasing
                  processor lifetime},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {253--258},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840898},
  doi          = {10.1145/1840845.1840898},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BasogluOE10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BonnoitP10,
  author       = {Alyssa Bonnoit and
                  Lawrence T. Pileggi},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Reducing variability in chip-multiprocessors with adaptive body biasing},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {73--78},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840861},
  doi          = {10.1145/1840845.1840861},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BonnoitP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/BowmanTLAKRGTWKD10,
  author       = {Keith A. Bowman and
                  James W. Tschanz and
                  Shih{-}Lien Lu and
                  Paolo A. Aseron and
                  Muhammad M. Khellah and
                  Arijit Raychowdhury and
                  Bibiche M. Geuskens and
                  Carlos Tokunaga and
                  Chris Wilkerson and
                  Tanay Karnik and
                  Vivek De},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Resilient microprocessor design for high performance {\&} energy
                  efficiency},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {355--356},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840919},
  doi          = {10.1145/1840845.1840919},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/BowmanTLAKRGTWKD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CalimeraLMP10,
  author       = {Andrea Calimera and
                  Mirko Loghi and
                  Enrico Macii and
                  Massimo Poncino},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Dynamic indexing: concurrent leakage and aging optimization for caches},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {343--348},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840916},
  doi          = {10.1145/1840845.1840916},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CalimeraLMP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChablozH10,
  author       = {Jean{-}Michel Chabloz and
                  Ahmed Hemani},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Distributed {DVFS} using rationally-related frequencies and discrete
                  voltage levels},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {247--252},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840897},
  doi          = {10.1145/1840845.1840897},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChablozH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChakrabortyP10,
  author       = {Ashutosh Chakraborty and
                  David Z. Pan},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{PASAP:} power aware structured {ASIC} placement},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {395--400},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840933},
  doi          = {10.1145/1840845.1840933},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChakrabortyP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChatterjeeSKM10,
  author       = {Subho Chatterjee and
                  Sayeef S. Salahuddin and
                  Satish Kumar and
                  Saibal Mukhopadhyay},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Analysis of thermal behaviors of spin-torque-transfer {RAM:} a simulation
                  study},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {13--18},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840849},
  doi          = {10.1145/1840845.1840849},
  timestamp    = {Tue, 28 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChatterjeeSKM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenC10,
  author       = {Chien{-}Ying Chen and
                  Pai H. Chou},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {DuraCap: a supercapacitor-based, power-bootstrapping, maximum power
                  point tracking energy-harvesting system},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {313--318},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840910},
  doi          = {10.1145/1840845.1840910},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenLWZXZ10,
  author       = {Yiran Chen and
                  Hai Li and
                  Xiaobin Wang and
                  Wenzhong Zhu and
                  Wei Xu and
                  Tong Zhang},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Combined magnetic- and circuit-level enhancements for the nondestructive
                  self-reference scheme of {STT-RAM}},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {1--6},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840847},
  doi          = {10.1145/1840845.1840847},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenLWZXZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenZ10,
  author       = {Feng Chen and
                  Xiaodong Zhang},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{PS-BC:} power-saving considerations in design of buffer caches serving
                  heterogeneous storage devices},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {295--300},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840906},
  doi          = {10.1145/1840845.1840906},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenZ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenZX10,
  author       = {Yibo Chen and
                  Jishen Zhao and
                  Yuan Xie},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {3D-nonFAR: three-dimensional non-volatile {FPGA} architecture using
                  phase change memory},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {55--60},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840857},
  doi          = {10.1145/1840845.1840857},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ChenZX10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/CochranNR10,
  author       = {Ryan Cochran and
                  Abdullah Nazma Nowroz and
                  Sherief Reda},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Post-silicon power characterization using thermal infrared emissions},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {331--336},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840914},
  doi          = {10.1145/1840845.1840914},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/CochranNR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DadgourHB10,
  author       = {Hamed F. Dadgour and
                  Muhammad Mustafa Hussain and
                  Kaustav Banerjee},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A new paradigm in the design of energy-efficient digital circuits
                  using laterally-actuated double-gate NEMs},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {7--12},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840848},
  doi          = {10.1145/1840845.1840848},
  timestamp    = {Mon, 14 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/DadgourHB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DaveSJBS10,
  author       = {Marshnil Vipin Dave and
                  Rajkumar Satkuri and
                  Mahavir Jain and
                  Maryam Shojaei Baghini and
                  Dinesh Kumar Sharma},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Low-power current-mode transceiver for on-chip bidirectional buses},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {61--66},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840859},
  doi          = {10.1145/1840845.1840859},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DaveSJBS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DavidGHKL10,
  author       = {Howard David and
                  Eugene Gorbatov and
                  Ulf R. Hanebutte and
                  Rahul Khanna and
                  Christian Le},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{RAPL:} memory power estimation and capping},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {189--194},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840883},
  doi          = {10.1145/1840845.1840883},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DavidGHKL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DhimanKTRSC10,
  author       = {Gaurav Dhiman and
                  Vasileios Kontorinis and
                  Dean M. Tullsen and
                  Tajana Rosing and
                  Eric Saxe and
                  Jonathan Chew},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Dynamic workload characterization for power efficient scheduling on
                  {CMP} systems},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {437--442},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840941},
  doi          = {10.1145/1840845.1840941},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/DhimanKTRSC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/DokaniaWDGTA10,
  author       = {Rajeev K. Dokania and
                  Xiao Y. Wang and
                  Carlos I. Dorta{-}Quinones and
                  Waclaw Godycki and
                  Siddharth G. Tallur and
                  Alyssa B. Apsel},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A 6{\(\mathrm{\mu}\)}w, 100kbps, 3-5ghz, {UWB} impulse radio transmitter},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {91--94},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840865},
  doi          = {10.1145/1840845.1840865},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/DokaniaWDGTA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ForteS10,
  author       = {Domenic Forte and
                  Ankur Srivastava},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Energy and thermal-aware video coding via encoder/decoder workload
                  balancing},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {207--212},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840886},
  doi          = {10.1145/1840845.1840886},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ForteS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GanapathyCGR10,
  author       = {Shrikanth Ganapathy and
                  Ramon Canal and
                  Antonio Gonz{\'{a}}lez and
                  Antonio Rubio},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{MODEST:} a model for energy estimation under spatio-temporal variability},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {129--134},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840873},
  doi          = {10.1145/1840845.1840873},
  timestamp    = {Thu, 06 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/GanapathyCGR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GaoSCY10,
  author       = {Qiang Gao and
                  Yin Shen and
                  Yici Cai and
                  Hailong Yao},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Analog circuit shielding routing algorithm based on net classification},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {123--128},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840872},
  doi          = {10.1145/1840845.1840872},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GaoSCY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GargMM10,
  author       = {Siddharth Garg and
                  Diana Marculescu and
                  Radu Marculescu},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Custom feedback control: enabling truly scalable on-chip power management
                  for MPSoCs},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {425--430},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840939},
  doi          = {10.1145/1840845.1840939},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GargMM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/GolshanBSWHV10,
  author       = {Shahin Golshan and
                  Eli Bozorgzadeh and
                  Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Kazutoshi Wakabayashi and
                  Houman Homayoun and
                  Alexander V. Veidenbaum},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Exploiting power budgeting in thermal-aware dynamic placement for
                  reconfigurable systems},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {49--54},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840856},
  doi          = {10.1145/1840845.1840856},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/GolshanBSWHV10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/HonkoteT10,
  author       = {Vinayak Honkote and
                  Baris Taskin},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{PEEC} based parasitic modeling for power analysis on custom rotary
                  rings},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {111--116},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840870},
  doi          = {10.1145/1840845.1840870},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/HonkoteT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KakoeeSPHB10,
  author       = {Mohammad Reza Kakoee and
                  Ashoka Visweswara Sathanur and
                  Antonio Pullini and
                  Jos Huisken and
                  Luca Benini},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Automatic synthesis of near-threshold circuits with fine-grained performance
                  tunability},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {401--406},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840934},
  doi          = {10.1145/1840845.1840934},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KakoeeSPHB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KanjJN10,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Sani R. Nassif},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Statistical leakage modeling for accurate yield analysis: the {CDF}
                  matching method and its alternatives},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {337--342},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840915},
  doi          = {10.1145/1840845.1840915},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KanjJN10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KarakonstantisPR10,
  author       = {Georgios Karakonstantis and
                  Georgios Panagopoulos and
                  Kaushik Roy},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{HERQULES:} system level cross-layer design exploration for efficient
                  energy-quality trade-offs},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {117--122},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840871},
  doi          = {10.1145/1840845.1840871},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KarakonstantisPR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimAK10,
  author       = {Hyunhee Kim and
                  Jung Ho Ahn and
                  Jihong Kim},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Replication-aware leakage management in chip multiprocessors with
                  private {L2} cache},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {135--140},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840874},
  doi          = {10.1145/1840845.1840874},
  timestamp    = {Thu, 13 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimAK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimCWP10,
  author       = {Younghyun Kim and
                  Naehyuck Chang and
                  Yanzhi Wang and
                  Massoud Pedram},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Maximum power transfer tracking for a photovoltaic-supercapacitor
                  energy system},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {307--312},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840909},
  doi          = {10.1145/1840845.1840909},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/KimCWP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KimP10,
  author       = {Tae{-}Hwan Kim and
                  In{-}Cheol Park},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Small-area and low-energy \emph{K}-best {MIMO} detector using relaxed
                  tree expansion and early forwarding},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {231--236},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840890},
  doi          = {10.1145/1840845.1840890},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KimP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KleinBMCRA10,
  author       = {Felipe Klein and
                  Alexandro Baldassin and
                  Jo{\~{a}}o Moreira and
                  Paulo Centoducatte and
                  Sandro Rigo and
                  Rodolfo Azevedo},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{STM} versus lock-based systems: an energy consumption perspective},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {431--436},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840940},
  doi          = {10.1145/1840845.1840940},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KleinBMCRA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KoushaeianS10,
  author       = {Leila Koushaeian and
                  Stan Skafidas},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A 65nm {CMOS} low-power, low-voltage bandgapreference with using self-biased
                  composite cascode opamp},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {95--98},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840866},
  doi          = {10.1145/1840845.1840866},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KoushaeianS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Kumar10,
  author       = {Rakesh Kumar},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Computing with stochastic processors: revisiting the correctness contract
                  between software and hardware},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {357--358},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840920},
  doi          = {10.1145/1840845.1840920},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Kumar10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/KumarKKC10,
  author       = {S. Krishna Kumar and
                  S. Kaundinya and
                  Subhadip Kundu and
                  Santanu Chattopadhyay},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Customizing pattern set for test power reduction via improved X-identification
                  and reordering},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {177--182},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840881},
  doi          = {10.1145/1840845.1840881},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/KumarKKC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Lee10,
  author       = {Jeff Lee},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Battery management technology for an electric vehicle},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {361--362},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840923},
  doi          = {10.1145/1840845.1840923},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Lee10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LeeWGBCK10,
  author       = {Jungseob Lee and
                  Chi{-}Chao Wang and
                  Hamid Reza Ghasemi and
                  Lloyd Bircher and
                  Yu Cao and
                  Nam Sung Kim},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Workload-adaptive process tuning strategy for power-efficient multi-core
                  processors},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {225--230},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840889},
  doi          = {10.1145/1840845.1840889},
  timestamp    = {Thu, 15 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LeeWGBCK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LevisonW10,
  author       = {Nadav Levison and
                  Shlomo Weiss},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Low power branch prediction for embedded application processors},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {67--72},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840860},
  doi          = {10.1145/1840845.1840860},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LevisonW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiWJHLSM10,
  author       = {Kun Li and
                  Jie Wu and
                  Yifei Jiang and
                  Zyad Hassan and
                  Qin Lv and
                  Li Shang and
                  Dragan Maksimovic},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Large-scale battery system modeling and analysis for emerging electric-drive
                  vehicles},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {277--282},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840903},
  doi          = {10.1145/1840845.1840903},
  timestamp    = {Fri, 22 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LiWJHLSM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LinF10,
  author       = {Hai Lin and
                  Yunsi Fei},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Exploring custom instruction synthesis for application-specific instruction
                  set processors with multiple design objectives},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {141--146},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840875},
  doi          = {10.1145/1840845.1840875},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LinF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LinHKSB10,
  author       = {Lang Lin and
                  Daniel E. Holcomb and
                  Dilip Kumar Krishnappa and
                  Prasad Shabadi and
                  Wayne P. Burleson},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Low-power sub-threshold design of secure physical unclonable functions},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {43--48},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840855},
  doi          = {10.1145/1840845.1840855},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LinHKSB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LinSC10,
  author       = {Jian (Denny) Lin and
                  Wei Song and
                  Albert Mo Kim Cheng},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Real-energy: a new framework and a case study to evaluate power-aware
                  real-time scheduling algorithms},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {153--158},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840877},
  doi          = {10.1145/1840845.1840877},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/LinSC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuKL10,
  author       = {Jibang Liu and
                  Karthik Kumar and
                  Yung{-}Hsiang Lu},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Tradeoff between energy savings and privacy protection in computation
                  offloading},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {213--218},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840887},
  doi          = {10.1145/1840845.1840887},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiuKL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LiuLWQ10,
  author       = {Shaobo Liu and
                  Jun Lu and
                  Qing Wu and
                  Qinru Qiu},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Load-matching adaptive task scheduling for energy efficiency in energy
                  harvesting real-time embedded systems},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {325--330},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840912},
  doi          = {10.1145/1840845.1840912},
  timestamp    = {Tue, 28 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LiuLWQ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Lotfi-KamranFCF10,
  author       = {Pejman Lotfi{-}Kamran and
                  Michael Ferdman and
                  Daniel Crisan and
                  Babak Falsafi},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {TurboTag: lookup filtering to reduce coherence directory power},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {377--382},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840929},
  doi          = {10.1145/1840845.1840929},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Lotfi-KamranFCF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LuK10,
  author       = {Chien{-}Chun Lu and
                  Ming{-}Ching Kuo},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A 5V output voltage boost switching converter with hybrid digital
                  and analog {PWM} control},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {99--104},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840867},
  doi          = {10.1145/1840845.1840867},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LuK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/LuPRR10,
  author       = {Chao Lu and
                  Sang Phill Park and
                  Vijay Raghunathan and
                  Kaushik Roy},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Analysis and design of ultra low power thermoelectric energy harvesting
                  systems},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {183--188},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840882},
  doi          = {10.1145/1840845.1840882},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/LuPRR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MarkandeyaKRIR10,
  author       = {Himanshu Markandeya and
                  Georgios Karakonstantis and
                  Shriram Raghunathan and
                  Pedro P. Irazoqui and
                  Kaushik Roy},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Low-power DWT-based quasi-averaging algorithm and architecture for
                  epileptic seizure detection},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {301--306},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840907},
  doi          = {10.1145/1840845.1840907},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MarkandeyaKRIR10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MehtaNA10,
  author       = {Nandish Ashutosh Mehta and
                  Gururaj V. Naik and
                  Bharadwaj S. Amrutur},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {In-situ power monitoring scheme and its application in dynamic voltage
                  and threshold scaling for digital {CMOS} integrated circuits},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {259--264},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840899},
  doi          = {10.1145/1840845.1840899},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/MehtaNA10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MeisnerW10,
  author       = {David Meisner and
                  Thomas F. Wenisch},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Peak power modeling for data center servers with switched-mode power
                  supplies},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {319--324},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840911},
  doi          = {10.1145/1840845.1840911},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MeisnerW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/MohamedLCSMVS10,
  author       = {Moustafa Mohamed and
                  Zheng Li and
                  Xi Chen and
                  Li Shang and
                  Alan Rolf Mickelson and
                  Manish Vachharajani and
                  Yihe Sun},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Power-efficient variation-aware photonic on-chip network management},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {31--36},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840853},
  doi          = {10.1145/1840845.1840853},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/MohamedLCSMVS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Nair10,
  author       = {Ravi Nair},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Models for energy-efficient approximate computing},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {359--360},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840921},
  doi          = {10.1145/1840845.1840921},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Nair10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NakataOKY10,
  author       = {Yohei Nakata and
                  Shunsuke Okumura and
                  Hiroshi Kawaguchi and
                  Masahiko Yoshimoto},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {0.5-V operation variation-aware word-enhancing cache architecture
                  using 7T/14T hybrid {SRAM}},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {219--224},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840888},
  doi          = {10.1145/1840845.1840888},
  timestamp    = {Mon, 11 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/NakataOKY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/NiuCX10,
  author       = {Dimin Niu and
                  Yiran Chen and
                  Yuan Xie},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Low-power dual-element memristor based memory design},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {25--30},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840851},
  doi          = {10.1145/1840845.1840851},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/NiuCX10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Nowka10,
  author       = {Kevin J. Nowka},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Technology variability and uncertainty implications for power- efficient
                  {VLSI} systems},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {239--240},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840894},
  doi          = {10.1145/1840845.1840894},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Nowka10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PaikKS10,
  author       = {Seungwhun Paik and
                  Sangmin Kim and
                  Youngsoo Shin},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Wakeup synthesis and its buffered tree construction for power gating
                  circuit designs},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {413--418},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840936},
  doi          = {10.1145/1840845.1840936},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/PaikKS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Pant10,
  author       = {Mondira (Mandy) Deb Pant},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Microprocessor power delivery challenges in the Nano-Era},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {375--376},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840927},
  doi          = {10.1145/1840845.1840927},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Pant10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkCSKYC10,
  author       = {Sangyoung Park and
                  Jian{-}Jia Chen and
                  Donghwa Shin and
                  Younghyun Kim and
                  Chia{-}Lin Yang and
                  Naehyuck Chang},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Dynamic thermal management for networked embedded systems under harsh
                  ambient temperature variation},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {289--294},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840905},
  doi          = {10.1145/1840845.1840905},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ParkCSKYC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ParkSCP10,
  author       = {Jaehyun Park and
                  Donghwa Shin and
                  Naehyuck Chang and
                  Massoud Pedram},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Accurate modeling and calculation of delay and energy overheads of
                  dynamic voltage scaling in modern high-performance microprocessors},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {419--424},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840938},
  doi          = {10.1145/1840845.1840938},
  timestamp    = {Mon, 19 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ParkSCP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PaulB10,
  author       = {Somnath Paul and
                  Swarup Bhunia},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {{VAIL:} variation-aware issue logic and performance binning for processor
                  yield and profit improvement},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {37--42},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840854},
  doi          = {10.1145/1840845.1840854},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PaulB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/PedramCKW10,
  author       = {Massoud Pedram and
                  Naehyuck Chang and
                  Younghyun Kim and
                  Yanzhi Wang},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Hybrid electrical energy storage systems},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {363--368},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840924},
  doi          = {10.1145/1840845.1840924},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/PedramCKW10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RajamaniRWHCRGSH10,
  author       = {Karthick Rajamani and
                  Freeman L. Rawson III and
                  Malcolm S. Ware and
                  Heather Hanson and
                  John B. Carter and
                  Todd J. Rosedahl and
                  Andrew J. Geissler and
                  Guillermo J. Silva and
                  Hong Hua},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Power-performance management on an {IBM} {POWER7} server},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {201--206},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840885},
  doi          = {10.1145/1840845.1840885},
  timestamp    = {Tue, 02 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/RajamaniRWHCRGSH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/RasquinhaCCMY10,
  author       = {Mitchelle Rasquinha and
                  Dhruv Choudhary and
                  Subho Chatterjee and
                  Saibal Mukhopadhyay and
                  Sudhakar Yalamanchili},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {An energy efficient cache design using spin torque transfer {(STT)}
                  {RAM}},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {389--394},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840931},
  doi          = {10.1145/1840845.1840931},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/RasquinhaCCMY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/Saint-LaurentD10,
  author       = {Martin Saint{-}Laurent and
                  Animesh Datta},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A low-power clock gating cell optimized for low-voltage operation
                  in a 45-nm technology},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {159--164},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840878},
  doi          = {10.1145/1840845.1840878},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/Saint-LaurentD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SaniDZS10,
  author       = {Ardalan Amiri Sani and
                  Hasan Dumanli and
                  Lin Zhong and
                  Ashutosh Sabharwal},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Power-efficient directional wireless communication on small form-factor
                  mobile devices},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {283--288},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840904},
  doi          = {10.1145/1840845.1840904},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SaniDZS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SeoDWCMM10,
  author       = {Sangwon Seo and
                  Ronald G. Dreslinski and
                  Mark Woh and
                  Chaitali Chakrabarti and
                  Scott A. Mahlke and
                  Trevor N. Mudge},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Diet {SODA:} a power-efficient processor for digital cameras},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {79--84},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840862},
  doi          = {10.1145/1840845.1840862},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SeoDWCMM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SeokBS10,
  author       = {Mingoo Seok and
                  David T. Blaauw and
                  Dennis Sylvester},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Clock network design for ultra-low power applications},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {271--276},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840901},
  doi          = {10.1145/1840845.1840901},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SeokBS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShiZS10,
  author       = {Bing Shi and
                  Yufu Zhang and
                  Ankur Srivastava},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Dynamic thermal management for single and multicore processors under
                  soft thermal constraints},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {165--170},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840879},
  doi          = {10.1145/1840845.1840879},
  timestamp    = {Thu, 18 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ShiZS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ShojaeiWDB10,
  author       = {Hamid Shojaei and
                  Tai{-}Hsuan Wu and
                  Azadeh Davoodi and
                  Twan Basten},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A pareto-algebraic framework for signal power optimization in global
                  routing},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {407--412},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840935},
  doi          = {10.1145/1840845.1840935},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ShojaeiWDB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SinghKKK10,
  author       = {Rahul Singh and
                  AhReum Kim and
                  SoYoung Kim and
                  Suhwan Kim},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A three-step power-gating turn-on technique for controlling ground
                  bounce noise},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {171--176},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840880},
  doi          = {10.1145/1840845.1840880},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/SinghKKK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/SinhaSBC10,
  author       = {Saurabh Sinha and
                  Jounghyuk Suh and
                  Bertan Bakkaloglu and
                  Yu Cao},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Workload-aware neuromorphic design of low-power supply voltage controller},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {241--246},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840896},
  doi          = {10.1145/1840845.1840896},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/SinhaSBC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VahdatpourP10,
  author       = {Alireza Vahdatpour and
                  Miodrag Potkonjak},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Leakage minimization using self sensing and thermal management},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {265--270},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840900},
  doi          = {10.1145/1840845.1840900},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/VahdatpourP10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/VigodaRBWB10,
  author       = {Benjamin Vigoda and
                  David Reynolds and
                  Jeffrey Bernstein and
                  Theophane Weber and
                  Bill Bradley},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Low power logic for statistical inference},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {349--354},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840918},
  doi          = {10.1145/1840845.1840918},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/VigodaRBWB10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangLLZS10,
  author       = {Jia Wang and
                  Kun Li and
                  Qin Lv and
                  Hai Zhou and
                  Li Shang},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Hybrid energy storage system integration for vehicles},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {369--374},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840925},
  doi          = {10.1145/1840845.1840925},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/WangLLZS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/WangQM10,
  author       = {Weixun Wang and
                  Xiaoke Qin and
                  Prabhat Mishra},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Temperature- and energy-constrained scheduling in multitasking systems:
                  a model checking approach},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {85--90},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840863},
  doi          = {10.1145/1840845.1840863},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/WangQM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZhangCK10,
  author       = {Wei Zhang and
                  Ki Chul Chun and
                  Chris H. Kim},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Variation aware performance analysis of gain cell embedded DRAMs},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {19--24},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840850},
  doi          = {10.1145/1840845.1840850},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZhangCK10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ZjajoS10,
  author       = {Amir Zjajo and
                  Mingxin Song},
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {A low-power digitally-programmable variable gain amplifier in 65 nm
                  {CMOS}},
  booktitle    = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  pages        = {105--110},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1840845.1840868},
  doi          = {10.1145/1840845.1840868},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/islped/ZjajoS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/islped/2010,
  editor       = {Vojin G. Oklobdzija and
                  Barry Pangle and
                  Naehyuck Chang and
                  Naresh R. Shanbhag and
                  Chris H. Kim},
  title        = {Proceedings of the 2010 International Symposium on Low Power Electronics
                  and Design, 2010, Austin, Texas, USA, August 18-20, 2010},
  publisher    = {{ACM}},
  year         = {2010},
  isbn         = {978-1-4503-0146-6},
  timestamp    = {Tue, 24 Aug 2010 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/2010.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics