Search dblp for Publications

export results for "toc:db/journals/iet-cdt/iet-cdt3.bht:"

 download as .bib file

@article{DBLP:journals/iet-cdt/AmmariJ09,
  author       = {Ahmed Chiheb Ammari and
                  Abderrazek Jemai},
  title        = {Multiprocessor platform-based design for multimedia},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {52--61},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070168},
  doi          = {10.1049/IET-CDT:20070168},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AmmariJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/AndersonK09,
  author       = {Ian D. L. Anderson and
                  Mohammed A. S. Khalid},
  title        = {{SC} Build: a computer-aided design tool for design space exploration
                  of embedded central processing unit cores for field-programmable gate
                  arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {24--32},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070120},
  doi          = {10.1049/IET-CDT:20070120},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/AndersonK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BardineCFGP09,
  author       = {Alessandro Bardine and
                  Manuel Comparetti and
                  Pierfrancesco Foglia and
                  Giacomo Gabrielli and
                  Cosimo Antonio Prete},
  title        = {Impact of on-chip network parameters on nuca cache performances},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {501--512},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0078},
  doi          = {10.1049/IET-CDT.2008.0078},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BardineCFGP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BertozziG09,
  author       = {Davide Bertozzi and
                  Kees Goossens},
  title        = {Networks on chips [editorial]},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {395--397},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2009.9039},
  doi          = {10.1049/IET-CDT.2009.9039},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BertozziG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/BurnsMKY09,
  author       = {Frank P. Burns and
                  Julian P. Murphy and
                  Albert Koelmans and
                  Alexandre Yakovlev},
  title        = {Efficient advanced encryption standard implementation using lookup
                  and normal basis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {270--280},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0049},
  doi          = {10.1049/IET-CDT.2008.0049},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/BurnsMKY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChakrabortyPZB09,
  author       = {Rajat Subhra Chakraborty and
                  Somnath Paul and
                  Yu Zhou and
                  Swarup Bhunia},
  title        = {Low-power hybrid complementary metaloxide- semiconductor-nano-electro-mechanical
                  systems field programmable gate array: circuit level analysis and
                  defect-aware mapping},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {609--624},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0135},
  doi          = {10.1049/IET-CDT.2008.0135},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChakrabortyPZB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/ChangLC09,
  author       = {Nelson Yen{-}Chung Chang and
                  Ying{-}Ze Liao and
                  Tian{-}Sheuan Chang},
  title        = {Analysis of shared-link {AXI}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {373--383},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0097},
  doi          = {10.1049/IET-CDT.2008.0097},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/ChangLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/Chen09,
  author       = {Chichyang Chen},
  title        = {Error analysis of {LNS} addition/subtraction with direct-computation
                  implementation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {329--337},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0098},
  doi          = {10.1049/IET-CDT.2008.0098},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/Chen09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DadgourB09,
  author       = {Hamed F. Dadgour and
                  Kaustav Banerjee},
  title        = {Hybrid {NEMS-CMOS} integrated circuits: {A} novel strategy for energy-efficient
                  designs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {593--608},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0148},
  doi          = {10.1049/IET-CDT.2008.0148},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DadgourB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DaneshtalabEMA09,
  author       = {Masoud Daneshtalab and
                  Masoumeh Ebrahimi and
                  Siamak Mohammadi and
                  Ali Afzali{-}Kusha},
  title        = {Low-distance path-based multicast routing algorithm for network-on-chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {430--442},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0086},
  doi          = {10.1049/IET-CDT.2008.0086},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DaneshtalabEMA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/DurahimSSPK09,
  author       = {Ahmet Onur Durahim and
                  Erkay Savas and
                  Berk Sunar and
                  Thomas Brochmann Pedersen and
                  {\"{O}}v{\"{u}}n{\c{c}} Kocabas},
  title        = {Transparent code authentication at the processor level},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {354--372},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2007.0122},
  doi          = {10.1049/IET-CDT.2007.0122},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/DurahimSSPK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-MalehAA09,
  author       = {Aiman H. El{-}Maleh and
                  Mustafa Imran Ali and
                  Ahmad A. Al{-}Yamani},
  title        = {Reconfigurable broadcast scan compression using relaxation-based test
                  vector decomposition},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {143--161},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080012},
  doi          = {10.1049/IET-CDT:20080012},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-MalehAA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/El-MalehAMK09,
  author       = {Aiman H. El{-}Maleh and
                  Bashir M. Al{-}Hashimi and
                  Aissa Melouki and
                  Farhan Khan},
  title        = {Defect-tolerant n\({}^{\mbox{2}}\)-transistor structure for reliable
                  nanoelectronic designs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {570--580},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0133},
  doi          = {10.1049/IET-CDT.2008.0133},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/El-MalehAMK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FahmyCL09,
  author       = {Suhaib A. Fahmy and
                  Peter Y. K. Cheung and
                  Wayne Luk},
  title        = {High-throughput one-dimensional median and weighted median filters
                  on {FPGA}},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {384--394},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0119},
  doi          = {10.1049/IET-CDT.2008.0119},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FahmyCL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FazeliMEP09,
  author       = {Mahdi Fazeli and
                  Seyed Ghassem Miremadi and
                  Alireza Ejlali and
                  Ahmad Patooghy},
  title        = {Low energy single event upset/single event transient-tolerant latch
                  for deep subMicron technologies},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {289--303},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0099},
  doi          = {10.1049/IET-CDT.2008.0099},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FazeliMEP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/FernandesOCS09,
  author       = {S{\'{\i}}lvio R. F. de Fernandes and
                  Bruno Cruz de Oliveira and
                  M. Costa and
                  Ivan Saraiva Silva},
  title        = {Processing while routing: a network-on-chipbased parallel system},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {525--538},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0071},
  doi          = {10.1049/IET-CDT.2008.0071},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/FernandesOCS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/GojmanMRD09,
  author       = {Benjamin Gojman and
                  Harika Manem and
                  Garrett S. Rose and
                  Andr{\'{e}} DeHon},
  title        = {Inversion schemes for sublithographic programmable logic arrays},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {625--642},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0128},
  doi          = {10.1049/IET-CDT.2008.0128},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/GojmanMRD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/HanssonWMGB09,
  author       = {Andreas Hansson and
                  Maarten Wiggers and
                  Arno Moonen and
                  Kees Goossens and
                  Marco Bekooij},
  title        = {Enabling application-level performance guarantees in network-based
                  systems on chip by applying dataflow analysis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {398--412},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0093},
  doi          = {10.1049/IET-CDT.2008.0093},
  timestamp    = {Thu, 04 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/HanssonWMGB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KimKKLY09,
  author       = {Donghyun Kim and
                  Kwanho Kim and
                  Joo{-}Young Kim and
                  Seungjin Lee and
                  Hoi{-}Jun Yoo},
  title        = {Memory-centric network-on-chip for power efficient execution of task-level
                  pipeline on a multi-core processor},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {513--524},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0085},
  doi          = {10.1049/IET-CDT.2008.0085},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KimKKLY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KroupisS09,
  author       = {Nikolas Kroupis and
                  Dimitrios Soudris},
  title        = {High-level estimation methodology for designing the instruction cache
                  memory of programmable embedded platforms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {205--221},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080009},
  doi          = {10.1049/IET-CDT:20080009},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KroupisS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LimKK09,
  author       = {Kyoung{-}Hwan Lim and
                  YongHwan Kim and
                  Taewhan Kim},
  title        = {Interconnect and communication synthesis for distributed register-file
                  microarchitecture},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {162--174},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080019},
  doi          = {10.1049/IET-CDT:20080019},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LimKK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LinSSZJZ09,
  author       = {Shijun Lin and
                  Li Su and
                  Haibo Su and
                  Guofei Zhou and
                  Depeng Jin and
                  Lieguang Zeng},
  title        = {Design networks-on-chip with latency/ bandwidth guarantees},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {184--194},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080036},
  doi          = {10.1049/IET-CDT:20080036},
  timestamp    = {Mon, 24 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LinSSZJZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuBC09,
  author       = {Yang Liu and
                  Christos{-}Savvas Bouganis and
                  Peter Y. K. Cheung},
  title        = {Hardware architectures for eigenvalue computation of real symmetric
                  matrices},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {72--84},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080008},
  doi          = {10.1049/IET-CDT:20080008},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuBC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LiuCMC09,
  author       = {Qiang Liu and
                  George A. Constantinides and
                  Konstantinos Masselos and
                  Peter Y. K. Cheung},
  title        = {Data-reuse exploration under an on-chip memory constraint for low-power
                  FPGA-based systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {235--246},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0039},
  doi          = {10.1049/IET-CDT.2008.0039},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LiuCMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/LuSHWX09,
  author       = {Ya{-}Shuai L{\"{u}} and
                  Li Shen and
                  Libo Huang and
                  Zhiying Wang and
                  Nong Xiao},
  title        = {Optimal subgraph covering for customisable {VLIW} processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {14--23},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070104},
  doi          = {10.1049/IET-CDT:20070104},
  timestamp    = {Thu, 03 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/LuSHWX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MaoTDC09,
  author       = {Vincent Mao and
                  V. Thusu and
                  Chris Dwyer and
                  Krishnendu Chakrabarty},
  title        = {Connecting fabrication defects to fault models and {SPICE} simulations
                  for {DNA} self-assembled nanoelectronics},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {553--569},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0136},
  doi          = {10.1049/IET-CDT.2008.0136},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MaoTDC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MathewJRP09,
  author       = {Jimson Mathew and
                  Abusaleh M. Jabir and
                  Hafizur Rahaman and
                  Dhiraj K. Pradhan},
  title        = {Single error correctable bit parallel multipliers over GF(2\({}^{\mbox{m}}\))},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {281--288},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0015},
  doi          = {10.1049/IET-CDT.2008.0015},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MathewJRP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MilidonisPAKMPG09,
  author       = {Athanasios Milidonis and
                  Vasileios Porpodas and
                  Nikolaos Alachiotis and
                  Athanasios P. Kakarountas and
                  Harris E. Michail and
                  George A. Panagiotakopoulos and
                  Costas E. Goutis},
  title        = {Low-power architecture with scratch-pad memory for accelerating embedded
                  applications with run-time reuse},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {109--123},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070145},
  doi          = {10.1049/IET-CDT:20070145},
  timestamp    = {Mon, 09 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MilidonisPAKMPG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/MitraSB09,
  author       = {Debasis Mitra and
                  Susmita Sur{-}Kolay and
                  Bhargab B. Bhattacharya},
  title        = {Droop sensitivity of stuck-at fault tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {175--193},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080020},
  doi          = {10.1049/IET-CDT:20080020},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/MitraSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PalesiKC09,
  author       = {Maurizio Palesi and
                  Shashi Kumar and
                  Vincenzo Catania},
  title        = {Bandwidth-aware routing algorithms for networks-on-chip platforms},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {413--429},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0082},
  doi          = {10.1049/IET-CDT.2008.0082},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PalesiKC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PaulC09,
  author       = {Bipul C. Paul and
                  Krishnendu Chakrabarty},
  title        = {Advances in nanoelectronics circuits and systems [Editorial]},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {551--552},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2009.9040},
  doi          = {10.1049/IET-CDT.2009.9040},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PaulC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PhillipsSSKCD09,
  author       = {Jonathan Phillips and
                  Arvind Sudarsanam and
                  Harikrishna Samala and
                  Ramachandra Kallam and
                  J. Carver and
                  Aravind Dasu},
  title        = {Methodology to derive context adaptable architectures for FPGAs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {124--141},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070099},
  doi          = {10.1049/IET-CDT:20070099},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PhillipsSSKCD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Definition and generation of partially-functional broadside tests},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {1--13},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070144},
  doi          = {10.1049/IET-CDT:20070144},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09a,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Same/different fault dictionary: an extended pass/fail fault dictionary
                  with improved diagnostic resolution},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {85--93},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080017},
  doi          = {10.1049/IET-CDT:20080017},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09b,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Test vector chains for increasing the fault coverage and numbers of
                  detections},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {222--233},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080056},
  doi          = {10.1049/IET-CDT:20080056},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/PomeranzR09c,
  author       = {Irith Pomeranz and
                  Sudhakar M. Reddy},
  title        = {Test compaction methods for transition faults under transparent-scan},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {315--328},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0115},
  doi          = {10.1049/IET-CDT.2008.0115},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/PomeranzR09c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RadhakrishnanGPI09,
  author       = {Swarnalatha Radhakrishnan and
                  Hui Guo and
                  Sri Parameswaran and
                  Aleksandar Ignjatovic},
  title        = {HMP-ASIPs: heterogeneous multi-pipeline application-specific instruction-set
                  processors},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {94--108},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080005},
  doi          = {10.1049/IET-CDT:20080005},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RadhakrishnanGPI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RaikGU09,
  author       = {Jaan Raik and
                  Vineeth Govind and
                  Raimund Ubar},
  title        = {Design-for-testability-based external test and diagnosis of mesh-like
                  network-on-a-chips},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {476--486},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0096},
  doi          = {10.1049/IET-CDT.2008.0096},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RaikGU09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RiceK09,
  author       = {Jacqueline E. Rice and
                  Kenneth B. Kent},
  title        = {Case studies in determining the optimal field programmable gate array
                  design for computing highly parallelisable problems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {247--258},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0042},
  doi          = {10.1049/IET-CDT.2008.0042},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RiceK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RodrigoMFBD09,
  author       = {Samuel Rodrigo and
                  Simone Medardoni and
                  Jos{\'{e}} Flich and
                  Davide Bertozzi and
                  Jos{\'{e}} Duato},
  title        = {Efficient implementation of distributed routing algorithms for NoCs},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {460--475},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0092},
  doi          = {10.1049/IET-CDT.2008.0092},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RodrigoMFBD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/RoginKFDR09,
  author       = {Frank Rogin and
                  Thomas Klotz and
                  G{\"{o}}rschwin Fey and
                  Rolf Drechsler and
                  Steffen R{\"{u}}lke},
  title        = {Advanced verification by automatic property generation},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {4},
  pages        = {338--353},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0110},
  doi          = {10.1049/IET-CDT.2008.0110},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/RoginKFDR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SalminenGHI09,
  author       = {Erno Salminen and
                  Cristian Grecu and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Andr{\'{e}} Ivanov},
  title        = {Application modelling and hardware description for network-on-chip
                  benchmarking},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {539--550},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0065},
  doi          = {10.1049/IET-CDT.2008.0065},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SalminenGHI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SchaferK09,
  author       = {Benjamin Carri{\'{o}}n Sch{\"{a}}fer and
                  Taewhan Kim},
  title        = {Autonomous temperature control technique in {VLSI} circuits through
                  logic replication},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {62--71},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070159},
  doi          = {10.1049/IET-CDT:20070159},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SchaferK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/SinanogluAT09,
  author       = {Ozgur Sinanoglu and
                  Mohammed Al{-}Mulla and
                  Mohammed Nael Taha},
  title        = {Utilisation of inverse compatibility for test cost reductions},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {2},
  pages        = {195--204},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080051},
  doi          = {10.1049/IET-CDT:20080051},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/SinanogluAT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/StruharikN09,
  author       = {Rastislav J. R. Struharik and
                  Ladislav A. Novak},
  title        = {Intellectual property core implementation of decision trees},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {259--269},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0055},
  doi          = {10.1049/IET-CDT.2008.0055},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/StruharikN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TheodoridisVN09,
  author       = {George Theodoridis and
                  Nikolaos Vassiliadis and
                  Spiridon Nikolaidis},
  title        = {An integer linear programming model for mapping applications on hybrid
                  systems},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {33--42},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20080003},
  doi          = {10.1049/IET-CDT:20080003},
  timestamp    = {Tue, 04 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TheodoridisVN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/TranTDBR09,
  author       = {Xuan{-}Tu Tran and
                  Yvain Thonnart and
                  Jean Durupt and
                  Vincent Beroulle and
                  Chantal Robach},
  title        = {Design-for-test approach of an asynchronous network-on-chip architecture
                  and its associated test pattern generation and application},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {487--500},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0072},
  doi          = {10.1049/IET-CDT.2008.0072},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/TranTDBR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/VialVBGLP09,
  author       = {Julien Vial and
                  Arnaud Virazel and
                  Alberto Bosio and
                  Patrick Girard and
                  Christian Landrault and
                  Serge Pravossoudovitch},
  title        = {Is triple modular redundancy suitable for yield improvement?},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {581--592},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0127},
  doi          = {10.1049/IET-CDT.2008.0127},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/VialVBGLP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WangWL09,
  author       = {F.{-}M. Wang and
                  W.{-}C. Wang and
                  James Chien{-}Mo Li},
  title        = {Time-space test response compaction and diagnosis based on {BCH} codes},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {3},
  pages        = {304--313},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0066},
  doi          = {10.1049/IET-CDT.2008.0066},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WangWL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/WuYW09,
  author       = {Yi{-}Hsin Wu and
                  Cheng{-}Juei Yu and
                  Sheng{-}De Wang},
  title        = {Heuristic algorithm for the resource constrained scheduling problem
                  during high-level synthesis},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {1},
  pages        = {43--51},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt:20070162},
  doi          = {10.1049/IET-CDT:20070162},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/WuYW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YanL09,
  author       = {Shan Yan and
                  Bill Lin},
  title        = {Joint multicast routing and network design optimisation for networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {5},
  pages        = {443--459},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0095},
  doi          = {10.1049/IET-CDT.2008.0095},
  timestamp    = {Mon, 01 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YanL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/YuA09,
  author       = {Qiaoyan Yu and
                  Paul Ampadu},
  title        = {Adaptive error control for nanometer scale network-on-chip links},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {3},
  number       = {6},
  pages        = {643--659},
  year         = {2009},
  url          = {https://doi.org/10.1049/iet-cdt.2008.0132},
  doi          = {10.1049/IET-CDT.2008.0132},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/YuA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics