Search dblp for Publications

export results for "toc:db/journals/jssc/jssc52.bht:"

 download as .bib file

@article{DBLP:journals/jssc/AbouziedRS17,
  author       = {Mohamed Abouzied and
                  Krishnan Ravichandran and
                  Edgar S{\'{a}}nchez{-}Sinencio},
  title        = {A Fully Integrated Reconfigurable Self-Startup {RF} Energy-Harvesting
                  System With Storage Capability},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {704--719},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2633985},
  doi          = {10.1109/JSSC.2016.2633985},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AbouziedRS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AdamsEM17,
  author       = {Douglas Adams and
                  Yonina C. Eldar and
                  Boris Murmann},
  title        = {A Mixer Front End for a Four-Channel Modulated Wideband Converter
                  With 62-dB Blocker Rejection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1286--1294},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2647941},
  doi          = {10.1109/JSSC.2017.2647941},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AdamsEM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AghasiCA17,
  author       = {Hamidreza Aghasi and
                  Andreia Cathelin and
                  Ehsan Afshari},
  title        = {A 0.92-THz SiGe Power Radiator Based on a Nonlinear Theory for Harmonic
                  Generation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {406--422},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2627547},
  doi          = {10.1109/JSSC.2016.2627547},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AghasiCA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AhmadUISASCF17,
  author       = {Fazil Ahmad and
                  Greg Unruh and
                  Amrutha Iyer and
                  Pin{-}En Su and
                  Sherif Abdalla and
                  Bo Shen and
                  Mark Chambers and
                  Ichiro Fujimori},
  title        = {A 0.5-9.5-GHz, 1.2-{\(\mathrm{\mu}\)}s Lock-Time Fractional-N {DPLL}
                  With {\(\pm\)}1.25{\%}UI Period Jitter in 16-nm {CMOS} for Dynamic
                  Frequency and Core-Count Scaling},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {21--32},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2626338},
  doi          = {10.1109/JSSC.2016.2626338},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AhmadUISASCF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AklimiPTPS17,
  author       = {Eyal Aklimi and
                  Daniel Piedra and
                  Kevin Tien and
                  Tom{\'{a}}s Palacios and
                  Kenneth L. Shepard},
  title        = {Hybrid CMOS/GaN 40-MHz Maximum 20-V Input {DC-DC} Multiphase Buck
                  Converter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1618--1627},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2672986},
  doi          = {10.1109/JSSC.2017.2672986},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AklimiPTPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Al-RubayeR17,
  author       = {Hasan Al{-}Rubaye and
                  Gabriel M. Rebeiz},
  title        = {W-Band Direct-Modulation {\textgreater}20-Gb/s Transmit and Receive
                  Building Blocks in 32-nm {SOI} {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2277--2291},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2723504},
  doi          = {10.1109/JSSC.2017.2723504},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Al-RubayeR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AndersenGMBHKLW17,
  author       = {Nikolaj Andersen and
                  Kristian Granhaug and
                  J{\o}rgen Andreas Michaelsen and
                  Sumit Bagga and
                  H{\aa}kon A. Hjortland and
                  Mats Risopatron Knutsen and
                  Tor Sverre Lande and
                  Dag T. Wisland},
  title        = {A 118-mW Pulse-Based Radar SoC in 55-nm {CMOS} for Non-Contact Human
                  Vital Signs Detection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3421--3433},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2764051},
  doi          = {10.1109/JSSC.2017.2764051},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AndersenGMBHKLW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AssefzadehB17,
  author       = {M. Mahdi Assefzadeh and
                  Aydin Babakhani},
  title        = {Broadband Oscillator-Free THz Pulse Generation and Radiation Based
                  on Direct Digital-to-Impulse Architecture},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2905--2919},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2739180},
  doi          = {10.1109/JSSC.2017.2739180},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AssefzadehB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Babaie-FishaniR17,
  author       = {Amir Babaie{-}Fishani and
                  Pieter Rombouts},
  title        = {A Mostly Digital VCO-Based {CT-SDM} With Third-Order Noise Shaping},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2141--2153},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2688364},
  doi          = {10.1109/JSSC.2017.2688364},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/Babaie-FishaniR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BaeKPK17,
  author       = {Sang{-}Geun Bae and
                  Yongtae Kim and
                  Yunsoo Park and
                  Chulwoo Kim},
  title        = {3-Gb/s High-Speed True Random Number Generator Using Common-Mode Operating
                  Comparator and Sampling Uncertainty of {D} Flip-Flop},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {605--610},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2625341},
  doi          = {10.1109/JSSC.2016.2625341},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BaeKPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BameriM17,
  author       = {Hadi Bameri and
                  Omeed Momeni},
  title        = {A High-Gain mm-Wave Amplifier Design: An Analytical Approach to Power
                  Gain Boosting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {357--370},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2626340},
  doi          = {10.1109/JSSC.2016.2626340},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BameriM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BehroozpourSQSM17,
  author       = {Behnam Behroozpour and
                  Phillip A. M. Sandborn and
                  Niels Quack and
                  Tae Joon Seok and
                  Yasuhiro Matsui and
                  Ming C. Wu and
                  Bernhard E. Boser},
  title        = {Electronic-Photonic Integrated Circuit for 3D Microimaging},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {161--172},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2621755},
  doi          = {10.1109/JSSC.2016.2621755},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/BehroozpourSQSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BelfioreKHE17,
  author       = {Guido Belfiore and
                  Mahdi M. Khafaji and
                  Ronny Henker and
                  Frank Ellinger},
  title        = {A 50 Gb/s 190 mW Asymmetric 3-Tap {FFE} {VCSEL} Driver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2422--2429},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2717918},
  doi          = {10.1109/JSSC.2017.2717918},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BelfioreKHE17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BellKSLCFCZRCTP17,
  author       = {John Bell and
                  Phil Knag and
                  Shuanghong Sun and
                  Yong Lim and
                  Thomas Chen and
                  Jeffrey Fredenburg and
                  Chia{-}Hsiang Chen and
                  Chunyang Zhai and
                  Aaron Z. Rocca and
                  Nicholas Collins and
                  Andres Tamez and
                  Jorge Pernillo and
                  Justin M. Correll and
                  Alan B. Tanner and
                  Zhengya Zhang and
                  Michael P. Flynn},
  title        = {A 1.5-GHz 6.144T Correlations/s 64 {\texttimes} 64 Cross-Correlator
                  With 128 Integrated ADCs for Real-Time Synthetic Aperture Imaging},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1450--1457},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2660059},
  doi          = {10.1109/JSSC.2017.2660059},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/BellKSLCFCZRCTP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BhatZK17,
  author       = {Ritesh Bhat and
                  Jin Zhou and
                  Harish Krishnaswamy},
  title        = {Wideband Mixed-Domain Multi-Tap Finite-Impulse Response Filtering
                  of Out-of-Band Noise Floor in Watt-Class Digital Transmitters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3405--3420},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2760899},
  doi          = {10.1109/JSSC.2017.2760899},
  timestamp    = {Thu, 01 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BhatZK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BillaSP17,
  author       = {Sujith Billa and
                  Amrith Sukumaran and
                  Shanthi Pavan},
  title        = {Analysis and Design of Continuous-Time Delta-Sigma Converters Incorporating
                  Chopping},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2350--2361},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2717937},
  doi          = {10.1109/JSSC.2017.2717937},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BillaSP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BlutmanKMMESWFM17,
  author       = {Kristof Blutman and
                  Ajay Kapoor and
                  Arjun Majumdar and
                  Jacinto Garcia Martinez and
                  Juan Diego Echeverri and
                  Leo Sevat and
                  Arnoud P. van der Wel and
                  Hamed Fatemi and
                  Kofi A. A. Makinwa and
                  Jos{\'{e}} Pineda de Gyvez},
  title        = {A Low-Power Microcontroller in a 40-nm {CMOS} Using Charge Recycling},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {950--960},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2637003},
  doi          = {10.1109/JSSC.2016.2637003},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BlutmanKMMESWFM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BohnenstiehlSPA17,
  author       = {Brent Bohnenstiehl and
                  Aaron Stillmaker and
                  Jon J. Pimentel and
                  Timothy Andreas and
                  Bin Liu and
                  Anh Tran and
                  Emmanuel Adeagbo and
                  Bevan M. Baas},
  title        = {KiloCore: {A} 32-nm 1000-Processor Computational Array},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {891--902},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2638459},
  doi          = {10.1109/JSSC.2016.2638459},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BohnenstiehlSPA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Briseno-Vidrios17,
  author       = {Carlos Briseno{-}Vidrios and
                  Alexander Edward and
                  Ayman Shafik and
                  Samuel Palermo and
                  Jos{\'{e}} Silva{-}Mart{\'{\i}}nez},
  title        = {A 75-MHz Continuous-Time Sigma-Delta Modulator Employing a Broadband
                  Low-Power Highly Efficient Common-Gate Summing Stage},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {657--668},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2634700},
  doi          = {10.1109/JSSC.2016.2634700},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Briseno-Vidrios17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ButzenS17,
  author       = {Nicolas Butzen and
                  Michiel S. J. Steyaert},
  title        = {{MIMO} Switched-Capacitor {DC-DC} Converters Using Only Parasitic
                  Capacitances Through Scalable Parasitic Charge Redistribution},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1814--1824},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2700009},
  doi          = {10.1109/JSSC.2017.2700009},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ButzenS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ButzenS17a,
  author       = {Nicolas Butzen and
                  Michel S. J. Steyaert},
  title        = {Design of Soft-Charging Switched-Capacitor {DC-DC} Converters Using
                  Stage Outphasing and Multiphase Soft-Charging},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3132--3141},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2733539},
  doi          = {10.1109/JSSC.2017.2733539},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ButzenS17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CaiTSKHP17,
  author       = {Shengchang Cai and
                  Ehsan Zhian Tabasy and
                  Ayman Shafik and
                  Shiva Kiran and
                  Sebastian Hoyos and
                  Samuel Palermo},
  title        = {A 25 GS/s 6b {TI} Two-Stage Multi-Bit Search {ADC} With Soft-Decision
                  Selection Algorithm in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2168--2179},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2689033},
  doi          = {10.1109/JSSC.2017.2689033},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CaiTSKHP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CalderinRPANN17,
  author       = {Lucas Calderin and
                  Sameet Ramakrishnan and
                  Antonio Puglielli and
                  Elad Alon and
                  Borivoje Nikolic and
                  Ali M. Niknejad},
  title        = {Analysis and Design of Integrated Active Cancellation Transceiver
                  for Frequency Division Duplex Systems},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2038--2054},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2700360},
  doi          = {10.1109/JSSC.2017.2700360},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CalderinRPANN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CantatoreDS17,
  author       = {Eugenio Cantatore and
                  Wim Dehaene and
                  Robert Bogdan Staszewski},
  title        = {Introduction to the Special Issue on the 46th European Solid-State
                  Circuits Conference {(ESSCIRC)}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1700--1702},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2711159},
  doi          = {10.1109/JSSC.2017.2711159},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CantatoreDS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChanTFIULRNKZCC17,
  author       = {Kok Lim Chan and
                  Kee Hian Tan and
                  Yohan Frans and
                  Jay Im and
                  Parag Upadhyaya and
                  Siok{-}Wei Lim and
                  Arianne Roldan and
                  Nakul Narang and
                  Chin Yang Koay and
                  Hongyuan Zhao and
                  Ping{-}Chuan Chiang and
                  Ken Chang},
  title        = {A 32.75-Gb/s Voltage-Mode Transmitter With Three-Tap {FFE} in 16-nm
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2663--2678},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2714180},
  doi          = {10.1109/JSSC.2017.2714180},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChanTFIULRNKZCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChanZLZHWUM17,
  author       = {Chi{-}Hang Chan and
                  Yan Zhu and
                  Cheng Li and
                  Wai{-}Hong Zhang and
                  Iok{-}Meng Ho and
                  Lai Wei and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  title        = {60-dB {SNDR} 100-MS/s {SAR} ADCs With Threshold Reconfigurable Reference
                  Error Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2576--2588},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2728784},
  doi          = {10.1109/JSSC.2017.2728784},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChanZLZHWUM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChandrakumarM17,
  author       = {Hariprasad Chandrakumar and
                  Dejan Markovic},
  title        = {A High Dynamic-Range Neural Recording Chopper Amplifier for Simultaneous
                  Neural Recording and Stimulation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {645--656},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2645611},
  doi          = {10.1109/JSSC.2016.2645611},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChandrakumarM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChandrakumarM17a,
  author       = {Hariprasad Chandrakumar and
                  Dejan Markovic},
  title        = {An 80-mVpp Linear-Input Range, 1.6- {\textdollar}{\textbackslash}text\{G\}{\textbackslash}Omega
                  {\textdollar} Input Impedance, Low-Power Chopper Amplifier for Closed-Loop
                  Neural Recording That Is Tolerant to 650-mVpp Common-Mode Interference},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2811--2828},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2753824},
  doi          = {10.1109/JSSC.2017.2753824},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChandrakumarM17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChangCCSWCY17,
  author       = {Meng{-}Fan Chang and
                  Chien{-}Fu Chen and
                  Ting{-}Hao Chang and
                  Chi{-}Chang Shuai and
                  Yen{-}Yao Wang and
                  Yi{-}Ju Chen and
                  Hiroyuki Yamauchi},
  title        = {A Compact-Area Low-VDDmin 6T {SRAM} With Improvement in Cell Stability,
                  Read Speed, and Write Margin Using a Dual-Split-Control-Assist Scheme},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2498--2514},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2701547},
  doi          = {10.1109/JSSC.2017.2701547},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ChangCCSWCY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChangLLCKYTCS17,
  author       = {Meng{-}Fan Chang and
                  Chien{-}Chen Lin and
                  Albert Lee and
                  Yen{-}Ning Chiang and
                  Chia{-}Chen Kuo and
                  Geng{-}Hau Yang and
                  Hsiang{-}Jen Tsai and
                  Tien{-}Fu Chen and
                  Shyh{-}Shyuan Sheu},
  title        = {A 3T1R Nonvolatile {TCAM} Using {MLC} ReRAM for Frequent-Off Instant-On
                  Filters in IoT and Big-Data Processing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1664--1679},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2681458},
  doi          = {10.1109/JSSC.2017.2681458},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChangLLCKYTCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChappidiS17,
  author       = {ChandraKanth R. Chappidi and
                  Kaushik Sengupta},
  title        = {Frequency Reconfigurable mm-Wave Power Amplifier With Active Impedance
                  Synthesis in an Asymmetrical Non-Isolated Combiner: Analysis and Design},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {1990--2008},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2686843},
  doi          = {10.1109/JSSC.2017.2686843},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChappidiS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenCBRSNCPPVBV17,
  author       = {Chao Chen and
                  Zhao Chen and
                  Deep Bera and
                  Shreyas B. Raghunathan and
                  Maysam Shabanimotlagh and
                  Emile Noothout and
                  Zu{-}yao Chang and
                  Jacco Ponte and
                  Christian Prins and
                  Hendrik J. Vos and
                  Johan G. Bosch and
                  Martin D. Verweij and
                  Nico de Jong and
                  Michiel A. P. Pertijs},
  title        = {A Front-End {ASIC} With Receive Sub-array Beamforming Integrated With
                  a 32 {\texttimes} 32 {PZT} Matrix Transducer for 3-D Transesophageal
                  Echocardiography},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {994--1006},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2638433},
  doi          = {10.1109/JSSC.2016.2638433},
  timestamp    = {Fri, 21 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenCBRSNCPPVBV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenKDRJB17,
  author       = {Zhanping Chen and
                  Sarvesh H. Kulkarni and
                  Vincent E. Dorgan and
                  Salil Manohar Rajarshi and
                  Lei Jiang and
                  Uddalak Bhattacharya},
  title        = {A 0.9-{\(\mu\)}m\({}^{\mbox{2}}\) 1T1R Bit Cell in 14-nm High-Density
                  Metal Fuse Technology for High-Volume Manufacturing and In-Field Programming},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {933--939},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2641955},
  doi          = {10.1109/JSSC.2016.2641955},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenKDRJB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenKES17,
  author       = {Yu{-}Hsin Chen and
                  Tushar Krishna and
                  Joel S. Emer and
                  Vivienne Sze},
  title        = {Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional
                  Neural Networks},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {127--138},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2616357},
  doi          = {10.1109/JSSC.2016.2616357},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenKES17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenKLHWC17,
  author       = {Zuow{-}Zun Chen and
                  Yen{-}Cheng Kuan and
                  Yilei Li and
                  Boyu Hu and
                  Chien{-}Heng Wong and
                  Mau{-}Chung Frank Chang},
  title        = {{DPLL} for Phase Noise Cancellation in Ring Oscillator-Based Quadrature
                  Receivers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1134--1143},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2647925},
  doi          = {10.1109/JSSC.2017.2647925},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenKLHWC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenLMKM17,
  author       = {Zhiyuan Chen and
                  Man{-}Kay Law and
                  Pui{-}In Mak and
                  Wing{-}Hung Ki and
                  Rui Paulo Martins},
  title        = {Fully Integrated Inductor-Less Flipping-Capacitor Rectifier for Piezoelectric
                  Energy Harvesting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3168--3180},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2750329},
  doi          = {10.1109/JSSC.2017.2750329},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenLMKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenPKCCGM17,
  author       = {Man{-}Chia Chen and
                  Aldo Pena Perez and
                  Sri{-}Rajasekhar Kothapalli and
                  Philippe Cathelin and
                  Andreia Cathelin and
                  Sanjiv Sam Gambhir and
                  Boris Murmann},
  title        = {A Pixel Pitch-Matched Ultrasound Receiver for 3-D Photoacoustic Imaging
                  With Integrated Delta-Sigma Beamformer in 28-nm {UTBB} {FD-SOI}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2843--2856},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2749425},
  doi          = {10.1109/JSSC.2017.2749425},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenPKCCGM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenTSYCS17,
  author       = {Long Chen and
                  Xiyuan Tang and
                  Arindam Sanyal and
                  Yeonam Yoon and
                  Jie Cong and
                  Nan Sun},
  title        = {A 0.7-V 0.6-{\(\mathrm{\mu}\)}W 100-kS/s Low-Power {SAR} {ADC} With
                  Statistical Estimation-Based Noise Reduction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1388--1398},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2656138},
  doi          = {10.1109/JSSC.2017.2656138},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenTSYCS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChengKT17,
  author       = {Lin Cheng and
                  Wing{-}Hung Ki and
                  Chi{-}Ying Tsui},
  title        = {A 6.78-MHz Single-Stage Wireless Power Receiver Using a 3-Mode Reconfigurable
                  Resonant Regulating Rectifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1412--1423},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2657603},
  doi          = {10.1109/JSSC.2017.2657603},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ChengKT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChoG17,
  author       = {Kunhee Cho and
                  Ranjit Gharpurey},
  title        = {An Efficient Buck/Buck-Boost Reconfigurable {LED} Driver Employing
                  SIN\({}^{\mbox{2}}\) Reference},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2758--2768},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2732734},
  doi          = {10.1109/JSSC.2017.2732734},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChoG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChoKTAKRTKD17,
  author       = {Minki Cho and
                  Stephen T. Kim and
                  Carlos Tokunaga and
                  Charles Augustine and
                  Jaydeep P. Kulkarni and
                  Krishnan Ravichandran and
                  James W. Tschanz and
                  Muhammad M. Khellah and
                  Vivek De},
  title        = {Postsilicon Voltage Guard-Band Reduction in a 22 nm Graphics Execution
                  Core Using Adaptive Voltage Scaling and Dynamic Power Gating},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {50--63},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2601319},
  doi          = {10.1109/JSSC.2016.2601319},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChoKTAKRTKD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Cong17,
  author       = {Peng Cong},
  title        = {Introduction to the Special Bio-Section of the 2017 International
                  Solid-State Circuits Conference {(ISSCC)}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2791--2792},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2753584},
  doi          = {10.1109/JSSC.2017.2753584},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Cong17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Craninckx17,
  author       = {Jan Craninckx},
  title        = {New Associate Editor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {887},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2673538},
  doi          = {10.1109/JSSC.2017.2673538},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Craninckx17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Craninckx17a,
  author       = {Jan Craninckx},
  title        = {New Associate Editor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1699},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2710738},
  doi          = {10.1109/JSSC.2017.2710738},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Craninckx17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Craninckx17b,
  author       = {Jan Craninckx},
  title        = {New Associate Editor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2223},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2728898},
  doi          = {10.1109/JSSC.2017.2728898},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Craninckx17b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DattaH17,
  author       = {Kunal Datta and
                  Hossein Hashemi},
  title        = {Watt-Level mm-Wave Power Amplification With Dynamic Load Modulation
                  in a SiGe {HBT} Digital Power Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {371--388},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2622710},
  doi          = {10.1109/JSSC.2016.2622710},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DattaH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DattaH17a,
  author       = {Kunal Datta and
                  Hossein Hashemi},
  title        = {High-Breakdown, High-f\({}_{\mbox{max}}\) Multiport Stacked-Transistor
                  Topologies for the W-Band Power Amplifiers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1305--1319},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2641464},
  doi          = {10.1109/JSSC.2016.2641464},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DattaH17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DevarajanSKPSBR17,
  author       = {Siddharth Devarajan and
                  Larry Singer and
                  Dan Kelly and
                  Tao Pan and
                  Jos{\'{e}} B. Silva and
                  Janet Brunsilius and
                  Daniel Rey{-}Losada and
                  Frank Murden and
                  Carroll Speir and
                  Jeff Bray and
                  Eric Otte and
                  Nevena Rakuljic and
                  Phil Brown and
                  Todd Weigandt and
                  Qicheng Yu and
                  Donald Paterson and
                  Corey Petersen and
                  Jeffrey C. Gealow and
                  Gabriele Manganaro},
  title        = {A 12-b 10-GS/s Interleaved Pipeline {ADC} in 28-nm {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3204--3218},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2747758},
  doi          = {10.1109/JSSC.2017.2747758},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DevarajanSKPSBR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DincNK17,
  author       = {Tolga Dinc and
                  Aravind Nagulu and
                  Harish Krishnaswamy},
  title        = {A Millimeter-Wave Non-Magnetic Passive {SOI} {CMOS} Circulator Based
                  on Spatio-Temporal Conductivity Modulation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3276--3292},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2759422},
  doi          = {10.1109/JSSC.2017.2759422},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DincNK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DingHLBPG17,
  author       = {Ming Ding and
                  Pieter Harpe and
                  Yao{-}Hong Liu and
                  Benjamin Busze and
                  Kathleen Philips and
                  Harmke de Groot},
  title        = {A 46 {\(\mathrm{\mu}\)}W 13 b 6.4 MS/s {SAR} {ADC} With Background
                  Mismatch and Offset Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {423--432},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2609849},
  doi          = {10.1109/JSSC.2016.2609849},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DingHLBPG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DragasVSCBSRGOM17,
  author       = {Jelena Dragas and
                  Vijay Viswam and
                  Amir Shadmani and
                  Yihui Chen and
                  Raziyeh Bounik and
                  Alexander Stettler and
                  Milos Radivojevic and
                  Sydney Geissler and
                  Marie Engelene J. Obien and
                  Jan Mueller and
                  Andreas Hierlemann},
  title        = {In Vitro Multi-Functional Microelectrode Array Featuring 59 760 Electrodes,
                  2048 Electrophysiology Channels, Stimulation, Impedance Measurement,
                  and Neurotransmitter Detection Channels},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1576--1590},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2686580},
  doi          = {10.1109/JSSC.2017.2686580},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/DragasVSCBSRGOM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DuCHLWDKHDLLC17,
  author       = {Yuan Du and
                  Wei{-}Han Cho and
                  Po{-}Tsang Huang and
                  Yilei Li and
                  Chien{-}Heng Wong and
                  Jieqiong Du and
                  Yanghyo Kim and
                  Boyu Hu and
                  Li Du and
                  Chun{-}Chen Liu and
                  Sheau Jiung Lee and
                  Mau{-}Chung Frank Chang},
  title        = {A 16-Gb/s 14.7-mW Tri-Band Cognitive Serial Link Transmitter With
                  Forwarded Clock to Enable {PAM-16/256-QAM} and Channel Response Detection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1111--1122},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2628049},
  doi          = {10.1109/JSSC.2016.2628049},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DuCHLWDKHDLLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DuS17,
  author       = {Sijun Du and
                  Ashwin A. Seshia},
  title        = {An Inductorless Bias-Flip Rectifier for Piezoelectric Energy Harvesting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2746--2757},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2725959},
  doi          = {10.1109/JSSC.2017.2725959},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DuS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DuanGSC17,
  author       = {Chuhong Duan and
                  Andreas J. Gotterba and
                  Mahmut E. Sinangil and
                  Anantha P. Chandrakasan},
  title        = {Energy-Efficient Reconfigurable {SRAM:} Reducing Read Power Through
                  Data Statistics},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2703--2711},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2731814},
  doi          = {10.1109/JSSC.2017.2731814},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DuanGSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DuncanDMMLPTCK17,
  author       = {Lucas Duncan and
                  Brian Dupaix and
                  Jamin J. McCue and
                  Brandon Mathieu and
                  Matthew LaRue and
                  Vipul J. Patel and
                  Mesfin Teshome and
                  Myung{-}Jun Choe and
                  Waleed Khalil},
  title        = {A 10-bit DC-20-GHz Multiple-Return-to-Zero {DAC} With {\textgreater}48-dB
                  {SFDR}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3262--3275},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2749441},
  doi          = {10.1109/JSSC.2017.2749441},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DuncanDMMLPTCK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DuongNKSKYLBP17,
  author       = {Quoc{-}Hoang Duong and
                  Huy{-}Hieu Nguyen and
                  Jeong{-}Woon Kong and
                  Hyun{-}Seok Shin and
                  Yu{-}Seok Ko and
                  Hwa{-}Yeol Yu and
                  Yong{-}Hee Lee and
                  Chun{-}Hyeon Bea and
                  Ho{-}Jin Park},
  title        = {Multiple-Loop Design Technique for High-Performance Low-Dropout Regulator},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2533--2549},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2717922},
  doi          = {10.1109/JSSC.2017.2717922},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DuongNKSKYLBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/EdwardLBKSKS17,
  author       = {Alexander Edward and
                  Qiyuan Liu and
                  Carlos Briseno{-}Vidrios and
                  Martin Kinyua and
                  Eric G. Soenen and
                  Aydin Ilker Karsilayan and
                  Jos{\'{e}} Silva{-}Mart{\'{\i}}nez},
  title        = {A 43-mW {MASH} 2-2 {CT} {\(\Sigma\)}{\(\Delta\)} Modulator Attaining
                  74.4/75.8/76.8 dB of {SNDR/SNR/DR} and 50 MHz of {BW} in 40-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {448--459},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2616361},
  doi          = {10.1109/JSSC.2016.2616361},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/EdwardLBKSKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ErettHCCGHNMSSF17,
  author       = {Marc Erett and
                  James Hudner and
                  Declan Carey and
                  Ronan Casey and
                  Kevin Geary and
                  Kay Hearne and
                  Pedro Neto and
                  Thomas Mallard and
                  Vikas Sooden and
                  Mark Smyth and
                  Yohan Frans and
                  Jay Im and
                  Parag Upadhyaya and
                  Wenfeng Zhang and
                  Winson Lin and
                  Bruce Xu and
                  Ken Chang},
  title        = {A 0.5-16.3 Gbps Multi-Standard Serial Transceiver With 219 mW/Channel
                  in 16-nm FinFET},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1783--1797},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2702711},
  doi          = {10.1109/JSSC.2017.2702711},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ErettHCCGHNMSSF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FengBMYYLL17,
  author       = {Guangyin Feng and
                  Chirn Chye Boon and
                  Fanyi Meng and
                  Xiang Yi and
                  Kaituo Yang and
                  Chenyang Li and
                  Howard C. Luong},
  title        = {Pole-Converging Intrastage Bandwidth Extension Technique for Wideband
                  Amplifiers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {769--780},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2641459},
  doi          = {10.1109/JSSC.2016.2641459},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FengBMYYLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FransSZUIKEHPAB17,
  author       = {Yohan Frans and
                  Jaewook Shin and
                  Lei Zhou and
                  Parag Upadhyaya and
                  Jay Im and
                  Vassili Kireev and
                  Mohamed Elzeftawi and
                  Hiva Hedayati and
                  Toan Pham and
                  Santiago Asuncion and
                  Chris Borrelli and
                  Geoff Zhang and
                  Hongtao Zhang and
                  Ken Chang},
  title        = {A 56-Gb/s {PAM4} Wireline Transceiver Using a 32-Way Time-Interleaved
                  {SAR} {ADC} in 16-nm FinFET},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1101--1110},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2632300},
  doi          = {10.1109/JSSC.2016.2632300},
  timestamp    = {Thu, 30 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FransSZUIKEHPAB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FujibayashiTWYS17,
  author       = {Takeji Fujibayashi and
                  Yohsuke Takeda and
                  Weihu Wang and
                  Yi{-}Shin Yeh and
                  Willem Stapelbroek and
                  Seiji Takeuchi and
                  Brian A. Floyd},
  title        = {A 76- to 81-GHz Multi-Channel Radar Transceiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2226--2241},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2700359},
  doi          = {10.1109/JSSC.2017.2700359},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FujibayashiTWYS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GaoLM17,
  author       = {Yuan Gao and
                  Lisong Li and
                  Philip K. T. Mok},
  title        = {An {AC} Input Switching-Converter-Free {LED} Driver With Low-Frequency-Flicker
                  Reduction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1424--1434},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2656147},
  doi          = {10.1109/JSSC.2017.2656147},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GaoLM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GautschiSGB17,
  author       = {Michael Gautschi and
                  Michael Schaffner and
                  Frank K. G{\"{u}}rkaynak and
                  Luca Benini},
  title        = {An Extended Shared Logarithmic Unit for Nonlinear Function Kernel
                  Acceleration in a 65-nm {CMOS} Multicore Cluster},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {98--112},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2626272},
  doi          = {10.1109/JSSC.2016.2626272},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GautschiSGB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GhanbariTNMG17,
  author       = {Mohammad Meraj Ghanbari and
                  Julius M. Tsai and
                  Ampalavanapillai Nirmalathas and
                  Rikky Muller and
                  Simone Gambini},
  title        = {An Energy-Efficient Miniaturized Intracranial Pressure Monitoring
                  System},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {720--734},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2633510},
  doi          = {10.1109/JSSC.2016.2633510},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GhanbariTNMG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GheidiNLA17,
  author       = {Hamed Gheidi and
                  Toshifumi Nakatani and
                  Vincent W. Leung and
                  Peter M. Asbeck},
  title        = {A 1-3 GHz Delta-Sigma-Based Closed-Loop Fully Digital Phase Modulator
                  in 45-nm {CMOS} {SOI}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1185--1195},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2656139},
  doi          = {10.1109/JSSC.2017.2656139},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GheidiNLA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GinsburgI17,
  author       = {Brian P. Ginsburg and
                  Makoto Ikeda},
  title        = {Introduction to the Special Issue on the 2016 Symposium on {VLSI}
                  Circuits},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {888--890},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2679618},
  doi          = {10.1109/JSSC.2017.2679618},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GinsburgI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GonenSQVM17,
  author       = {Burak Gonen and
                  Fabio Sebastiano and
                  Rui Quan and
                  Robert H. M. van Veldhoven and
                  Kofi A. A. Makinwa},
  title        = {A Dynamic Zoom {ADC} With 109-dB {DR} for Audio Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1542--1550},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2669022},
  doi          = {10.1109/JSSC.2017.2669022},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GonenSQVM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GougheriK17,
  author       = {Hesam Sadeghi Gougheri and
                  Mehdi Kiani},
  title        = {Self-Regulated Reconfigurable Voltage/Current-Mode Inductive Power
                  Management},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3056--3070},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2737138},
  doi          = {10.1109/JSSC.2017.2737138},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GougheriK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GreeneSF17,
  author       = {Kevin Greene and
                  Anirban Sarkar and
                  Brian A. Floyd},
  title        = {A 60-GHz Dual-Vector Doherty Beamformer},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1373--1387},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2661980},
  doi          = {10.1109/JSSC.2017.2661980},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GreeneSF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuermandiSDDASO17,
  author       = {Davide Guermandi and
                  Qixian Shi and
                  Andy Dewilde and
                  Veerle Derudder and
                  Ubaid Ahmad and
                  Annachiara Spagnolo and
                  Ilja Ocket and
                  Andr{\'{e}} Bourdoux and
                  Piet Wambacq and
                  Jan Craninckx and
                  Wim Van Thillo},
  title        = {A 79-GHz 2 {\texttimes} 2 {MIMO} {PMCW} Radar SoC in 28-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2613--2626},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2723499},
  doi          = {10.1109/JSSC.2017.2723499},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuermandiSDDASO17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuoCLJY17,
  author       = {Benqing Guo and
                  Jun Chen and
                  Lei Li and
                  Haiyan Jin and
                  Guoning Yang},
  title        = {A Wideband Noise-Canceling {CMOS} {LNA} With Enhanced Linearity by
                  Using Complementary nMOS and pMOS Configurations},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1331--1344},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2657598},
  doi          = {10.1109/JSSC.2017.2657598},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuoCLJY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuoKTS17,
  author       = {Wenjuan Guo and
                  Youngchun Kim and
                  Ahmed H. Tewfik and
                  Nan Sun},
  title        = {A Fully Passive Compressive Sensing {SAR} {ADC} for Low-Power Wireless
                  Sensors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2154--2167},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2695573},
  doi          = {10.1109/JSSC.2017.2695573},
  timestamp    = {Tue, 26 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuoKTS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HanSLA17,
  author       = {Jaeduk Han and
                  Nicholas Sutardja and
                  Yue Lu and
                  Elad Alon},
  title        = {Design Techniques for a 60-Gb/s 288-mW {NRZ} Transceiver With Adaptive
                  Equalization and Baud-Rate Clock and Data Recovery in 65-nm {CMOS}
                  Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3474--3485},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2740268},
  doi          = {10.1109/JSSC.2017.2740268},
  timestamp    = {Thu, 27 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HanSLA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HanYK17,
  author       = {Hong Gul Han and
                  Byung{-}Gyu Yu and
                  Tae Wook Kim},
  title        = {A 1.9-mm-Precision 20-GHz Direct-Sampling Receiver Using Time-Extension
                  Method for Indoor Localization},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1509--1520},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2679068},
  doi          = {10.1109/JSSC.2017.2679068},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HanYK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HashemiSMAV17,
  author       = {Mohsen Hashemi and
                  Yiyu Shen and
                  Mohammadreza Mehrpoo and
                  Morteza S. Alavi and
                  Leo C. N. de Vreede},
  title        = {An Intrinsically Linear Wideband Polar Digital Power Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3312--3328},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2737647},
  doi          = {10.1109/JSSC.2017.2737647},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HashemiSMAV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HongLYS17,
  author       = {Lingyu Hong and
                  Hao Li and
                  Haw Yang and
                  Kaushik Sengupta},
  title        = {Fully Integrated Fluorescence Biosensors On-Chip Employing Multi-Functional
                  Nanoplasmonic Optical Structures in {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2388--2406},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2712612},
  doi          = {10.1109/JSSC.2017.2712612},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HongLYS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HossainEHA17,
  author       = {Masum Hossain and
                  Waleed El{-}Halwagy and
                  A. K. M. Delwar Hossain and
                  Aurangozeb},
  title        = {Fractional-N DPLL-Based Low-Power Clocking Architecture for 1-14 Gb/s
                  Multi-Standard Transmitter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2647--2662},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2715160},
  doi          = {10.1109/JSSC.2017.2715160},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HossainEHA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuKW17,
  author       = {Song Hu and
                  Shouhei Kousai and
                  Hua Wang},
  title        = {A Compact Broadband Mixed-Signal Power Amplifier in Bulk {CMOS} With
                  Hybrid Class-G and Dynamic Load Trajectory Manipulation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1463--1478},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2686587},
  doi          = {10.1109/JSSC.2017.2686587},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuKW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangC17,
  author       = {Shih{-}Hao Huang and
                  Wei{-}Zen Chen},
  title        = {A 25 Gb/s 1.13 pJ/b -10.8 dBm Input Sensitivity Optical Receiver in
                  40 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {747--756},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2639534},
  doi          = {10.1109/JSSC.2016.2639534},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangCWW17,
  author       = {Min{-}Yu Huang and
                  Taiyun Chi and
                  Fei Wang and
                  Hua Wang},
  title        = {An All-Passive Negative Feedback Network for Broadband and Wide Field-of-View
                  Self-Steering Beam-Forming With Zero {DC} Power Consumption},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1260--1273},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2641947},
  doi          = {10.1109/JSSC.2016.2641947},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangCWW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangDC17,
  author       = {Hai Huang and
                  Ling Du and
                  Yun Chiu},
  title        = {A 1.2-GS/s 8-bit Two-Step {SAR} {ADC} in 65-nm {CMOS} With Passive
                  Residue Transfer},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1551--1562},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2682839},
  doi          = {10.1109/JSSC.2017.2682839},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangXEC17,
  author       = {Hai Huang and
                  Hongda Xu and
                  Brian Elies and
                  Yun Chiu},
  title        = {A Non-Interleaved 12-b 330-MS/s Pipelined-SAR {ADC} With PVT-Stabilized
                  Dynamic Amplifier Achieving Sub-1-dB {SNDR} Variation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3235--3247},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2732731},
  doi          = {10.1109/JSSC.2017.2732731},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangXEC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HusseinP17,
  author       = {Ahmed I. Hussein and
                  Jeyanandh Paramesh},
  title        = {Design and Self-Calibration Techniques for Inductor-Less Millimeter-Wave
                  Frequency Dividers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1521--1541},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2681801},
  doi          = {10.1109/JSSC.2017.2681801},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HusseinP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HusseinVP17,
  author       = {Ahmed I. Hussein and
                  Sriharsha Vasadi and
                  Jeyanandh Paramesh},
  title        = {A 50-66-GHz Phase-Domain Digital Frequency Synthesizer With Low Phase
                  Noise and Low Fractional Spurs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3329--3347},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2746669},
  doi          = {10.1109/JSSC.2017.2746669},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HusseinVP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HwangCYLKCGJCPK17,
  author       = {Gunpil Hwang and
                  JongKwan Choi and
                  Jaehyeok Yang and
                  Sungmin Lim and
                  Jae{-}Myoung Kim and
                  MinGyu Choi and
                  Kiuk Gwak and
                  Jinwoo Jeon and
                  Il{-}Hwan Choi and
                  Sol Park and
                  Dae{-}Shik Kim and
                  Hee Sup Shin and
                  Hyeon{-}Min Bae},
  title        = {A 2.048 Mb/s Full-Duplex Free-Space Optical Transceiver {IC} for a
                  Real-Time In Vivo Brain-Computer Interface Mouse Experiment Under
                  Social Interaction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1007--1020},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2636860},
  doi          = {10.1109/JSSC.2016.2636860},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HwangCYLKCGJCPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IguchiST17,
  author       = {Shunta Iguchi and
                  Takayasu Sakurai and
                  Makoto Takamiya},
  title        = {A Low-Power {CMOS} Crystal Oscillator Using a Stacked-Amplifier Architecture},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3006--3017},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2743174},
  doi          = {10.1109/JSSC.2017.2743174},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IguchiST17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IkedaIKIONKJHDD17,
  author       = {Sho Ikeda and
                  Hiroyuki Ito and
                  Akifumi Kasamatsu and
                  Yosuke Ishikawa and
                  Takayoshi Obara and
                  Naoki Noguchi and
                  Koji Kamisuki and
                  Yao Jiyang and
                  Shinsuke Hara and
                  Ruibing Dong and
                  Shiro Dosho and
                  Noboru Ishihara and
                  Kazuya Masu},
  title        = {A - 244-dB {FOM} High-Frequency Piezoelectric Resonator-Based Cascaded
                  Fractional-N {PLL} With Sub-ppb-Order Channel-Adjusting Technique},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1123--1133},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2637001},
  doi          = {10.1109/JSSC.2016.2637001},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IkedaIKIONKJHDD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ImFRCCCCGMZZHLU17,
  author       = {Jay Im and
                  Dave Freitas and
                  Arianne Roldan and
                  Ronan Casey and
                  Stanley Chen and
                  Adam Chou and
                  Tim Cronin and
                  Kevin Geary and
                  Scott McLeod and
                  Lei Zhou and
                  Ian Zhuang and
                  Jaeduk Han and
                  Sen Lin and
                  Parag Upadhyaya and
                  Geoff Zhang and
                  Yohan Frans and
                  Ken Chang},
  title        = {A 40-to-56 Gb/s {PAM-4} Receiver With Ten-Tap Direct Decision-Feedback
                  Equalization in 16-nm FinFET},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3486--3502},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2749432},
  doi          = {10.1109/JSSC.2017.2749432},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ImFRCCCCGMZZHLU17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ImaniH17,
  author       = {Alireza Imani and
                  Hossein Hashemi},
  title        = {Distributed Injection-Locked Frequency Dividers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2083--2093},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2701325},
  doi          = {10.1109/JSSC.2017.2701325},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ImaniH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ImtiazJR17,
  author       = {Syed Anas Imtiaz and
                  Zhou Jiang and
                  Esther Rodr{\'{\i}}guez{-}Villegas},
  title        = {An Ultralow Power System on Chip for Automatic Sleep Staging},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {822--833},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2647923},
  doi          = {10.1109/JSSC.2017.2647923},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ImtiazJR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IottiMS17,
  author       = {Lorenzo Iotti and
                  Andrea Mazzanti and
                  Francesco Svelto},
  title        = {Insights Into Phase-Noise Scaling in Switch-Coupled Multi-Core {LC}
                  VCOs for E-Band Adaptive Modulation Links},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1703--1718},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2697442},
  doi          = {10.1109/JSSC.2017.2697442},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IottiMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IranmaneshR17,
  author       = {Saam Iranmanesh and
                  Esther Rodr{\'{\i}}guez{-}Villegas},
  title        = {A 950 nW Analog-Based Data Reduction Chip for Wearable {EEG} Systems
                  in Epilepsy},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2362--2373},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2720636},
  doi          = {10.1109/JSSC.2017.2720636},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IranmaneshR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IsmailLPY17,
  author       = {Yousr Ismail and
                  Haechang Lee and
                  Sudhakar Pamarti and
                  Chih{-}Kong Ken Yang},
  title        = {A 36-V 49{\%} Efficient Hybrid Charge Pump in Nanometer-Scale Bulk
                  {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {781--798},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2636876},
  doi          = {10.1109/JSSC.2016.2636876},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/IsmailLPY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JeonDKWCYBS17,
  author       = {Dongsuk Jeon and
                  Qing Dong and
                  Yejoong Kim and
                  Xiaolong Wang and
                  Shuai Chen and
                  Hao Yu and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A 23-mW Face Recognition Processor with Mostly-Read 5T Memory in 40-nm
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1628--1642},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2661838},
  doi          = {10.1109/JSSC.2017.2661838},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JeonDKWCYBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JeongK17,
  author       = {Deog{-}Kyoon Jeong and
                  Jaeha Kim},
  title        = {Introduction to the Special Section on the 2016 Asian Solid-State
                  Circuits Conference {(A-SSCC} 2016)},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2521--2522},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2741761},
  doi          = {10.1109/JSSC.2017.2741761},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JeongK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangCA17,
  author       = {Chen Jiang and
                  Andreia Cathelin and
                  Ehsan Afshari},
  title        = {A High-Speed Efficient 220-GHz Spatial-Orthogonal {ASK} Transmitter
                  in 130-nm SiGe BiCMOS},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2321--2334},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2702007},
  doi          = {10.1109/JSSC.2017.2702007},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangCA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangHCKM17,
  author       = {Wenlong Jiang and
                  Vahagn Hokhikyan and
                  Hariprasad Chandrakumar and
                  Vaibhav Karkare and
                  Dejan Markovic},
  title        = {A {\(\pm\)}50-mV Linear-Input-Range VCO-Based Neural-Recording Front-End
                  With Digital Nonlinearity Correction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {173--184},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2624989},
  doi          = {10.1109/JSSC.2016.2624989},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangHCKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangKL17,
  author       = {Junmin Jiang and
                  Wing{-}Hung Ki and
                  Yan Lu},
  title        = {Digital 2-/3-Phase Switched-Capacitor Converter With Ripple Reduction
                  and Efficiency Improvement},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1836--1848},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2679065},
  doi          = {10.1109/JSSC.2017.2679065},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangKL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangL17,
  author       = {Bingwei Jiang and
                  Howard C. Luong},
  title        = {A 7.9-GHz Transformer-Feedback Quadrature Oscillator With a Noise-Shifting
                  Coupling Network},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2636--2646},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2715855},
  doi          = {10.1109/JSSC.2017.2715855},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangM17,
  author       = {Junfeng Jiang and
                  Kofi A. A. Makinwa},
  title        = {Multipath Wide-Bandwidth {CMOS} Magnetic Sensors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {198--209},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2619711},
  doi          = {10.1109/JSSC.2016.2619711},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangM17a,
  author       = {Junfeng Jiang and
                  Kofi A. A. Makinwa},
  title        = {A Hybrid Multi-Path {CMOS} Magnetic Sensor With 76 ppm/{\textdegree}C
                  Sensitivity Drift and Discrete-Time Ripple Reduction Loops},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1876--1884},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2685462},
  doi          = {10.1109/JSSC.2017.2685462},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangM17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangSC17,
  author       = {Jize Jiang and
                  Wei Shu and
                  Joseph S. Chang},
  title        = {A 5.6 ppm/{\textdegree}C Temperature Coefficient, 87-dB PSRR, Sub-1-V
                  Voltage Reference in 65-nm {CMOS} Exploiting the Zero-Temperature-Coefficient
                  Point},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {623--633},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2627544},
  doi          = {10.1109/JSSC.2016.2627544},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JinKHMS17,
  author       = {Wei Jin and
                  Seongjong Kim and
                  Weifeng He and
                  Zhigang Mao and
                  Mingoo Seok},
  title        = {Near- and Sub-V\({}_{\mbox{t}}\) Pipelines Based on Wide-Pulsed-Latch
                  Design Techniques},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2475--2487},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2717927},
  doi          = {10.1109/JSSC.2017.2717927},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JinKHMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JinKK17,
  author       = {Hadong Jin and
                  Dongsu Kim and
                  Bumman Kim},
  title        = {Efficient Digital Quadrature Transmitter Based on {IQ} Cell Sharing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1345--1357},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2655058},
  doi          = {10.1109/JSSC.2017.2655058},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JinKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JoshiZW17,
  author       = {Rajiv V. Joshi and
                  Matthew M. Ziegler and
                  Holger Wetter},
  title        = {A Low Voltage {SRAM} Using Resonant Supply Boosting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {634--644},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2628772},
  doi          = {10.1109/JSSC.2016.2628772},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JoshiZW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KananizadehM17,
  author       = {Rouzbeh Kananizadeh and
                  Omeed Momeni},
  title        = {A 190-GHz {VCO} With 20.7{\%} Tuning Range Employing an Active Mode
                  Switching Block in a 130 nm SiGe BiCMOS},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2094--2104},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2689031},
  doi          = {10.1109/JSSC.2017.2689031},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KananizadehM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17,
  author       = {Dongku Kang and
                  Woopyo Jeong and
                  Chulbum Kim and
                  Doo{-}Hyun Kim and
                  Yong{-}Sung Cho and
                  Kyung{-}Tae Kang and
                  Jinho Ryu and
                  Kyung{-}Min Kang and
                  Sungyeon Lee and
                  Wandong Kim and
                  Hanjun Lee and
                  Jaedoeg Yu and
                  Nayoung Choi and
                  Dong{-}Su Jang and
                  Cheon An Lee and
                  Young{-}Sun Min and
                  Moosung Kim and
                  Ansoo Park and
                  Jae{-}Ick Son and
                  In{-}Mo Kim and
                  Pansuk Kwak and
                  Bong{-}Kil Jung and
                  Doosub Lee and
                  Hyunggon Kim and
                  Jeong{-}Don Ihm and
                  Dae{-}Seok Byeon and
                  Jin{-}Yup Lee and
                  Ki{-}Tae Park and
                  Kyehyun Kyung},
  title        = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {210--217},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2604297},
  doi          = {10.1109/JSSC.2016.2604297},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KarSRDM17,
  author       = {Monodeep Kar and
                  Arvind Singh and
                  Anand Rajan and
                  Vivek De and
                  Saibal Mukhopadhyay},
  title        = {An All-Digital Fully Integrated Inductive Buck Regulator With {A}
                  250-MHz Multi-Sampled Compensator and a Lightweight Auto-Tuner in
                  130-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1825--1835},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693243},
  doi          = {10.1109/JSSC.2017.2693243},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KarSRDM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KassiriSPSVCG17,
  author       = {Hossein Kassiri and
                  Muhammad Tariqus Salam and
                  Mohammad Reza Pazhouhandeh and
                  Nima Soltani and
                  Jos{\'{e}} Luis P{\'{e}}rez Velazquez and
                  Peter L. Carlen and
                  Roman Genov},
  title        = {Rail-to-Rail-Input Dual-Radio 64-Channel Closed-Loop Neurostimulator},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2793--2810},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2749426},
  doi          = {10.1109/JSSC.2017.2749426},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KassiriSPSVCG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KellerCZKPLBBCD17,
  author       = {Ben Keller and
                  Martin Cochet and
                  Brian Zimmer and
                  Jaehwa Kwak and
                  Alberto Puggelli and
                  Yunsup Lee and
                  Milovan Blagojevic and
                  Stevo Bailey and
                  Pi{-}Feng Chiu and
                  Daniel Palmer Dabbelt and
                  Colin Schmidt and
                  Elad Alon and
                  Krste Asanovic and
                  Borivoje Nikolic},
  title        = {A {RISC-V} Processor SoC With Integrated Power Management at Submicrosecond
                  Timescales in 28 nm {FD-SOI}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1863--1875},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2690859},
  doi          = {10.1109/JSSC.2017.2690859},
  timestamp    = {Sat, 11 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KellerCZKPLBBCD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KhwaCWLSYCWLBKL17,
  author       = {Win{-}San Khwa and
                  Meng{-}Fan Chang and
                  Jau{-}Yi Wu and
                  Ming{-}Hsiu Lee and
                  Tzu{-}Hsiang Su and
                  Keng{-}Hao Yang and
                  Tien{-}Fu Chen and
                  Tien{-}Yen Wang and
                  Hsiang{-}Pang Li and
                  Matthew J. BrightSky and
                  SangBum Kim and
                  Hsiang{-}Lam Lung and
                  Chung Lam},
  title        = {A Resistance Drift Compensation Scheme to Reduce {MLC} {PCM} Raw {BER}
                  by Over 100{\texttimes} for Storage Class Memory Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {218--228},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2597822},
  doi          = {10.1109/JSSC.2016.2597822},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KhwaCWLSYCWLBKL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimHCPR17,
  author       = {Hyeon{-}June Kim and
                  Sun{-}Il Hwang and
                  Jae{-}Hyun Chung and
                  Jong{-}Ho Park and
                  Seung{-}Tak Ryu},
  title        = {A Dual-Imaging Speed-Enhanced {CMOS} Image Sensor for Real-Time Edge
                  Image Extraction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2488--2497},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2718665},
  doi          = {10.1109/JSSC.2017.2718665},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimHCPR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimHLLY17,
  author       = {Minseo Kim and
                  Unsoo Ha and
                  Kyuho Jason Lee and
                  Yongsu Lee and
                  Hoi{-}Jun Yoo},
  title        = {A 82-nW Chaotic Map True Random Number Generator Based on a Sub-Ranging
                  {SAR} {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1953--1965},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2694833},
  doi          = {10.1109/JSSC.2017.2694833},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimHLLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimHM17,
  author       = {Taewook Kim and
                  Changsok Han and
                  Nima Maghari},
  title        = {A 4th-Order Continuous-Time Delta-Sigma Modulator Using 6-bit Double
                  Noise-Shaped Quantizer},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3248--3261},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2734906},
  doi          = {10.1109/JSSC.2017.2734906},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimHM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimHPAMC17,
  author       = {Chul Kim and
                  Sohmyung Ha and
                  Jiwoong Park and
                  Abraham Akinin and
                  Patrick P. Mercier and
                  Gert Cauwenberghs},
  title        = {A 144-MHz Fully Integrated Resonant Regulating Rectifier With Hybrid
                  Pulse Modulation for mm-Sized Implants},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3043--3055},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2734901},
  doi          = {10.1109/JSSC.2017.2734901},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimHPAMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimJKLLLLKLLY17,
  author       = {Minseo Kim and
                  Jaeeun Jang and
                  Hyunki Kim and
                  Jihee Lee and
                  Jaehyuk Lee and
                  Jiwon Lee and
                  Kyoung{-}Rog Lee and
                  Kwantae Kim and
                  Yongsu Lee and
                  Kyuho Jason Lee and
                  Hoi{-}Jun Yoo},
  title        = {A 1.4-m {\textdollar}{\textbackslash}Omega{\textdollar} -Sensitivity
                  94-dB Dynamic-Range Electrical Impedance Tomography SoC and 48-Channel
                  Hub-SoC for 3-D Lung Ventilation Monitoring System},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2829--2842},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2753234},
  doi          = {10.1109/JSSC.2017.2753234},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimJKLLLLKLLY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimKKKC17,
  author       = {Hyunik Kim and
                  Yongjo Kim and
                  Taeik Kim and
                  Hyung Jong Ko and
                  SeongHwan Cho},
  title        = {A 2.4-GHz 1.5-mW Digital Multiplying Delay-Locked Loop Using Pulsewidth
                  Comparator and Double Injection Technique},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2934--2946},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2734910},
  doi          = {10.1109/JSSC.2017.2734910},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimKKKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimMSUR17,
  author       = {Seong{-}Kyun Kim and
                  Robert Maurer and
                  Arda Simsek and
                  Miguel Urteaga and
                  Mark J. W. Rodwell},
  title        = {An Ultra-Low-Power Dual-Polarization Transceiver Front-End for 94-GHz
                  Phased Arrays in 130-nm InP {HBT}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2267--2276},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2713528},
  doi          = {10.1109/JSSC.2017.2713528},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimMSUR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimS17,
  author       = {Doyun Kim and
                  Mingoo Seok},
  title        = {A Fully Integrated Digital Low-Dropout Regulator Based on Event-Driven
                  Explicit Time-Coding Architecture},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3071--3080},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2740269},
  doi          = {10.1109/JSSC.2017.2740269},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KongR17,
  author       = {Long Kong and
                  Behzad Razavi},
  title        = {A 2.4-GHz 6.4-mW Fractional-N Inductorless {RF} Synthesizer},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2117--2127},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2686838},
  doi          = {10.1109/JSSC.2017.2686838},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KongR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KulkarniKKNGKZ17,
  author       = {Jaydeep P. Kulkarni and
                  John Keane and
                  Kyung{-}Hoae Koo and
                  Satyanand Nalam and
                  Zheng Guo and
                  Eric Karl and
                  Kevin Zhang},
  title        = {5.6 Mb/mm\({}^{\mbox{2}}\) 1R1W 8T {SRAM} Arrays Operating Down to
                  560 mV Utilizing Small-Signal Sensing With Charge Shared Bitline and
                  Asymmetric Sense Amplifier in 14 nm FinFET {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {229--239},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2607219},
  doi          = {10.1109/JSSC.2016.2607219},
  timestamp    = {Fri, 26 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KulkarniKKNGKZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KumarDSBCJTM17,
  author       = {Ashish Kumar and
                  Chandrajit Debnath and
                  Pratap Narayan Singh and
                  Vivek Bhatia and
                  Shivani Chaudhary and
                  Vigyan Jain and
                  St{\'{e}}phane Le Tual and
                  Rakesh Malik},
  title        = {A 0.065-mm\({}^{\mbox{2}}\) 19.8-mW Single-Channel Calibration-Free
                  12-b 600-MS/s {ADC} in 28-nm {UTBB} {FD-SOI} Using {FBB}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1927--1939},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2695574},
  doi          = {10.1109/JSSC.2017.2695574},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KumarDSBCJTM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KunduKK17,
  author       = {Somnath Kundu and
                  Bongjin Kim and
                  Chris H. Kim},
  title        = {A 0.2-1.45-GHz Subsampling Fractional-N Digital {MDLL} With Zero-Offset
                  Aperture PD-Based Spur Cancellation and In Situ Static Phase Offset
                  Detection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {799--811},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2638432},
  doi          = {10.1109/JSSC.2016.2638432},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KunduKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KuoFCCJHMTSBS17,
  author       = {Feng{-}Wei Kuo and
                  Sandro Binsfeld Ferreira and
                  Huan{-}Neng Ron Chen and
                  Lan{-}Chou Cho and
                  Chewnpu Jou and
                  Fu{-}Lung Hsueh and
                  Iman Madadi and
                  Massoud Tohidian and
                  Mina Shahmohammadi and
                  Masoud Babaie and
                  Robert Bogdan Staszewski},
  title        = {A Bluetooth Low-Energy Transceiver With 3.7-mW All-Digital Transmitter,
                  2.75-mW High-IF Discrete-Time Receiver, and {TX/RX} Switchable On-Chip
                  Matching Network},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1144--1162},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2654322},
  doi          = {10.1109/JSSC.2017.2654322},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KuoFCCJHMTSBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeBKJLLKY17,
  author       = {Kyuho Jason Lee and
                  Kyeongryeol Bong and
                  Changhyeon Kim and
                  Jaeeun Jang and
                  Kyoung{-}Rog Lee and
                  Jihee Lee and
                  Gyeonghoon Kim and
                  Hoi{-}Jun Yoo},
  title        = {A 502-GOPS and 0.984-mW Dual-Mode Intelligent {ADAS} SoC With Real-Time
                  Semiglobal Matching and Intention Prediction for Smart Automotive
                  Black Box System},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {139--150},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2617317},
  doi          = {10.1109/JSSC.2016.2617317},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeBKJLLKY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeJKC17,
  author       = {Hyung{-}Min Lee and
                  Chiraag Juvekar and
                  Joyce Kwong and
                  Anantha P. Chandrakasan},
  title        = {A Nonvolatile Flip-Flop-Enabled Cryptographic Wireless Authentication
                  Tag With Per-Query Key Update and Power-Glitch Attack Countermeasures},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {272--283},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2611678},
  doi          = {10.1109/JSSC.2016.2611678},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeJKC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeLLCHWSYWKLLA17,
  author       = {Albert Lee and
                  Chieh{-}Pu Lo and
                  Chien{-}Chen Lin and
                  Wei{-}Hao Chen and
                  Kuo{-}Hsiang Hsu and
                  Zhibo Wang and
                  Fang Su and
                  Zhe Yuan and
                  Qi Wei and
                  Ya{-}Chin King and
                  Chrong Jung Lin and
                  Hochul Lee and
                  Pedram Khalili Amiri and
                  Kang{-}Lung Wang and
                  Yu Wang and
                  Huazhong Yang and
                  Yongpan Liu and
                  Meng{-}Fan Chang},
  title        = {A ReRAM-Based Nonvolatile Flip-Flop With Self-Write-Termination Scheme
                  for Frequent-OFF Fast-Wake-Up Nonvolatile Processors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2194--2207},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2700788},
  doi          = {10.1109/JSSC.2017.2700788},
  timestamp    = {Mon, 27 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeLLCHWSYWKLLA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeQSKKKPC17,
  author       = {Yong{-}Jin Lee and
                  Wanyuan Qu and
                  Shashank Singh and
                  Dae{-}Yong Kim and
                  Kwang{-}Ho Kim and
                  Sang{-}Ho Kim and
                  Jae{-}Jin Park and
                  Gyu{-}Hyeong Cho},
  title        = {A 200-mA Digital Low Drop-Out Regulator With Coarse-Fine Dual Loop
                  in Mobile Application Processor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {64--76},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2614308},
  doi          = {10.1109/JSSC.2016.2614308},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeQSKKKPC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeSB17,
  author       = {Inhee Lee and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {A Subthreshold Voltage Reference With Scalable Output Voltage for
                  Low-Power IoT Systems},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1443--1449},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2654326},
  doi          = {10.1109/JSSC.2017.2654326},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeW17,
  author       = {Edward H. Lee and
                  S. Simon Wong},
  title        = {Analysis and Design of a Passive Switched-Capacitor Matrix Multiplier
                  for Approximate Computing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {261--271},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2599536},
  doi          = {10.1109/JSSC.2016.2599536},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeiHMLMM17,
  author       = {Ka{-}Meng Lei and
                  Hadi Heidari and
                  Pui{-}In Mak and
                  Man{-}Kay Law and
                  Franco Maloberti and
                  Rui Paulo Martins},
  title        = {A Handheld High-Sensitivity Micro-NMR {CMOS} Platform With B-Field
                  Stabilization for Multi-Type Biological/Chemical Assays},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {284--297},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2591551},
  doi          = {10.1109/JSSC.2016.2591551},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeiHMLMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeichtM17,
  author       = {Joachim Leicht and
                  Yiannos Manoli},
  title        = {A 2.6 {\(\mathrm{\mu}\)}W -1.2 mW Autonomous Electromagnetic Vibration
                  Energy Harvester Interface {IC} with Conduction-Angle-Controlled {MPPT}
                  and up to 95{\%} Efficiency},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2448--2462},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2702667},
  doi          = {10.1109/JSSC.2017.2702667},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeichtM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Lenero-Bardallo17,
  author       = {Juan Antonio Le{\~{n}}ero{-}Bardallo and
                  Ricardo Carmona{-}Gal{\'{a}}n and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {A Wide Linear Dynamic Range Image Sensor Based on Asynchronous Self-Reset
                  and Tagging of Saturation Events},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1605--1617},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2679058},
  doi          = {10.1109/JSSC.2017.2679058},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Lenero-Bardallo17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiCCWL17,
  author       = {Alvin Li and
                  Yue Chao and
                  Xuan Chen and
                  Liang Wu and
                  Howard C. Luong},
  title        = {A Spur-and-Phase-Noise-Filtering Technique for Inductor-Less Fractional-N
                  Injection-Locked PLLs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2128--2140},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2688384},
  doi          = {10.1109/JSSC.2017.2688384},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiCCWL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiMS17,
  author       = {Shaolan Li and
                  Abhishek Mukherjee and
                  Nan Sun},
  title        = {A 174.3-dB FoM VCO-Based {CT} {\(\Delta\)}{\(\Sigma\)} Modulator With
                  a Fully-Digital Phase Extended Quantizer and Tri-Level Resistor {DAC}
                  in 130-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1940--1952},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693244},
  doi          = {10.1109/JSSC.2017.2693244},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiMS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiSKS17,
  author       = {Jiangyi Li and
                  Jae{-}sun Seo and
                  Ioannis Kymissis and
                  Mingoo Seok},
  title        = {Triple-Mode, Hybrid-Storage, Energy Harvesting Power Management Unit:
                  Achieving High Efficiency Against Harvesting and Load Power Variabilities},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2550--2562},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2715827},
  doi          = {10.1109/JSSC.2017.2715827},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiSKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiYU17,
  author       = {Mao{-}Ruei Li and
                  Chia{-}Hsiang Yang and
                  Yeong{-}Luh Ueng},
  title        = {A 5.28-Gb/s {LDPC} Decoder With Time-Domain Signal Processing for
                  {IEEE} 802.15.3c Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {592--604},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2624983},
  doi          = {10.1109/JSSC.2016.2624983},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiYU17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiaoWDXBH17,
  author       = {Dongyi Liao and
                  Hechen Wang and
                  Fa Foster Dai and
                  Yang Xu and
                  Roc Berenguer and
                  Sara Munoz Hermoso},
  title        = {An 802.11a/b/g/n Digital Fractional-N {PLL} With Automatic {TDC} Linearity
                  Calibration for Spur Cancellation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1210--1220},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2638882},
  doi          = {10.1109/JSSC.2016.2638882},
  timestamp    = {Tue, 12 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/LiaoWDXBH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LimCAMLBLHJK17,
  author       = {Hyun{-}Wook Lim and
                  Sung{-}Won Choi and
                  Jeong{-}Keun Ahn and
                  Woong{-}Ki Min and
                  Sang{-}Kyu Lee and
                  Chang{-}Hoon Baek and
                  Jae{-}Youl Lee and
                  Gyoo{-}Cheol Hwang and
                  Young{-}Hyun Jun and
                  Bai{-}Sun Kong},
  title        = {A 5.8-Gb/s Adaptive Integrating Duobinary {DFE} Receiver for Multi-Drop
                  Memory Interface},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1563--1575},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2675923},
  doi          = {10.1109/JSSC.2017.2675923},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LimCAMLBLHJK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LinH17,
  author       = {Yi{-}Wei Lin and
                  Shawn S. H. Hsu},
  title        = {A Sierpinski Space-Filling Clock Tree Using Multiply-by-3 Fractal-Coupled
                  Ring Oscillators},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2947--2962},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2732730},
  doi          = {10.1109/JSSC.2017.2732730},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LinH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LinWLGPLC17,
  author       = {Zhiting Lin and
                  Xiulong Wu and
                  Zhi Li and
                  Lijun Guan and
                  Chunyu Peng and
                  Changyong Liu and
                  Junning Chen},
  title        = {A Pipeline Replica Bitline Technique for Suppressing Timing Variation
                  of {SRAM} Sense Amplifiers in a 28-nm {CMOS} Process},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {669--677},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2634701},
  doi          = {10.1109/JSSC.2016.2634701},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LinWLGPLC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuEKSS17,
  author       = {Qiyuan Liu and
                  Alexander Edward and
                  Martin Kinyua and
                  Eric G. Soenen and
                  Jos{\'{e}} Silva{-}Mart{\'{\i}}nez},
  title        = {A Low-Power Digitizer for Back-Illuminated 3-D-Stacked {CMOS} Image
                  Sensor Readout With Passing Window and Double Auto-Zeroing Techniques},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1591--1604},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2661843},
  doi          = {10.1109/JSSC.2017.2661843},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuEKSS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuNZRW17,
  author       = {Dang Liu and
                  Xuwen Ni and
                  Ranran Zhou and
                  Woogeun Rhee and
                  Zhihua Wang},
  title        = {A 0.42-mW 1-Mb/s 3- to 4-GHz Transceiver in 0.18-{\(\mathrm{\mu}\)}m
                  {CMOS} With Flexible Efficiency, Bandwidth, and Distance Control for
                  IoT Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1479--1494},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2665644},
  doi          = {10.1109/JSSC.2017.2665644},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuNZRW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuRH17,
  author       = {Maoqiang Liu and
                  Arthur H. M. van Roermund and
                  Pieter Harpe},
  title        = {A 7.1-fJ/Conversion-Step 88-dB {SFDR} {SAR} {ADC} With Energy-Free
                  "Swap To Reset"},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2979--2990},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2742532},
  doi          = {10.1109/JSSC.2017.2742532},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuRH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LoedaHPA17,
  author       = {Sebastian Loeda and
                  Jeffrey Harrison and
                  Franck Pourchet and
                  Andrew Adams},
  title        = {Corrections to "A 10/20/30/40 MHz Feed-Forward {FIR} {DAC} Continuous-Time
                  {\(\Delta\)}{\(\Sigma\)} {ADC} With Robust Blocker Performance for
                  Radio Receivers"},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2515},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2723164},
  doi          = {10.1109/JSSC.2017.2723164},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LoedaHPA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LongCR17,
  author       = {John R. Long and
                  Jan Craninckx and
                  Behzad Razavi},
  title        = {Introducing Our Sister Publication: {IEEE} Solid-State Circuits Letters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2519--2520},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2748903},
  doi          = {10.1109/JSSC.2017.2748903},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LongCR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LuJK17,
  author       = {Yan Lu and
                  Junmin Jiang and
                  Wing{-}Hung Ki},
  title        = {A Multiphase Switched-Capacitor {DC-DC} Converter Ring With Fast Transient
                  Response and Small Ripple},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {579--591},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2617315},
  doi          = {10.1109/JSSC.2016.2617315},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/LuJK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LuoL17,
  author       = {Ye{-}Sing Luo and
                  Shen{-}Iuan Liu},
  title        = {A Voltage Multiplier With Adaptive Threshold Voltage Compensation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2208--2214},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693228},
  doi          = {10.1109/JSSC.2017.2693228},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LuoL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MalotauxBS17,
  author       = {Satoshi Malotaux and
                  Masoud Babaie and
                  Marco Spirito},
  title        = {A Total-Power Radiometer Front End in a 0.25-{\(\mathrm{\mu}\)} BiCMOS
                  Technology With Low 1/f-Corner},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2256--2266},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2705659},
  doi          = {10.1109/JSSC.2017.2705659},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MalotauxBS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ManianR17,
  author       = {Abishek Manian and
                  Behzad Razavi},
  title        = {A 40-Gb/s 14-mW {CMOS} Wireline Receiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2407--2421},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2705913},
  doi          = {10.1109/JSSC.2017.2705913},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ManianR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ManickamSMWBNJK17,
  author       = {Arun Manickam and
                  Rituraj Singh and
                  Mark W. McDermott and
                  Nicholas Wood and
                  Sara Bolouki and
                  Pejman Naraghi{-}Arani and
                  Kirsten A. Johnson and
                  Robert G. Kuimelis and
                  Gary K. Schoolnik and
                  Arjang Hassibi},
  title        = {A Fully Integrated {CMOS} Fluorescence Biochip for {DNA} and {RNA}
                  Testing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2857--2870},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2754363},
  doi          = {10.1109/JSSC.2017.2754363},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ManickamSMWBNJK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Manstretta17,
  author       = {Danilo Manstretta},
  title        = {Introduction to the Special Section on the 2016 Radio Frequency Integrated
                  Circuits {(RFIC)} Symposium},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1183--1184},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2678841},
  doi          = {10.1109/JSSC.2017.2678841},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Manstretta17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MatteisPRPDB17,
  author       = {Marcello De Matteis and
                  Alessandra Pipino and
                  Federica Resta and
                  Alessandro Pezzotta and
                  Stefano D'Amico and
                  Andrea Baschirotto},
  title        = {A 63-dB {DR} 22.5-MHz 21.5-dBm {IIP3} Fourth-Order {FLFB} Analog Filter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1977--1986},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693240},
  doi          = {10.1109/JSSC.2017.2693240},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MatteisPRPDB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MikiON17,
  author       = {Takuji Miki and
                  Toshiaki Ozeki and
                  Jun{-}ichi Naka},
  title        = {A 2-GS/s 8-bit Time-Interleaved {SAR} {ADC} for Millimeter-Wave Pulsed
                  Radar Baseband SoC},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2712--2720},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2732732},
  doi          = {10.1109/JSSC.2017.2732732},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MikiON17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MiyashitaKSD17,
  author       = {Daisuke Miyashita and
                  Shouhei Kousai and
                  Tomoya Suzuki and
                  Jun Deguchi},
  title        = {A Neuromorphic Chip Optimized for Deep Learning and {CMOS} Technology
                  With Time-Domain Analog and Digital Mixed-Signal Processing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2679--2689},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2712626},
  doi          = {10.1109/JSSC.2017.2712626},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MiyashitaKSD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MoazeniLWARPS17,
  author       = {Sajjad Moazeni and
                  Sen Lin and
                  Mark T. Wade and
                  Luca Alloatti and
                  Rajeev J. Ram and
                  Milos A. Popovic and
                  Vladimir Stojanovic},
  title        = {A 40-Gb/s {PAM-4} Transmitter Based on a Ring-Resonator Optical {DAC}
                  in 45-nm {SOI} {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3503--3516},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2748620},
  doi          = {10.1109/JSSC.2017.2748620},
  timestamp    = {Wed, 22 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MoazeniLWARPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MohanZGHYH17,
  author       = {Rachit Mohan and
                  Samira Zaliasl and
                  Georges G. E. Gielen and
                  Chris Van Hoof and
                  Refet Firat Yazicioglu and
                  Nick Van Helleputte},
  title        = {A 0.6-V, 0.015-mm\({}^{\mbox{2}}\), Time-Based {ECG} Readout for Ambulatory
                  Applications in 40-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {298--308},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2615320},
  doi          = {10.1109/JSSC.2016.2615320},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MohanZGHYH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MonacoAAEBM17,
  author       = {Enrico Monaco and
                  Gabriele Anzalone and
                  Guido Albasini and
                  Simone Erba and
                  Matteo Bassi and
                  Andrea Mazzanti},
  title        = {A 2-11 GHz 7-Bit High-Linearity Phase Rotator Based on Wideband Injection-Locking
                  Multi-Phase Generation for High-Speed Serial Links in 28-nm {CMOS}
                  {FDSOI}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1739--1752},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2702742},
  doi          = {10.1109/JSSC.2017.2702742},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MonacoAAEBM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MondalK17,
  author       = {Imon Mondal and
                  Nagendra Krishnapura},
  title        = {A 2-GHz Bandwidth, 0.25-1.7 ns True-Time-Delay Element Using a Variable-Order
                  All-Pass Filter Architecture in 0.13{\(\mathrm{\mu}\)}m {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2180--2193},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693229},
  doi          = {10.1109/JSSC.2017.2693229},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MondalK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MoonsV17,
  author       = {Bert Moons and
                  Marian Verhelst},
  title        = {An Energy-Efficient Precision-Scalable ConvNet Processor in 40-nm
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {903--914},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2636225},
  doi          = {10.1109/JSSC.2016.2636225},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MoonsV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MostajeranCA17,
  author       = {Ali Mostajeran and
                  Andreia Cathelin and
                  Ehsan Afshari},
  title        = {A 170-GHz Fully Integrated Single-Chip {FMCW} Imaging Radar with 3-D
                  Imaging Capability},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2721--2734},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2725963},
  doi          = {10.1109/JSSC.2017.2725963},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MostajeranCA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MoyHRWCWSV17,
  author       = {Tiffany Moy and
                  Liechao Huang and
                  Warren Rieutort{-}Louis and
                  Can Wu and
                  Paul Cuff and
                  Sigurd Wagner and
                  James C. Sturm and
                  Naveen Verma},
  title        = {An {EEG} Acquisition and Biomarker-Extraction System Using Low-Noise-Amplifier
                  and Compressive-Sensing Circuits Based on Flexible, Thin-Film Electronics},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {309--321},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2598295},
  doi          = {10.1109/JSSC.2016.2598295},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MoyHRWCWSV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MuraliNB17,
  author       = {Pramod Murali and
                  Ali M. Niknejad and
                  Bernhard E. Boser},
  title        = {{CMOS} Microflow Cytometer for Magnetic Label Detection and Classification},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {543--555},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2621036},
  doi          = {10.1109/JSSC.2016.2621036},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MuraliNB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MurphyDW17,
  author       = {David Murphy and
                  Hooman Darabi and
                  Hao Wu},
  title        = {Implicit Common-Mode Resonance in {LC} Oscillators},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {812--821},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2642207},
  doi          = {10.1109/JSSC.2016.2642207},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MurphyDW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NaSKKJ17,
  author       = {Taehui Na and
                  Byungkyu Song and
                  Jung Pill Kim and
                  Seung{-}Hyuk Kang and
                  Seong{-}Ook Jung},
  title        = {Offset-Canceling Current-Sampling Sense Amplifier for Resistive Nonvolatile
                  Memory in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {496--504},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2612235},
  doi          = {10.1109/JSSC.2016.2612235},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/NaSKKJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NazariJH17,
  author       = {Peyman Nazari and
                  Saman Jafarlou and
                  Payam Heydari},
  title        = {Analysis and Design of a Millimeter-Wave Cavity-Backed Circularly
                  Polarized Radiator Based on Fundamental Theory of Multi-Port Oscillators},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3293--3311},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2753823},
  doi          = {10.1109/JSSC.2017.2753823},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NazariJH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NgKAK17,
  author       = {Herman Jalli Ng and
                  Maciej Kucharski and
                  Wael A. Ahmad and
                  Dietmar Kissinger},
  title        = {Multi-Purpose Fully Differential 61- and 122-GHz Radar Transceivers
                  for Scalable {MIMO} Sensor Platforms},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2242--2255},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2704602},
  doi          = {10.1109/JSSC.2017.2704602},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NgKAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OguraKKTOSKAK17,
  author       = {Taku Ogura and
                  Yasushi Kasa and
                  Kazuhide Kurosaki and
                  Mitsuhiro Tomoeda and
                  Hisakazu Otoi and
                  Satoshi Shimizu and
                  Masafumi Katsumata and
                  Natsuo Ajika and
                  Kazuo Kobayashi},
  title        = {A 58-nm 2-Gb {MLC} "B4-Flash" Memory with Flexible Multisector Architecture},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1435--1442},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2661982},
  doi          = {10.1109/JSSC.2017.2661982},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OguraKKTOSKAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OikeAHNKSKNSSKN17,
  author       = {Yusuke Oike and
                  Kentaro Akiyama and
                  Luong D. Hung and
                  Wataru Niitsuma and
                  Akihiko Kato and
                  Mamoru Sato and
                  Yuri Kato and
                  Wataru Nakamura and
                  Hiroshi Shiroshita and
                  Yorito Sakano and
                  Yoshiaki Kitano and
                  Takuya Nakamura and
                  Takayuki Toyama and
                  Hayato Iwamoto and
                  Takayuki Ezaki},
  title        = {8.3 M-Pixel 480-fps Global-Shutter {CMOS} Image Sensor with Gain-Adaptive
                  Column ADCs and Chip-on-Chip Stacked Integration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {985--993},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2639741},
  doi          = {10.1109/JSSC.2016.2639741},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OikeAHNKSKNSSKN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OnukiUIAOKYWSWM17,
  author       = {Tatsuya Onuki and
                  Wataru Uesugi and
                  Atsuo Isobe and
                  Yoshinori Ando and
                  Satoru Okamoto and
                  Kiyoshi Kato and
                  Tri Rung Yew and
                  J. Y. Wu and
                  Chi Chang Shuai and
                  Shao Hui Wu and
                  James Myers and
                  Klaus Doppler and
                  Masahiro Fujita and
                  Shunpei Yamazaki},
  title        = {Embedded Memory and {ARM} Cortex-M0 Core Using 60-nm C-Axis Aligned
                  Crystalline Indium-Gallium-Zinc Oxide {FET} Integrated With 65-nm
                  Si {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {925--932},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2632303},
  doi          = {10.1109/JSSC.2016.2632303},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OnukiUIAOKYWSWM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OzkayaCFMMBKKBP17,
  author       = {Ilter {\"{O}}zkaya and
                  Alessandro Cevrero and
                  Pier Andrea Francese and
                  Christian Menolfi and
                  Thomas Morf and
                  Matthias Braendli and
                  Daniel M. Kuchta and
                  Lukas Kull and
                  Christian W. Baks and
                  Jonathan E. Proesel and
                  Marcel A. Kossel and
                  Danny Luu and
                  Benjamin G. Lee and
                  Fuad E. Doany and
                  Mounir Meghelli and
                  Yusuf Leblebici and
                  Thomas Toifl},
  title        = {A 64-Gb/s 1.4-pJ/b {NRZ} Optical Receiver Data-Path in 14-nm {CMOS}
                  FinFET},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3458--3473},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2734913},
  doi          = {10.1109/JSSC.2017.2734913},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OzkayaCFMMBKKBP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PaidimarriC17,
  author       = {Arun Paidimarri and
                  Anantha P. Chandrakasan},
  title        = {A Wide Dynamic Range Buck Converter With Sub-nW Quiescent Power},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3119--3131},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2747217},
  doi          = {10.1109/JSSC.2017.2747217},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PaidimarriC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ParkKBCC17,
  author       = {Sang{-}Hui Park and
                  Hyunsik Kim and
                  Jun{-}Suk Bang and
                  Gyu{-}Hyeong Cho},
  title        = {A 0.26-nJ/node, 400-kHz Tx Driving, Filtered Fully Differential Readout
                  {IC} With Parasitic {RC} Time Delay Reduction Technique for 65-in
                  169{\texttimes}97 Capacitive-Type Touch Screen Panel},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {528--542},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2621020},
  doi          = {10.1109/JSSC.2016.2621020},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ParkKBCC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PaulHKMAGSMWVTD17,
  author       = {Somnath Paul and
                  Vinayak Honkote and
                  Ryan Gary Kim and
                  Turbo Majumder and
                  Paolo A. Aseron and
                  Vaughn Grossnickle and
                  Robert Sankman and
                  Debendra Mallik and
                  Tao Wang and
                  Sriram R. Vangal and
                  James W. Tschanz and
                  Vivek De},
  title        = {A Sub-cm\({}^{\mbox{3}}\) Energy-Harvesting Stacked Wireless Sensor
                  Node Featuring a Near-Threshold Voltage {IA-32} Microcontroller in
                  14-nm Tri-Gate {CMOS} for Always-ON Always-Sensing Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {961--971},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2638465},
  doi          = {10.1109/JSSC.2016.2638465},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PaulHKMAGSMWVTD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PengYMYM17,
  author       = {Xingqiang Peng and
                  Jun Yin and
                  Pui{-}In Mak and
                  Wei{-}Han Yu and
                  Rui Paulo Martins},
  title        = {A 2.4-GHz ZigBee Transmitter Using a Function-Reuse Class-F {DCO-PA}
                  and an {ADPLL} Achieving 22.6{\%} (14.5{\%}) System Efficiency at
                  6-dBm (0-dBm) P\({}_{\mbox{out}}\)},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1495--1508},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2672990},
  doi          = {10.1109/JSSC.2017.2672990},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PengYMYM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PepeZ17,
  author       = {Domenico Pepe and
                  Domenico Zito},
  title        = {Two mm-Wave Vector Modulator Active Phase Shifters With Novel {IQ}
                  Generator in 28 nm {FDSOI} {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {344--356},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2605659},
  doi          = {10.1109/JSSC.2016.2605659},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PepeZ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PerenzoniPS17,
  author       = {Matteo Perenzoni and
                  Daniele Perenzoni and
                  David Stoppa},
  title        = {A 64 {\texttimes} 64-Pixels Digital Silicon Photomultiplier Direct
                  {TOF} Sensor With 100-MPhotons/s/pixel Background Rejection and Imaging/Altimeter
                  Mode With 0.14{\%} Precision Up To 6 km for Spacecraft Navigation
                  and Landing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {151--160},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2623635},
  doi          = {10.1109/JSSC.2016.2623635},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PerenzoniPS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PiessensRHMM17,
  author       = {Tim Piessens and
                  Seung{-}Tak Ryu and
                  Chih{-}Ming Hung and
                  Alyosha C. Molnar and
                  Mounir Meghelli},
  title        = {Introduction to the Special Issue on the 2017 {IEEE} International
                  Solid-State Circuits Conference},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3115--3118},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2767238},
  doi          = {10.1109/JSSC.2017.2767238},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PiessensRHMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/QiMM17,
  author       = {Gengzhen Qi and
                  Pui{-}In Mak and
                  Rui Paulo Martins},
  title        = {A 0.038-mm\({}^{\mbox{2}}\) SAW-Less Multiband Transceiver Using an
                  N-Path {SC} Gain Loop},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2055--2070},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2697409},
  doi          = {10.1109/JSSC.2017.2697409},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/QiMM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/QuSLSC17,
  author       = {Wanyuan Qu and
                  Shashank Singh and
                  Yong{-}Jin Lee and
                  Young{-}Suk Son and
                  Gyu{-}Hyeong Cho},
  title        = {Design-Oriented Analysis for Miller Compensation and Its Application
                  to Multistage Amplifier Design},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {517--527},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2619677},
  doi          = {10.1109/JSSC.2016.2619677},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/QuSLSC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RagabS17,
  author       = {Kareem Ragab and
                  Nan Sun},
  title        = {A 12-b {ENOB} 2.5-MHz {BW} VCO-Based 0-1 {MASH} {ADC} With Direct
                  Digital Background Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {433--447},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2615321},
  doi          = {10.1109/JSSC.2016.2615321},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RagabS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RahmanYLSTSY17,
  author       = {Wahid Rahman and
                  Danny Yoo and
                  Joshua Liang and
                  Ali Sheikholeslami and
                  Hirotaka Tamura and
                  Takayuki Shibasaki and
                  Hisakatsu Yamaguchi},
  title        = {A 22.5-to-32-Gb/s 3.2-pJ/b Referenceless Baud-Rate Digital {CDR} With
                  {DFE} and {CTLE} in 28-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3517--3531},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2744661},
  doi          = {10.1109/JSSC.2017.2744661},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RahmanYLSTSY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RainaTC17,
  author       = {Priyanka Raina and
                  Mehul Tikekar and
                  Anantha P. Chandrakasan},
  title        = {An Energy-Scalable Accelerator for Blind Image Deblurring},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1849--1862},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2682842},
  doi          = {10.1109/JSSC.2017.2682842},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RainaTC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RajaviGKKKF17,
  author       = {Yashar Rajavi and
                  Mohammad Mahdi Ghahramani and
                  Alireza Khalili and
                  Amirpouya Kavousian and
                  Beomsup Kim and
                  Michael P. Flynn},
  title        = {A 48-MHz Differential Crystal Oscillator With 168-fs Jitter in 28-nm
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2735--2745},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2728781},
  doi          = {10.1109/JSSC.2017.2728781},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RajaviGKKKF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RajaviTAMP17,
  author       = {Yashar Rajavi and
                  Mazhareddin Taghivand and
                  Kamal Aggarwal and
                  Andrew Ma and
                  Ada S. Y. Poon},
  title        = {An RF-Powered {FDD} Radio for Neural Microimplants},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1221--1229},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2645601},
  doi          = {10.1109/JSSC.2016.2645601},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RajaviTAMP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RamellaFMC17,
  author       = {Matteo Ramella and
                  Ivan Fabiano and
                  Danilo Manstretta and
                  Rinaldo Castello},
  title        = {A SAW-Less 2.4-GHz Receiver Front-End With 2.4-mA Battery Current
                  for SoC Coexistence},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2292--2305},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2703828},
  doi          = {10.1109/JSSC.2017.2703828},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RamellaFMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ReiskarimianZK17,
  author       = {Negar Reiskarimian and
                  Jin Zhou and
                  Harish Krishnaswamy},
  title        = {A {CMOS} Passive {LPTV} Nonmagnetic Circulator and Its Application
                  in a Full-Duplex Receiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1358--1372},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2647924},
  doi          = {10.1109/JSSC.2017.2647924},
  timestamp    = {Thu, 01 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ReiskarimianZK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ReyserhoveD17,
  author       = {Hans Reyserhove and
                  Wim Dehaene},
  title        = {A Differential Transmission Gate Design Flow for Minimum Energy Sub-10-pJ/Cycle
                  {ARM} Cortex-M0 MCUs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1904--1914},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693241},
  doi          = {10.1109/JSSC.2017.2693241},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ReyserhoveD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RooseMASMRGD17,
  author       = {Florian De Roose and
                  Kris Myny and
                  Marc Ameys and
                  Jan{-}Laurens P. J. van der Steen and
                  Joris Maas and
                  Joris de Riet and
                  Jan Genoe and
                  Wim Dehaene},
  title        = {A Thin-Film, a-IGZO, 128b {SRAM} and {LPROM} Matrix With Integrated
                  Periphery on Flexible Foil},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3095--3103},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2731808},
  doi          = {10.1109/JSSC.2017.2731808},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/RooseMASMRGD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Roshan-ZamirEYP17,
  author       = {Ashkan Roshan{-}Zamir and
                  Osama Elhadidy and
                  Hae{-}Woong Yang and
                  Samuel Palermo},
  title        = {A Reconfigurable 16/32 Gb/s Dual-Mode {NRZ/PAM4} SerDes in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2430--2447},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2705070},
  doi          = {10.1109/JSSC.2017.2705070},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Roshan-ZamirEYP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RoshanZJSPCSPMD17,
  author       = {Meisam Heidarpour Roshan and
                  Samira Zaliasl and
                  Kimo Joo and
                  Kamran Souri and
                  Rajkumar Palwai and
                  Lijun Will Chen and
                  Amanpreet Singh and
                  Sudhakar Pamarti and
                  Nicholas Miller and
                  Joseph C. Doll and
                  Carl Arft and
                  Sassan Tabatabaei and
                  Carl Sechen and
                  Aaron Partridge and
                  Vinod Menon},
  title        = {A MEMS-Assisted Temperature Sensor With 20-{\(\mathrm{\mu}\)}K Resolution,
                  Conversion Rate of 200 S/s, and {FOM} of 0.04 pJK2},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {185--197},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2621035},
  doi          = {10.1109/JSSC.2016.2621035},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/RoshanZJSPCSPMD17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RoveratoKCVSBKS17,
  author       = {Enrico Roverato and
                  Marko Kosunen and
                  Koen Cornelissens and
                  Sofia Vatti and
                  Paul Stynen and
                  Kaoutar Bertrand and
                  Teuvo Korhonen and
                  Hans Samsom and
                  Patrick Vandenameele and
                  Jussi Ryyn{\"{a}}nen},
  title        = {All-Digital {LTE} SAW-Less Transmitter With DSP-Based Programming
                  of RX-Band Noise},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3434--3445},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2761781},
  doi          = {10.1109/JSSC.2017.2761781},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RoveratoKCVSBKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SNAA17,
  author       = {Sreenivasa Mallia S and
                  N. S. Sreeram and
                  Sudhir Komarla Adinarayana and
                  Sankaran Aniruddhan},
  title        = {A Self-Powered 50-Mb/s {OOK} Transmitter for Optoisolator {LED} Emulation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {678--687},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2633577},
  doi          = {10.1109/JSSC.2016.2633577},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SNAA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SaadehAAY17,
  author       = {Wala Saadeh and
                  Muhammad Awais Bin Altaf and
                  Haneen Alsuradi and
                  Jerald Yoo},
  title        = {A Pseudo {OFDM} With Miniaturized {FSK} Demodulation Body-Coupled
                  Communication Transceiver for Binaural Hearing Aids in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {757--768},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2639536},
  doi          = {10.1109/JSSC.2016.2639536},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SaadehAAY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SaadehAAY17a,
  author       = {Wala Saadeh and
                  Muhammad Awais Bin Altaf and
                  Haneen Alsuradi and
                  Jerald Yoo},
  title        = {A 1.1-mW Ground Effect-Resilient Body-Coupled Communication Transceiver
                  With Pseudo {OFDM} for Head and Body Area Network},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2690--2702},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2713522},
  doi          = {10.1109/JSSC.2017.2713522},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SaadehAAY17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SadhuTHSRRSHMBW17,
  author       = {Bodhisatwa Sadhu and
                  Yahya M. Tousi and
                  Joakim Hallin and
                  Stefan Sahl and
                  Scott K. Reynolds and
                  Orjan Renstrom and
                  Kristoffer Sjogren and
                  Olov Haapalahti and
                  Nadav Mazor and
                  Bo Bokinge and
                  Gustaf Weibull and
                  H{\aa}kan Bengtsson and
                  Anders Carlinger and
                  Eric Westesson and
                  Jan{-}Erik Thillberg and
                  Leonard Rexberg and
                  Mark Yeck and
                  Xiaoxiong Gu and
                  Mark A. Ferriss and
                  Duixian Liu and
                  Daniel J. Friedman and
                  Alberto Valdes{-}Garcia},
  title        = {A 28-GHz 32-Element {TRX} Phased-Array {IC} With Concurrent Dual-Polarized
                  Operation and Orthogonal Phase and Gain Control for 5G Communications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3373--3391},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2766211},
  doi          = {10.1109/JSSC.2017.2766211},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SadhuTHSRRSHMBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SadhuV17,
  author       = {Bodhisatwa Sadhu and
                  Sorin P. Voinigescu},
  title        = {Introduction to the Special Section on the 2016 {IEEE} {BCTM} and
                  {IEEE} {CSICS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2224--2225},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2731178},
  doi          = {10.1109/JSSC.2017.2731178},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SadhuV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SalemBM17,
  author       = {Loai G. Salem and
                  James F. Buckwalter and
                  Patrick P. Mercier},
  title        = {A Recursive Switched-Capacitor House-of-Cards Power Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1719--1738},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2703781},
  doi          = {10.1109/JSSC.2017.2703781},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SalemBM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SanyalS17,
  author       = {Arindam Sanyal and
                  Nan Sun},
  title        = {An Energy-Efficient Hybrid {SAR-VCO} {\(\Delta\)}{\(\Sigma\)} Capacitance-to-Digital
                  Converter in 40-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1966--1976},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2693237},
  doi          = {10.1109/JSSC.2017.2693237},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SanyalS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SarfrazHC17,
  author       = {Khawar Sarfraz and
                  Jin He and
                  Mansun Chan},
  title        = {A 140-mV Variation-Tolerant Deep Sub-Threshold {SRAM} in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2215--2220},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2707392},
  doi          = {10.1109/JSSC.2017.2707392},
  timestamp    = {Fri, 01 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SarfrazHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SarkarAF17,
  author       = {Anirban Sarkar and
                  Farshid Aryanfar and
                  Brian A. Floyd},
  title        = {A 28-GHz SiGe BiCMOS {PA} With 32{\%} Efficiency and 23-dBm Output
                  Power},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1680--1686},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2686585},
  doi          = {10.1109/JSSC.2017.2686585},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SarkarAF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SatpathyMSAKAHC17,
  author       = {Sudhir Satpathy and
                  Sanu K. Mathew and
                  Vikram B. Suresh and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Amit Agarwal and
                  Steven Hsu and
                  Gregory K. Chen and
                  Ram K. Krishnamurthy and
                  Vivek K. De},
  title        = {A 4-fJ/b Delay-Hardened Physically Unclonable Function Circuit With
                  Selective Bit Destabilization in 14-nm Trigate {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {940--949},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2636859},
  doi          = {10.1109/JSSC.2016.2636859},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/SatpathyMSAKAHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SaxenaSNTEACH17,
  author       = {Saurabh Saxena and
                  Guanghua Shu and
                  Romesh Kumar Nandwana and
                  Mrunmay Talegaonkar and
                  Ahmed Elkholy and
                  Tejasvi Anand and
                  Woo{-}Seok Choi and
                  Pavan Kumar Hanumolu},
  title        = {A 2.8 mW/Gb/s, 14 Gb/s Serial Link Transceiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1399--1411},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2645738},
  doi          = {10.1109/JSSC.2016.2645738},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SaxenaSNTEACH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SchaefDS17,
  author       = {Christopher Schaef and
                  Eric Din and
                  Jason T. Stauth},
  title        = {A Hybrid Switched-Capacitor Battery Management {IC} With Embedded
                  Diagnostics for Series-Stacked Li-Ion Arrays},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3142--3154},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2734902},
  doi          = {10.1109/JSSC.2017.2734902},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SchaefDS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SchinkelGMKIGB17,
  author       = {Dani{\"{e}}l Schinkel and
                  Wouter Groothedde and
                  Fred Mostert and
                  Marto{-}Jan Koerts and
                  Eric van Iersel and
                  Daniel Groeneveld and
                  Lucien J. Breems},
  title        = {A Multiphase Class-D Automotive Audio Amplifier With Integrated Low-Latency
                  ADCs for Digitized Feedback After the Output Filter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3181--3193},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2731812},
  doi          = {10.1109/JSSC.2017.2731812},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SchinkelGMKIGB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SchmitzGBHWS17,
  author       = {Joseph A. Schmitz and
                  Mahir Kabeer Gharzai and
                  Sina Balkir and
                  Michael W. Hoffman and
                  Daniel J. White and
                  Nathan Schemm},
  title        = {A 1000 frames/s Vision Chip Using Scalable Pixel-Neighborhood-Level
                  Parallel Processing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {556--568},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2613094},
  doi          = {10.1109/JSSC.2016.2613094},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SchmitzGBHWS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShalmanyDM17,
  author       = {Saleh Heidary Shalmany and
                  Dieter Draxelmayr and
                  Kofi A. A. Makinwa},
  title        = {A {\(\pm\)}36-A Integrated Current-Sensing System With a 0.3{\%} Gain
                  Error and a 400-{\(\mathrm{\mu}\)}A Offset From -55 {\textdegree}C
                  to +85 {\textdegree}C},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1034--1043},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2639535},
  doi          = {10.1109/JSSC.2016.2639535},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShalmanyDM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SharmaPLNLSR17,
  author       = {Ajit Sharma and
                  Arup Polley and
                  Seung Bae Lee and
                  Sriram Narayanan and
                  Wen Li and
                  Terry Sculley and
                  Srinath Ramaswamy},
  title        = {A Sub-60-{\(\mathrm{\mu}\)}A Multimodal Smart Biosensing SoC With
                  {\textgreater}80-dB SNR, 35-{\(\mathrm{\mu}\)}A Photoplethysmography
                  Signal Chain},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1021--1033},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2642205},
  doi          = {10.1109/JSSC.2016.2642205},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SharmaPLNLSR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShiS17,
  author       = {Congyin Shi and
                  Edgar S{\'{a}}nchez{-}Sinencio},
  title        = {On-Chip Two-Tone Synthesizer Based on a Mixing-FIR Architecture},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2105--2116},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2690872},
  doi          = {10.1109/JSSC.2017.2690872},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShiS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShibaharaTFKIHS17,
  author       = {Shinichi Shibahara and
                  Chikafumi Takahashi and
                  Kazuki Fukuoka and
                  Yuko Kitaji and
                  Takahiro Irita and
                  Hirotaka Hara and
                  Yasuhisa Shimazaki and
                  Jun Matsushima},
  title        = {A 16 nm FinFET Heterogeneous Nona-Core SoC Supporting {ISO26262} {ASIL}
                  {B} Standard},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {77--88},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2623682},
  doi          = {10.1109/JSSC.2016.2623682},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShibaharaTFKIHS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShibataKJGZPZPP17,
  author       = {Hajime Shibata and
                  Victor Kozlov and
                  Zexi Ji and
                  Asha Ganesan and
                  Haiyang Zhu and
                  Donald Paterson and
                  Jialin Zhao and
                  Sharvil Patil and
                  Shanthi Pavan},
  title        = {A 9-GS/s 1.125-GHz {BW} Oversampling Continuous-Time Pipeline {ADC}
                  Achieving -164-dBFS/Hz {NSD}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3219--3234},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2747128},
  doi          = {10.1109/JSSC.2017.2747128},
  timestamp    = {Fri, 12 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShibataKJGZPZPP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShimJMBSKSBJ17,
  author       = {Minseob Shim and
                  Seokhyeon Jeong and
                  Paul D. Myers and
                  Suyoung Bang and
                  Junhua Shen and
                  Chulwoo Kim and
                  Dennis Sylvester and
                  David T. Blaauw and
                  Wanyeong Jung},
  title        = {Edge-Pursuit Comparator: An Energy-Scalable Oscillator Collapse-Based
                  Comparator With Application in a 74.1 dB {SNDR} and 20 kS/s 15 b {SAR}
                  {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1077--1090},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2631299},
  doi          = {10.1109/JSSC.2016.2631299},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShimJMBSKSBJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShinH17,
  author       = {Hundo Shin and
                  Ramesh Harjani},
  title        = {Low-Power Wideband Analog Channelization Filter Bank Using Passive
                  Polyphase-FFT Techniques},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1753--1767},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2700792},
  doi          = {10.1109/JSSC.2017.2700792},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShinH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SinhaRPP17,
  author       = {Neha Sinha and
                  Mansour Rachid and
                  Shanthi Pavan and
                  Sudhakar Pamarti},
  title        = {Design and Analysis of an 8 mW, 1 GHz Span, Passive Spectrum Scanner
                  With {\textgreater}+31 dBm Out-of-Band {IIP3} Using Periodically Time-Varying
                  Circuit Components},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2009--2025},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2697412},
  doi          = {10.1109/JSSC.2017.2697412},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/SinhaRPP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SoerKBNV17,
  author       = {Michiel C. M. Soer and
                  Eric A. M. Klumperink and
                  Dirk{-}Jan van den Broek and
                  Bram Nauta and
                  Frank E. van Vliet},
  title        = {Beamformer With Constant-Gm Vector Modulators and Its Spatial Intermodulation
                  Distortion},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {735--746},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2639545},
  doi          = {10.1109/JSSC.2016.2639545},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SoerKBNV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SohnYOOSPSJSRYJ17,
  author       = {Kyomin Sohn and
                  Won{-}Joo Yun and
                  Reum Oh and
                  Chi{-}Sung Oh and
                  Seong{-}Young Seo and
                  Min{-}Sang Park and
                  Dong{-}Hak Shin and
                  Won{-}Chang Jung and
                  Sang{-}Hoon Shin and
                  Je{-}Min Ryu and
                  Hye{-}Seung Yu and
                  Jae{-}Hun Jung and
                  Hyunui Lee and
                  Seok{-}Yong Kang and
                  Young{-}Soo Sohn and
                  Jung{-}Hwan Choi and
                  Yong{-}Cheol Bae and
                  Seong{-}Jin Jang and
                  Gyo{-}Young Jin},
  title        = {A 1.2 {V} 20 nm 307 GB/s {HBM} {DRAM} With At-Speed Wafer-Level {IO}
                  Test Scheme and Adaptive Refresh Considering Temperature Distribution},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {250--260},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2602221},
  doi          = {10.1109/JSSC.2016.2602221},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SohnYOOSPSJSRYJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SongRPKYKBJKCJC17,
  author       = {Taejoong Song and
                  Woojin Rim and
                  Sunghyun Park and
                  Yongho Kim and
                  Giyong Yang and
                  Hoonki Kim and
                  Sanghoon Baek and
                  Jonghoon Jung and
                  Bongjae Kwon and
                  Sungwee Cho and
                  Hyuntaek Jung and
                  Yongjae Choo and
                  Jaeseung Choi},
  title        = {A 10 nm FinFET 128 Mb {SRAM} With Assist Adjustment System for Power,
                  Performance, and Area Optimization},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {240--249},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2609386},
  doi          = {10.1109/JSSC.2016.2609386},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SongRPKYKBJKCJC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SongRTS17,
  author       = {Jeonggoo Song and
                  Kareem Ragab and
                  Xiyuan Tang and
                  Nan Sun},
  title        = {A 10-b 800-MS/s Time-Interleaved {SAR} {ADC} With Fast Variance-Based
                  Timing-Skew Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2563--2575},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2713523},
  doi          = {10.1109/JSSC.2017.2713523},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SongRTS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SonmezSM17,
  author       = {Ugur Sonmez and
                  Fabio Sebastiano and
                  Kofi A. A. Makinwa},
  title        = {Compact Thermal-Diffusivity-Based Temperature Sensors in 40-nm {CMOS}
                  for SoC Thermal Monitoring},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {834--843},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2646798},
  doi          = {10.1109/JSSC.2016.2646798},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SonmezSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/StreelSGDFCB17,
  author       = {Guerric de Streel and
                  Fran{\c{c}}ois Stas and
                  Thibaut Gurne and
                  Fran{\c{c}}ois Durant and
                  Charlotte Frenkel and
                  Andreia Cathelin and
                  David Bol},
  title        = {SleepTalker: {A} {ULV} 802.15.4a {IR-UWB} Transmitter SoC in 28-nm
                  {FDSOI} Achieving 14 pJ/b at 27 Mb/s With Channel Selection Based
                  on Adaptive {FBB} and Digitally Programmable Pulse Shaping},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1163--1177},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2645607},
  doi          = {10.1109/JSSC.2016.2645607},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/StreelSGDFCB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SuarezSFCCR17,
  author       = {Manuel Suarez and
                  V{\'{\i}}ctor Manuel Brea S{\'{a}}nchez and
                  Jorge Fern{\'{a}}ndez{-}Berni and
                  Ricardo Carmona{-}Gal{\'{a}}n and
                  Diego Cabello and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {Low-Power {CMOS} Vision Sensor for Gaussian Pyramid Extraction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {483--495},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2610580},
  doi          = {10.1109/JSSC.2016.2610580},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SuarezSFCCR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SuleimanZS17,
  author       = {Amr Suleiman and
                  Zhengdong Zhang and
                  Vivienne Sze},
  title        = {A 58.6 mW 30 Frames/s Real-Time Programmable Multiobject Detection
                  Accelerator With Deformable Parts Models on Full {HD} 1920{\texttimes}1080
                  Videos},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {844--855},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2648820},
  doi          = {10.1109/JSSC.2017.2648820},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SuleimanZS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SunYJMAB17,
  author       = {Ming Sun and
                  Zhe Yang and
                  Kishan Joshi and
                  Debashis Mandal and
                  Philippe Adell and
                  Bertan Bakkaloglu},
  title        = {A 6 A, 93{\%} Peak Efficiency, 4-Phase Digitally Synchronized Hysteretic
                  Buck Converter With {\(\pm\)}1.5{\%} Frequency and {\(\pm\)}3.6{\%}
                  Current-Sharing Error},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3081--3094},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2744618},
  doi          = {10.1109/JSSC.2017.2744618},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SunYJMAB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SundaramGNBKLRR17,
  author       = {Sriram Sundaram and
                  Aaron Grenat and
                  Samuel Naffziger and
                  Tom Burd and
                  Stephen Kosonocky and
                  Steven Liepe and
                  Ravinder Rachala and
                  Miguel Rodriguez and
                  Michael Austin and
                  Sriram Sambamurthy},
  title        = {Bristol Ridge: {A} 28-nm {\texttimes} 86 Performance-Enhanced Microprocessor
                  Through System Power Management},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {89--97},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2623637},
  doi          = {10.1109/JSSC.2016.2623637},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SundaramGNBKLRR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SylvesterMGKM17,
  author       = {Dennis Sylvester and
                  Dejan Markovic and
                  Roman Genov and
                  Atsushi Kawasumi and
                  Subhasish Mitra},
  title        = {Introduction to the January Special Issue on the 2016 {IEEE} International
                  Solid-State Circuits Conference},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {3--7},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2635358},
  doi          = {10.1109/JSSC.2016.2635358},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SylvesterMGKM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TalegaonkarAEEN17,
  author       = {Mrunmay Talegaonkar and
                  Tejasvi Anand and
                  Ahmed Elkholy and
                  Amr Elshazly and
                  Romesh Kumar Nandwana and
                  Saurabh Saxena and
                  Brian Young and
                  Woo{-}Seok Choi and
                  Pavan Kumar Hanumolu},
  title        = {A 5GHz Digital Fractional-N {PLL} Using a 1-bit Delta-Sigma Frequency-to-Digital
                  Converter in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2306--2320},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2718670},
  doi          = {10.1109/JSSC.2017.2718670},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TalegaonkarAEEN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TanK17,
  author       = {Min Tan and
                  Wing{-}Hung Ki},
  title        = {A 100 MHz Hybrid Supply Modulator With Ripple-Current-Based {PWM}
                  Control},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {569--578},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2611513},
  doi          = {10.1109/JSSC.2016.2611513},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/TanK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TangK17,
  author       = {Qianying Tang and
                  Chris H. Kim},
  title        = {Characterizing the Impact of {RTN} on Logic and {SRAM} Operation Using
                  a Dual Ring Oscillator Array Circuit},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1655--1663},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2681809},
  doi          = {10.1109/JSSC.2017.2681809},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TangK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TengH17,
  author       = {Kok{-}Hin Teng and
                  Chun{-}Huat Heng},
  title        = {A 370-pJ/b Multichannel {BFSK/QPSK} Transmitter Using Injection-Locked
                  Fractional-N Synthesizer for Wireless Biotelemetry Devices},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {867--880},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2650407},
  doi          = {10.1109/JSSC.2017.2650407},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TengH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TownleySTBGPLN17,
  author       = {Andrew Townley and
                  Paul Swirhun and
                  Diane Titz and
                  Aimeric Bisognin and
                  Frederic Gianesello and
                  Romain Pilard and
                  Cyril Luxey and
                  Ali M. Niknejad},
  title        = {A 94-GHz 4TX-4RX Phased-Array {FMCW} Radar Transceiver With Antenna-in-Package},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1245--1259},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2675907},
  doi          = {10.1109/JSSC.2017.2675907},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TownleySTBGPLN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TsaiYWL17,
  author       = {Chang{-}Hung Tsai and
                  Wan{-}Ju Yu and
                  Wing Hung Wong and
                  Chen{-}Yi Lee},
  title        = {A 41.3/26.7 pJ per Neuron Weight {RBM} Processor Supporting On-Chip
                  Learning/Inference for IoT Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2601--2612},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2715171},
  doi          = {10.1109/JSSC.2017.2715171},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TsaiYWL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TuWL17,
  author       = {Chih{-}Chan Tu and
                  Yu{-}Kai Wang and
                  Tsung{-}Hsien Lin},
  title        = {A Low-Noise Area-Efficient Chopped VCO-Based {CTDSM} for Sensor Applications
                  in 40-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2523--2532},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2724025},
  doi          = {10.1109/JSSC.2017.2724025},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TuWL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VeraL17,
  author       = {Leonardo Vera and
                  John R. Long},
  title        = {A 40-Gb/s SiGe-BiCMOS {MZM} Driver With 6-V\({}_{\mbox{p-p}}\) Output
                  and On-Chip Digital Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {460--471},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2606601},
  doi          = {10.1109/JSSC.2016.2606601},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VeraL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VigilanteR17,
  author       = {Marco Vigilante and
                  Patrick Reynaert},
  title        = {On the Design of Wideband Transformer-Based Fourth Order Matching
                  Networks for E-Band Receivers in 28-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2071--2082},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2690864},
  doi          = {10.1109/JSSC.2017.2690864},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VigilanteR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VisweswaranL17,
  author       = {Akshay Visweswaran and
                  John R. Long},
  title        = {Injection-Locked Wideband {FM} Demodulation at {IF}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {327--343},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2605658},
  doi          = {10.1109/JSSC.2016.2605658},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VisweswaranL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VivetTLSBBDLPDC17,
  author       = {Pascal Vivet and
                  Yvain Thonnart and
                  Romain Lemaire and
                  Cristiano Santos and
                  Edith Beign{\'{e}} and
                  Christian Bernard and
                  Florian Darve and
                  Didier Lattard and
                  Ivan Miro Panades and
                  Denis Dutoit and
                  Fabien Clermidy and
                  S{\'{e}}verine Cheramy and
                  Abbas Sheibanyrad and
                  Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and
                  Eric Flamand and
                  Jean Michailos and
                  Alexandre Arriordaz and
                  Lee Wang and
                  Juergen Schloeffel},
  title        = {A 4 {\texttimes} 4 {\texttimes} 2 Homogeneous Scalable 3D Network-on-Chip
                  Circuit With 326 MFlit/s 0.66 pJ/b Robust and Fault Tolerant Asynchronous
                  3D Links},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {33--49},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2611497},
  doi          = {10.1109/JSSC.2016.2611497},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VivetTLSBBDLPDC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VorapipatLA17,
  author       = {Voravit Vorapipat and
                  Cooper S. Levy and
                  Peter M. Asbeck},
  title        = {Voltage Mode Doherty Power Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1295--1304},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2647954},
  doi          = {10.1109/JSSC.2017.2647954},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VorapipatLA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VorapipatLA17a,
  author       = {Voravit Vorapipat and
                  Cooper S. Levy and
                  Peter M. Asbeck},
  title        = {A Class-G Voltage-Mode Doherty Power Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3348--3360},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2748283},
  doi          = {10.1109/JSSC.2017.2748283},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VorapipatLA17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangH17,
  author       = {Cheng Wang and
                  Ruonan Han},
  title        = {Dual-Terahertz-Comb Spectrometer on {CMOS} for Rapid, Wide-Range Gas
                  Detection With Absolute Specificity},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3361--3372},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2755693},
  doi          = {10.1109/JSSC.2017.2755693},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangLLSLYLLCCLK17,
  author       = {Zhibo Wang and
                  Yongpan Liu and
                  Albert Lee and
                  Fang Su and
                  Chieh{-}Pu Lo and
                  Zhe Yuan and
                  Jinyang Li and
                  Chien{-}Chen Lin and
                  Wei{-}Hao Chen and
                  Hsiao{-}Yun Chiu and
                  Wei{-}En Lin and
                  Ya{-}Chin King and
                  Chrong Jung Lin and
                  Pedram Khalili Amiri and
                  Kang{-}Lung Wang and
                  Meng{-}Fan Chang and
                  Huazhong Yang},
  title        = {A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain
                  Adaption and Self-Write-Termination Achieving {\textgreater} 4{\texttimes}
                  Faster Clock Frequency and {\textgreater} 6{\texttimes} Higher Restore
                  Speed},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2769--2785},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2724024},
  doi          = {10.1109/JSSC.2017.2724024},
  timestamp    = {Mon, 27 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangLLSLYLLCCLK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangMLMB17,
  author       = {Hanqing Wang and
                  Gerard Mora{-}Puchalt and
                  Colin Lyden and
                  Roberto Maurino and
                  Christian Birk},
  title        = {A 19 nV/{\(\surd\)}Hz Noise 2-{\(\mathrm{\mu}\)}: {V} Offset 75-/micro;A
                  Capacitive-Gain Amplifier With Switched-Capacitor {ADC} Driving Capability},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3194--3203},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2732728},
  doi          = {10.1109/JSSC.2017.2732728},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangMLMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangZZXQSX17,
  author       = {Xi Wang and
                  Jian Zhao and
                  Yang Zhao and
                  Guo Ming Xia and
                  An Ping Qiu and
                  Yan Su and
                  Yong Ping Xu},
  title        = {A 0.4 {\(\mathrm{\mu}\)}g Bias Instability and 1.2 {\(\mathrm{\mu}\)}g
                  Hz Noise Floor {MEMS} Silicon Oscillating Accelerometer With {CMOS}
                  Readout Circuit},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {472--482},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2609385},
  doi          = {10.1109/JSSC.2016.2609385},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangZZXQSX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WaryM17,
  author       = {Nijwm Wary and
                  Pradip Mandal},
  title        = {Current-Mode Full-Duplex Transceiver for Lossy On-Chip Global Interconnects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {8},
  pages        = {2026--2037},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2697410},
  doi          = {10.1109/JSSC.2017.2697410},
  timestamp    = {Sat, 31 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WaryM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WhatmoughDHB17,
  author       = {Paul N. Whatmough and
                  Shidhartha Das and
                  Zacharias Hadjilambrou and
                  David M. Bull},
  title        = {Power Integrity Analysis of a 28 nm Dual-Core {ARM} Cortex-A57 Cluster
                  Using an All-Digital Power Delivery Monitor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {6},
  pages        = {1643--1654},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2669025},
  doi          = {10.1109/JSSC.2017.2669025},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WhatmoughDHB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuCSCSB17,
  author       = {Xiao Wu and
                  Kyojin David Choo and
                  Yao Shi and
                  Li{-}Xuan Chuo and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {A Fully Integrated Counter Flow Energy Reservoir for Peak Power Delivery
                  in Small Form-Factor Sensor Systems},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3155--3167},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2734801},
  doi          = {10.1109/JSSC.2017.2734801},
  timestamp    = {Mon, 08 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuCSCSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuHC17,
  author       = {Tzu{-}Fan Wu and
                  Cheng{-}Ru Ho and
                  Mike Shuo{-}Wei Chen},
  title        = {A Flash-Based Non-Uniform Sampling {ADC} With Hybrid Quantization
                  Enabling Digital Anti-Aliasing Filter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2335--2349},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2718671},
  doi          = {10.1109/JSSC.2017.2718671},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuLX17,
  author       = {Liang Wu and
                  Shaowei Liao and
                  Quan Xue},
  title        = {A 312-GHz {CMOS} Injection-Locked Radiator With Chip-and-Package Distributed
                  Antenna},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2920--2933},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2727046},
  doi          = {10.1109/JSSC.2017.2727046},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuLX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuMTKSSKKUFMNTY17,
  author       = {Rui Wu and
                  Ryo Minami and
                  Yuuki Tsukui and
                  Seitaro Kawai and
                  Yuuki Seo and
                  Shinji Sato and
                  Kento Kimura and
                  Satoshi Kondo and
                  Tomohiro Ueno and
                  Nurul Fajri and
                  Shoutarou Maki and
                  Noriaki Nagashima and
                  Yasuaki Takeuchi and
                  Tatsuya Yamaguchi and
                  Ahmed Musa and
                  Korkut Kaan Tokgoz and
                  Teerachot Siriburanon and
                  Bangan Liu and
                  Yun Wang and
                  Jian Pang and
                  Ning Li and
                  Masaya Miyahara and
                  Kenichi Okada and
                  Akira Matsuzawa},
  title        = {64-QAM 60-GHz {CMOS} Transceivers for {IEEE} 802.11ad/ay},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2871--2891},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2740264},
  doi          = {10.1109/JSSC.2017.2740264},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuMTKSSKKUFMNTY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuS17,
  author       = {Xue Wu and
                  Kaushik Sengupta},
  title        = {Dynamic Waveform Shaping With Picosecond Time Widths},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {389--405},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2616349},
  doi          = {10.1109/JSSC.2016.2616349},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuSCLS17,
  author       = {Ying Wu and
                  Mina Shahmohammadi and
                  Yue Chen and
                  Ping Lu and
                  Robert Bogdan Staszewski},
  title        = {A 3.5-6.8-GHz Wide-Bandwidth DTC-Assisted Fractional-N All-Digital
                  {PLL} With a {MASH} {\(\Delta\)}{\(\Sigma\)}-TDC for Low In-Band Phase
                  Noise},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1885--1903},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2682841},
  doi          = {10.1109/JSSC.2017.2682841},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuSCLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuSJYLLSB17,
  author       = {Xiao Wu and
                  Yao Shi and
                  Supreet Jeloka and
                  Kaiyuan Yang and
                  Inhee Lee and
                  Yoonmyung Lee and
                  Dennis Sylvester and
                  David T. Blaauw},
  title        = {A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart
                  Sensor Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {972--984},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2645741},
  doi          = {10.1109/JSSC.2016.2645741},
  timestamp    = {Mon, 08 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuSJYLLSB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WulffY17,
  author       = {Carsten Wulff and
                  Trond Ytterdal},
  title        = {A Compiled 9-bit 20-MS/s 3.5-fJ/conv.step {SAR} {ADC} in 28-nm {FDSOI}
                  for Bluetooth Low Energy Receivers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1915--1926},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2685463},
  doi          = {10.1109/JSSC.2017.2685463},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WulffY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/XiaoC17,
  author       = {Fei Xiao and
                  Pak Kwong Chan},
  title        = {A Performance-Aware Low-Quiescent Headphone Amplifier in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {2},
  pages        = {505--516},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2627540},
  doi          = {10.1109/JSSC.2016.2627540},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/XiaoC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/XiaoPYWNAN17,
  author       = {Xiao Xiao and
                  Amanda Pratt and
                  Bonjern Yang and
                  Angie Wang and
                  Ali M. Niknejad and
                  Elad Alon and
                  Borivoje Nikolic},
  title        = {A 65-nm {CMOS} Wideband {TDD} Front-End With Integrated {T/R} Switching
                  via {PA} Re-Use},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1768--1782},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2702669},
  doi          = {10.1109/JSSC.2017.2702669},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/XiaoPYWNAN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/XuZC17,
  author       = {Benwei Xu and
                  Yuan Zhou and
                  Yun Chiu},
  title        = {A 23-mW 24-GS/s 6-bit Voltage-Time Hybrid Time-Interleaved {ADC} in
                  28-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1091--1100},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2642204},
  doi          = {10.1109/JSSC.2016.2642204},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/XuZC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YanYKMSWSKOZMT17,
  author       = {Shing Tak Yan and
                  Lu Ye and
                  Raghavendra Kulkarni and
                  Edward Myers and
                  Hsieh{-}Chih Shih and
                  Hongbing Wu and
                  Shadi Saberi and
                  Darshan Kadia and
                  Dizle Ozis and
                  Lei Zhou and
                  Eric Middleton and
                  Joo Leong Tham},
  title        = {An 802.11a/b/g/n/ac {WLAN} Transceiver for 2{\texttimes}2 {MIMO} and
                  Simultaneous Dual-Band Operation With +29 dBm P\({}_{\mbox{sat}}\)
                  Integrated Power Amplifiers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {7},
  pages        = {1798--1813},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2704595},
  doi          = {10.1109/JSSC.2017.2704595},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YanYKMSWSKOZMT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YangM17,
  author       = {Fan Yang and
                  Philip K. T. Mok},
  title        = {A Nanosecond-Transient Fine-Grained Digital {LDO} With Multi-Step
                  Switching Scheme and Asynchronous Adaptive Pipeline Control},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2463--2474},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2709311},
  doi          = {10.1109/JSSC.2017.2709311},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YangM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YangPN17,
  author       = {Ruimin Yang and
                  Michiel A. P. Pertijs and
                  Stoyan N. Nihtianov},
  title        = {A Precision Capacitance-to-Digital Converter With 16.7-bit {ENOB}
                  and 7.5-ppm/{\textdegree}C Thermal Drift},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3018--3031},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2734900},
  doi          = {10.1109/JSSC.2017.2734900},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YangPN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YaoNLWGZKKHJLHK17,
  author       = {Chih{-}Wei Yao and
                  Ronghua Ni and
                  Chung Lau and
                  Wanghua Wu and
                  Kunal Godbole and
                  Yongrong Zuo and
                  Sangsoo Ko and
                  Nam{-}Seog Kim and
                  Sangwook Han and
                  Ikkyun Jo and
                  Joonhee Lee and
                  Juyoung Han and
                  Daehyeon Kwon and
                  Chulho Kim and
                  Shinwoong Kim and
                  Sang Won Son and
                  Thomas Byunghak Cho},
  title        = {A 14-nm 0.14-ps\({}_{\mbox{rms}}\) Fractional-N Digital {PLL} With
                  a 0.2-ps Resolution ADC-Assisted Coarse/Fine-Conversion Chopping {TDC}
                  and {TDC} Nonlinearity Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3446--3457},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2742518},
  doi          = {10.1109/JSSC.2017.2742518},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YaoNLWGZKKHJLHK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YaulC17,
  author       = {Frank M. Yaul and
                  Anantha P. Chandrakasan},
  title        = {A Noise-Efficient 36 nV/ {\textdollar}{\textbackslash}surd {\textdollar}
                  Hz Chopper Amplifier Using an Inverter-Based 0.2-V Supply Input Stage},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {3032--3042},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2746778},
  doi          = {10.1109/JSSC.2017.2746778},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YaulC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YehWBF17,
  author       = {Yi{-}Shin Yeh and
                  Benjamin Walker and
                  Ed Balboni and
                  Brian A. Floyd},
  title        = {A 28-GHz Phased-Array Receiver Front End With Dual-Vector Distributed
                  Beamforming},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1230--1244},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2635664},
  doi          = {10.1109/JSSC.2016.2635664},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YehWBF17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YoonKB17,
  author       = {Jong{-}Hyeok Yoon and
                  Soon{-}Won Kwon and
                  Hyeon{-}Min Bae},
  title        = {A DC-to-12.5 Gb/s 9.76 mW/Gb/s All-Rate {CDR} With a Single {LC} {VCO}
                  in 90 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {856--866},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2646803},
  doi          = {10.1109/JSSC.2016.2646803},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/YoonKB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YoonLLHLLKHWPB17,
  author       = {Taehun Yoon and
                  Joon{-}Yeong Lee and
                  Jinhee Lee and
                  Kwangseok Han and
                  Jeong{-}Sup Lee and
                  Sangeun Lee and
                  Taeho Kim and
                  Jinho Han and
                  Hyosup Won and
                  Jinho Park and
                  Hyeon{-}Min Bae},
  title        = {A 103.125-Gb/s Reverse Gearbox {IC} in 40-nm {CMOS} for Supporting
                  Legacy 10- and 40-GbE Links},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {3},
  pages        = {688--703},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2636858},
  doi          = {10.1109/JSSC.2016.2636858},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YoonLLHLLKHWPB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YousefzadehSM17,
  author       = {Bahman Yousefzadeh and
                  Saleh Heidary Shalmany and
                  Kofi A. A. Makinwa},
  title        = {A BJT-Based Temperature-to-Digital Converter With {\(\pm\)}60 mK (3{\textasciitilde}{\(\sigma\)})
                  Inaccuracy From -55 {\textdegree}C to +125 {\textdegree}C in 0.16-{\(\mu\)}m
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1044--1052},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2638464},
  doi          = {10.1109/JSSC.2016.2638464},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YousefzadehSM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YuLWK17,
  author       = {Yiming Yu and
                  Huihua Liu and
                  Yunqiu Wu and
                  Kai Kang},
  title        = {A 54.4-90 GHz Low-Noise Amplifier in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2892--2904},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2727040},
  doi          = {10.1109/JSSC.2017.2727040},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YuLWK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YuanW17,
  author       = {Wen Yuan and
                  Jeffrey S. Walling},
  title        = {A Multiphase Switched Capacitor Power Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1320--1330},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2626277},
  doi          = {10.1109/JSSC.2016.2626277},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YuanW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YukselYBLTMA17,
  author       = {Hazal Y{\"{u}}ksel and
                  Dong Yang and
                  Zachariah Boynton and
                  Changhyuk Lee and
                  Thomas Tapen and
                  Alyosha C. Molnar and
                  Alyssa B. Apsel},
  title        = {A Wideband Fully Integrated Software-Defined Transceiver for {FDD}
                  and {TDD} Operation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1274--1285},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2650409},
  doi          = {10.1109/JSSC.2017.2650409},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/YukselYBLTMA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhangCHT17,
  author       = {Yi Zhang and
                  Chia{-}Hung Chen and
                  Tao He and
                  Gabor C. Temes},
  title        = {A 16 b Multi-Step Incremental Analog-to-Digital Converter With Single-Opamp
                  Multi-Slope Extended Counting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1066--1076},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2641466},
  doi          = {10.1109/JSSC.2016.2641466},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhangCHT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhangK17,
  author       = {Linxiao Zhang and
                  Harish Krishnaswamy},
  title        = {Arbitrary Analog/RF Spatial Filtering for Digital {MIMO} Receiver
                  Arrays},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {12},
  pages        = {3392--3404},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2759118},
  doi          = {10.1109/JSSC.2017.2759118},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhangK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhangLTLRCDWBW17,
  author       = {Xuan Zhang and
                  Mario Lok and
                  Tao Tong and
                  Sae Kyu Lee and
                  Brandon Reagen and
                  Simon Chaput and
                  Pierre{-}Emile J. Duhamel and
                  Robert J. Wood and
                  David M. Brooks and
                  Gu{-}Yeon Wei},
  title        = {A Fully Integrated Battery-Powered System-on-Chip in 40-nm {CMOS}
                  for Closed-Loop Control of Insect-Scale Pico-Aerial Vehicle},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {9},
  pages        = {2374--2387},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2705170},
  doi          = {10.1109/JSSC.2017.2705170},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhangLTLRCDWBW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhangNFS17,
  author       = {Minglei Zhang and
                  Kyoohyun Noh and
                  Xiaohua Fan and
                  Edgar S{\'{a}}nchez{-}Sinencio},
  title        = {A 0.8-1.2 {V} 10-50 MS/s 13-bit Subranging Pipelined-SAR {ADC} Using
                  a Temperature-Insensitive Time-Based Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2991--3005},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2742523},
  doi          = {10.1109/JSSC.2017.2742523},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhangNFS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhangWV17,
  author       = {Jintao Zhang and
                  Zhuo Wang and
                  Naveen Verma},
  title        = {In-Memory Computation of a Machine-Learning Classifier in a Standard
                  6T {SRAM} Array},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {915--924},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2642198},
  doi          = {10.1109/JSSC.2016.2642198},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhangWV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhangZRW17,
  author       = {Yining Zhang and
                  Ranran Zhou and
                  Woogeun Rhee and
                  Zhihua Wang},
  title        = {A 1.9-mW 750-kb/s 2.4-GHz {F-OOK} Transmitter With Symmetric {FM}
                  Template and High-Point Modulation {PLL}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2627--2635},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2713518},
  doi          = {10.1109/JSSC.2017.2713518},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhangZRW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhaoWZXQSX17,
  author       = {Jian Zhao and
                  Xi Wang and
                  Yang Zhao and
                  Guo Ming Xia and
                  An Ping Qiu and
                  Yan Su and
                  Yong Ping Xu},
  title        = {A 0.23-{\(\mathrm{\mu}\)}g Bias Instability and 1-{\(\mathrm{\mu}\)}g/{\(\surd\)}Hz
                  Acceleration Noise Density Silicon Oscillating Accelerometer With
                  Embedded Frequency-to-Digital Converter in {PLL}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {4},
  pages        = {1053--1065},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2645613},
  doi          = {10.1109/JSSC.2016.2645613},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhaoWZXQSX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhengZLZYYWLWJ17,
  author       = {Xuqiang Zheng and
                  Chun Zhang and
                  Fangxu Lv and
                  Feng Zhao and
                  Shuai Yuan and
                  Shigang Yue and
                  Ziqiang Wang and
                  Fule Li and
                  Zhihua Wang and
                  Hanjun Jiang},
  title        = {A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in
                  65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {11},
  pages        = {2963--2978},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2746672},
  doi          = {10.1109/JSSC.2017.2746672},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhengZLZYYWLWJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhouWSZZZZZKYG17,
  author       = {Dajiang Zhou and
                  Shihao Wang and
                  Heming Sun and
                  Jian{-}Bin Zhou and
                  Jiayi Zhu and
                  Yijin Zhao and
                  Jinjia Zhou and
                  Shuping Zhang and
                  Shinji Kimura and
                  Takeshi Yoshimura and
                  Satoshi Goto},
  title        = {An 8K {H.265/HEVC} Video Decoder Chip With a New System Pipeline Design},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {113--126},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2616362},
  doi          = {10.1109/JSSC.2016.2616362},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhouWSZZZZZKYG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhuNSEKH17,
  author       = {Junheng Zhu and
                  Romesh Kumar Nandwana and
                  Guanghua Shu and
                  Ahmed Elkholy and
                  Seong Joong Kim and
                  Pavan Kumar Hanumolu},
  title        = {A 0.0021 mm\({}^{\mbox{2}}\) 1.82 mW 2.2 GHz {PLL} Using Time-Based
                  Integral Control in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {1},
  pages        = {8--20},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2016.2598768},
  doi          = {10.1109/JSSC.2016.2598768},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhuNSEKH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhuYWHWZX17,
  author       = {Qiuyao Zhu and
                  Sheng Yu and
                  Sizhou Wang and
                  Lun Huang and
                  Zhaogang Wang and
                  Xuejun Zhang and
                  Yang Xu},
  title        = {A Digital Polar Transmitter With {DC-DC} Converter Supporting 256-QAM
                  {WLAN} and 40-MHz {LTE-A} Carrier Aggregation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {5},
  pages        = {1196--1209},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2672979},
  doi          = {10.1109/JSSC.2017.2672979},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhuYWHWZX17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZimmerCNA17,
  author       = {Brian Zimmer and
                  Pi{-}Feng Chiu and
                  Borivoje Nikolic and
                  Krste Asanovic},
  title        = {Reprogrammable Redundancy for SRAM-Based Cache V\({}_{\mbox{min}}\)
                  Reduction in a 28-nm {RISC-V} Processor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {52},
  number       = {10},
  pages        = {2589--2600},
  year         = {2017},
  url          = {https://doi.org/10.1109/JSSC.2017.2715798},
  doi          = {10.1109/JSSC.2017.2715798},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZimmerCNA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics