Search dblp for Publications

export results for "toc:db/conf/apccas/apccas2002-1.bht:"

 download as .bib file

@inproceedings{DBLP:conf/apccas/AbeK02,
  author       = {Rinji Abe and
                  Noriyoshi Kambayashi},
  title        = {An estimation method of closed frequencies},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {299--302},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114957},
  doi          = {10.1109/APCCAS.2002.1114957},
  timestamp    = {Fri, 20 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/AbeK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Abuelmaatti02,
  author       = {Muhammad Taher Abuelma'atti},
  title        = {Analog low-voltage current-mode implementation of digital logic gates},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {233--236},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114944},
  doi          = {10.1109/APCCAS.2002.1114944},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Abuelmaatti02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AdionoILK02,
  author       = {Trio Adiono and
                  Tsuyoshi Isshiki and
                  Dongju Li and
                  Hiroaki Kunieda},
  title        = {Efficient method for face region quality enhancement in low bit rate
                  video coding},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {549--553},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115061},
  doi          = {10.1109/APCCAS.2002.1115061},
  timestamp    = {Fri, 22 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/AdionoILK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AgungTF02,
  author       = {N. R. Agung and
                  Shigetaka Takagi and
                  Nobuo Fujii},
  title        = {Improving the immunity to substrate noise using active noise cancellation
                  circuit in mixed-signal integrated circuits},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {135--140},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114923},
  doi          = {10.1109/APCCAS.2002.1114923},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AgungTF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AnalouiR02,
  author       = {Morteza Analoui and
                  Mohammad Hossein Rezvani},
  title        = {Performance enhancement of logical link control using channel quality
                  in {GPRS}},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {329--334},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114963},
  doi          = {10.1109/APCCAS.2002.1114963},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AnalouiR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ArifiantoSS02,
  author       = {Dhany Arifianto and
                  Heru Setijono and
                  Sekartedjo},
  title        = {Readability improvement for time frequency analysis of pathological
                  speech},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {191--194},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114934},
  doi          = {10.1109/APCCAS.2002.1114934},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ArifiantoSS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AswoyoMST02,
  author       = {B. Aswoyo and
                  O. Makino and
                  Yoshifumi Shimoshio and
                  Masamitsu Tokuda},
  title        = {A measurement method for reflection coefficient of anechoic chamber
                  using standing wave techniques},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {115--119},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114919},
  doi          = {10.1109/APCCAS.2002.1114919},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AswoyoMST02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Balik02,
  author       = {Miroslav Bal{\'{\i}}k},
  title        = {Principles of designing an algorithm for acoustic room multichannel
                  simulation},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {303--308},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114958},
  doi          = {10.1109/APCCAS.2002.1114958},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Balik02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BartusekG02,
  author       = {Karel Bartusek and
                  Eva Gescheidtova},
  title        = {Adaptive digital filter for gradient magnetic fields measurement in
                  {MR} tomography},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {79--82},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114912},
  doi          = {10.1109/APCCAS.2002.1114912},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/BartusekG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BenjangkaprasertJPA02,
  author       = {C. Benjangkaprasert and
                  S. Jorphochaudom and
                  S. Phuvasitkul and
                  Nantheera Anantrasirichai},
  title        = {A new gradient-based algorithm using variable step-size technique
                  and its application [adaptive {IIR} notch filter]},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114959},
  doi          = {10.1109/APCCAS.2002.1114959},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/BenjangkaprasertJPA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BenjangkaprasertTJ02,
  author       = {C. Benjangkaprasert and
                  S. Teerasakworakun and
                  K. Janchitrapongvej},
  title        = {Implementation of variable step-size algorithm for lattice form structure
                  for echo cancellation},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {291--294},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114955},
  doi          = {10.1109/APCCAS.2002.1114955},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/BenjangkaprasertTJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BiolekB02,
  author       = {Dalibor Biolek and
                  Viera Biolkov{\'{a}}},
  title        = {{MC} flow graphs with hybrid nodes},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {9--14},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114898},
  doi          = {10.1109/APCCAS.2002.1114898},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/BiolekB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BoonsobhakW02,
  author       = {V. Boonsobhak and
                  Apisak Worapishet},
  title        = {A pixel-level {ADC} with improved performance trade-off for high-speed
                  {CMOS} imagers},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {581--584},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115072},
  doi          = {10.1109/APCCAS.2002.1115072},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/BoonsobhakW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/CafagnaG02,
  author       = {Donato Cafagna and
                  Giuseppe Grassi},
  title        = {An approach for generating n{\texttimes}m-scroll attractors in hyperchaotic
                  coupled Chua circuits},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {569--573},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115070},
  doi          = {10.1109/APCCAS.2002.1115070},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/CafagnaG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/CafagnaG02a,
  author       = {Donato Cafagna and
                  Giuseppe Grassi},
  title        = {Synchronizing hyperchaos using a scalar signal: a unified framework
                  for systems with one or several non-linearities},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {575--580},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115071},
  doi          = {10.1109/APCCAS.2002.1115071},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/CafagnaG02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/CajkaOVLK02,
  author       = {Josef Cajka and
                  Michal Olsak and
                  Kamil Vrba and
                  Ivo Lattenberg and
                  Ivan Koudar},
  title        = {Novel immitance inverters},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {351--354},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114968},
  doi          = {10.1109/APCCAS.2002.1114968},
  timestamp    = {Tue, 08 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/CajkaOVLK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChangYZ02,
  author       = {Chip{-}Hong Chang and
                  Zhi Ye and
                  Mingyan Zhang},
  title        = {Fuzzy-ART based digital watermarking scheme},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {423--426},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114986},
  doi          = {10.1109/APCCAS.2002.1114986},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChangYZ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChenTYC02,
  author       = {Shuenn{-}Shi Chen and
                  Wang{-}Dauh Tseng and
                  Jin{-}Tai Yan and
                  Sao{-}Jie Chen},
  title        = {Printed circuit board routing and package layout codesign},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {155--158},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114927},
  doi          = {10.1109/APCCAS.2002.1114927},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChenTYC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChengCCP02,
  author       = {Wang{-}Chi Cheng and
                  Cheong{-}Fat Chan and
                  Oliver Chiu{-}sing Choy and
                  Kong{-}Pang Pun},
  title        = {A 900 MHz 1.2 {V} {CMOS} mixer with high linearity},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114896},
  doi          = {10.1109/APCCAS.2002.1114896},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChengCCP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChoiCSHO02,
  author       = {Sang{-}Sung Choi and
                  Sung{-}Woong Choi and
                  Chuol{-}ho Shin and
                  Chun{-}soo Ha and
                  Woo Jin Oh},
  title        = {Computer simulation of protection ratio for digital audio broadcasting},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {335--338},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114964},
  doi          = {10.1109/APCCAS.2002.1114964},
  timestamp    = {Thu, 12 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/ChoiCSHO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChouW02,
  author       = {Chung{-}Yun Chou and
                  Chung{-}Yu Wu},
  title        = {The design of a new wideband and low-power {CMOS} active polyphase
                  filter for low-IF receiver applications},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {241--244},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114946},
  doi          = {10.1109/APCCAS.2002.1114946},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChouW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChuLC02,
  author       = {Yuan{-}Sun Chu and
                  Chi{-}Fang Li and
                  Chien{-}Chung Chen},
  title        = {Application-specific design system for 8-bit embedded micro-controller},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {279--284},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114953},
  doi          = {10.1109/APCCAS.2002.1114953},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChuLC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/CookLL02,
  author       = {Gregory J. Cook and
                  Buon Kiong Lau and
                  Yee Hong Leung},
  title        = {An alternative approach to interpolated array processing for uniform
                  circular arrays},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {411--414},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114982},
  doi          = {10.1109/APCCAS.2002.1114982},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/CookLL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/CuiCH02,
  author       = {Wei Cui and
                  He Chen and
                  Yueqiu Han},
  title        = {{VLSI} implementation of universal random number generator},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {465--470},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115009},
  doi          = {10.1109/APCCAS.2002.1115009},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/CuiCH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/DarmawanHMSK02,
  author       = {Irfan Darmawan and
                  W. T. Hartono and
                  Eril Mozef and
                  Sarwono Sutikno and
                  Kuspriyanto},
  title        = {{VHDL} design and simulation of {MAM} memory for {LAPCAM} parallel
                  architecture for image processing},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {389--392},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114976},
  doi          = {10.1109/APCCAS.2002.1114976},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/DarmawanHMSK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/DimyatiI02,
  author       = {Kaharudin Dimyati and
                  M. F. Ismail},
  title        = {Implementing a reconfigurable {MAP} decoder on a soft core processor
                  system},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {285--290},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114954},
  doi          = {10.1109/APCCAS.2002.1114954},
  timestamp    = {Wed, 28 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/DimyatiI02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/DjunaidySH02,
  author       = {Arif Djunaidy and
                  Febriliyan Samopa and
                  Sugianto Halim},
  title        = {Development of a Web navigation guide system based on the hypertext
                  probabilistic grammar},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {317--322},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114961},
  doi          = {10.1109/APCCAS.2002.1114961},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/DjunaidySH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/DutonoW02,
  author       = {Titon Dutono and
                  Akira Watanabe},
  title        = {Multi domain features for speaker independent word recognition},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {187--190},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114933},
  doi          = {10.1109/APCCAS.2002.1114933},
  timestamp    = {Tue, 19 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/DutonoW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/FangWC02,
  author       = {Hung{-}Chi Fang and
                  Tu{-}Chih Wang and
                  Liang{-}Gee Chen},
  title        = {Real-time deblocking filter for {MPEG-4} systems},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {541--544},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115058},
  doi          = {10.1109/APCCAS.2002.1115058},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/FangWC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/FerreiraMPF02,
  author       = {Luis Henrique de Carvalho Ferreira and
                  Robson L. Moreno and
                  Tales Cleber Pimenta and
                  Carlos A. R. Filho},
  title        = {An offset self-correction sample and hold circuit for precise applications
                  in low voltage {CMOS}},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {457--460},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115006},
  doi          = {10.1109/APCCAS.2002.1115006},
  timestamp    = {Fri, 15 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/FerreiraMPF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/GunawanT02,
  author       = {Teddy Surya Gunawan and
                  Cai Wen Tong},
  title        = {Resource monitoring for cluster computing with application to parallel
                  motion estimation},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {207--210},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114938},
  doi          = {10.1109/APCCAS.2002.1114938},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/GunawanT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HontaniIFOS02,
  author       = {Kenji Hontani and
                  Takaaki Imanaka and
                  Gen Fujita and
                  Takao Onoye and
                  Isao Shirakawa},
  title        = {Realtime face object extraction algorithm for video phone},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {35--38},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114903},
  doi          = {10.1109/APCCAS.2002.1114903},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HontaniIFOS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HsiaLF02,
  author       = {Chin Hsia and
                  Ming{-}Hong Lai and
                  Wu{-}Shiung Feng},
  title        = {On-board effective inductance measurement},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {443--446},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115001},
  doi          = {10.1109/APCCAS.2002.1115001},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HsiaLF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HsuL02,
  author       = {Chih{-}Hsien Hsu and
                  Shyue{-}Kung Lu},
  title        = {Fault-tolerance design of memory systems based on {DBL} structures},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114941},
  doi          = {10.1109/APCCAS.2002.1114941},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HsuL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangH02,
  author       = {Shih{-}Hsu Huang and
                  Yi{-}Siang Hsu},
  title        = {A timing driven approach for crosstalk minimization in gridded channel
                  routing},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {263--266},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114950},
  doi          = {10.1109/APCCAS.2002.1114950},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangL02,
  author       = {Hong{-}Yi Huang and
                  Jing{-}Fu Lin},
  title        = {Multiple bulk input differential logic},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {461--464},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115008},
  doi          = {10.1109/APCCAS.2002.1115008},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangS02,
  author       = {Chun{-}Yueh Huang and
                  Yung{-}Hoh Sheu},
  title        = {A high speed current-mode median filter for real-time signal processing},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114901},
  doi          = {10.1109/APCCAS.2002.1114901},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangTC02,
  author       = {Chao{-}Tsung Huang and
                  Po{-}Chih Tseng and
                  Liang{-}Gee Chen},
  title        = {Flipping structure: an efficient {VLSI} architecture for lifting-based
                  discrete wavelet transform},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {383--388},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114975},
  doi          = {10.1109/APCCAS.2002.1114975},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangTC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangZX02,
  author       = {Shan Huang and
                  Huafeng Zhao and
                  Lin Xue},
  title        = {Frequency stabilization of {FBG} external cavity laser diode},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {565--567},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115067},
  doi          = {10.1109/APCCAS.2002.1115067},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangZX02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HwangCC02,
  author       = {Yin{-}Tsung Hwang and
                  Cheng{-}Ji Chang and
                  Bor{-}Liang Chen},
  title        = {A rapid prototyping embedded system platform and its {HW/SW} communication
                  interface generation and verification},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {481--484},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115036},
  doi          = {10.1109/APCCAS.2002.1115036},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HwangCC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/IshidaYKT02,
  author       = {Yasuhiro Ishida and
                  Y. Yamaguchi and
                  Nobuo Kuwabara and
                  M. Tokuda},
  title        = {Visualization of radiated emission sources on a wire using far field
                  amplitude data},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {93--98},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114915},
  doi          = {10.1109/APCCAS.2002.1114915},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/IshidaYKT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/IshiuraW02,
  author       = {Nagisa Ishiura and
                  Tatsuo Watanabe},
  title        = {Datapath oriented codesign method of application specific DSPs using
                  retargetable compiler},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {55--58},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114907},
  doi          = {10.1109/APCCAS.2002.1114907},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/IshiuraW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/IsrasenaS02,
  author       = {Pasin Israsena and
                  S. Summerfield},
  title        = {Wave digital filters using digit serial 3-port adaptors},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {379--382},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114974},
  doi          = {10.1109/APCCAS.2002.1114974},
  timestamp    = {Wed, 24 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/IsrasenaS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/IsshikiOWNASLK02,
  author       = {Tsuyoshi Isshiki and
                  Akihisa Ohta and
                  T. Watanabe and
                  T. Nakada and
                  K. Akahane and
                  I. Sisla and
                  Dongju Li and
                  Hiroaki Kunieda},
  title        = {High density bit-serial {FPGA} with {LUT} embedding shift register
                  function},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {475--480},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115034},
  doi          = {10.1109/APCCAS.2002.1115034},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/IsshikiOWNASLK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ItamiWN02,
  author       = {Fumio Itami and
                  Eiji Watanabe and
                  Akinori Nishihara},
  title        = {A design of cyclic filter banks},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {65--68},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114909},
  doi          = {10.1109/APCCAS.2002.1114909},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ItamiWN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ItoS02,
  author       = {Kazuhito Ito and
                  Daisuke Suzuki},
  title        = {A high-level synthesis method for simultaneous placement and scheduling
                  considering data communication delay},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {149--154},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114926},
  doi          = {10.1109/APCCAS.2002.1114926},
  timestamp    = {Mon, 26 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ItoS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/IwanagaKFSOS02,
  author       = {Nobuyuki Iwanaga and
                  Wataru Kobayashi and
                  Kazuhiko Furuya and
                  Mamoru Sakamoto and
                  Takao Onoye and
                  Isao Shirakawa},
  title        = {Embedded implementation of acoustic field enhancement for stereo headphones},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {51--54},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114906},
  doi          = {10.1109/APCCAS.2002.1114906},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/IwanagaKFSOS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JantarangPW02,
  author       = {S. Jantarang and
                  Panan Potipantong and
                  Apisak Worapishet},
  title        = {A low cost real-time intelligent taximeter sensor},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {217--220},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114940},
  doi          = {10.1109/APCCAS.2002.1114940},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/JantarangPW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JuangJTH02,
  author       = {Tso{-}Bing Juang and
                  Jeng{-}Hsiun Jan and
                  Ming{-}Yu Tsai and
                  Shen{-}Fu Hsiao},
  title        = {Partition methodology for the final adder in a tree-structure parallel
                  multiplier generator},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {471--474},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115024},
  doi          = {10.1109/APCCAS.2002.1115024},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/JuangJTH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KanekoSN02,
  author       = {Yuhei Kaneko and
                  Nobuhiko Sugino and
                  Akinori Nishihara},
  title        = {Memory allocation method for indirect addressing with an index register},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {199--202},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114936},
  doi          = {10.1109/APCCAS.2002.1114936},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KanekoSN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Kao02,
  author       = {M. C. Kao},
  title        = {Sharpening the response of the (9, 7) filter pair by multiple use
                  of half-band subfilter},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {521--524},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115053},
  doi          = {10.1109/APCCAS.2002.1115053},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Kao02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KaoW02,
  author       = {Hong{-}Sing Kao and
                  Chung{-}Yu Wu},
  title        = {An improved low-power {CMOS} direct-conversion transmitter for GHz
                  wireless communication applications},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {5--8},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114897},
  doi          = {10.1109/APCCAS.2002.1114897},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KaoW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KidakornRKPN02,
  author       = {P. Kidakorn and
                  W. Rattanakoch and
                  Chom Kimpan and
                  Ouen Pin{-}Ngern and
                  Suthichai Noppanakeepong},
  title        = {On the algorithm for adaptive noise canceller with parallel block
                  structure},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {401--406},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114980},
  doi          = {10.1109/APCCAS.2002.1114980},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KidakornRKPN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimS02,
  author       = {Sooyeon Kim and
                  Minkyu Song},
  title        = {Design of a novel 3.3 {V} {CMOS} logarithmic amplifier with a two
                  step linear limiting architecture},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {131--134},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114922},
  doi          = {10.1109/APCCAS.2002.1114922},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimSKNT02,
  author       = {Seong{-}Kweon Kim and
                  Shigehito Saigusa and
                  Suguru Kameda and
                  Hiroyuki Nakase and
                  Kazuo Tsubouchi},
  title        = {New current attenuator circuit in the current mode {FFT} {LSI} for
                  {OFDM}},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {225--228},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114942},
  doi          = {10.1109/APCCAS.2002.1114942},
  timestamp    = {Wed, 03 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/KimSKNT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KugeanKCSSW02,
  author       = {C. Kugean and
                  Shankar M. Krishnan and
                  Opas Chutatape and
                  S. Swaminathan and
                  Narayanan Srinivasan and
                  P. Wang},
  title        = {Design of a mobile telemedicine system with wireless {LAN}},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114960},
  doi          = {10.1109/APCCAS.2002.1114960},
  timestamp    = {Tue, 09 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/KugeanKCSSW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KurosakiMK02,
  author       = {Masayuki Kurosaki and
                  Khairul Munadi and
                  Hitoshi Kiya},
  title        = {Error concealment using layer structure for {JPEG2000} images},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {529--534},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115056},
  doi          = {10.1109/APCCAS.2002.1115056},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KurosakiMK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LamSGT02,
  author       = {Siew Kei Lam and
                  Thambipillai Srikanthan and
                  Nitin Goyal and
                  Neeraj Tyagi},
  title        = {Incorporating area-time flexibility to a binary signed-digit adder},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {485--489},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115037},
  doi          = {10.1109/APCCAS.2002.1115037},
  timestamp    = {Wed, 18 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LamSGT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Langi02,
  author       = {Armein Z. R. Langi},
  title        = {A {DSP} implementation of a voice transcoder for VoIP gateways},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {181--186},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114932},
  doi          = {10.1109/APCCAS.2002.1114932},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Langi02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LangiB02,
  author       = {Armein Z. R. Langi and
                  Yoanes Bandung},
  title        = {An architectural design of a multimedia over {IP} (MoIP) terminal},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {415--418},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114983},
  doi          = {10.1109/APCCAS.2002.1114983},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LangiB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LangiY02,
  author       = {Armein Z. R. Langi and
                  Y. Yonata},
  title        = {A performance and interoperability study of an OpenH323-based multimedia
                  over {IP} {(MOIP)} system},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {427--430},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114988},
  doi          = {10.1109/APCCAS.2002.1114988},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LangiY02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LattenbergVDK02,
  author       = {Ivo Lattenberg and
                  Kamil Vrba and
                  Tom{\'{a}}s Dost{\'{a}}l and
                  Ivan Koudar},
  title        = {Synthetic circuit elements of higher order with current conveyors},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114900},
  doi          = {10.1109/APCCAS.2002.1114900},
  timestamp    = {Tue, 08 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LattenbergVDK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeC02,
  author       = {Tsung{-}Sum Lee and
                  Tai{-}Hua Chen},
  title        = {Two low-voltage fully differential {MOSFET-C} voltage-controlled oscillators
                  for frequency tuning},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {237--240},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114945},
  doi          = {10.1109/APCCAS.2002.1114945},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeC02a,
  author       = {Pei{-}Jun Lee and
                  Liang{-}Gee Chen},
  title        = {Error recovery for {MPEG-4} shape and texture information},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {525--528},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115055},
  doi          = {10.1109/APCCAS.2002.1115055},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeC02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeCF02,
  author       = {Herng{-}Jer Lee and
                  Chia{-}Chi Chu and
                  Wu{-}Shiung Feng},
  title        = {Crosstalk estimation in high-speed {VLSI} interconnect using coupled
                  RLC-tree models},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {257--262},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114949},
  doi          = {10.1109/APCCAS.2002.1114949},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeCF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeL02,
  author       = {J. W. Lee and
                  Y. C. Lim},
  title        = {Efficient implementation of real filter banks using frequency response
                  masking techniques},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114910},
  doi          = {10.1109/APCCAS.2002.1114910},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeL02a,
  author       = {Tsung{-}Sum Lee and
                  Chi{-}Chang Lu},
  title        = {A low-voltage fully differential {CMOS} high-speed track-and-hold
                  circuit},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {141--144},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114924},
  doi          = {10.1109/APCCAS.2002.1114924},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeL02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeLL02,
  author       = {Chiou{-}Yng Lee and
                  Ya{-}Cheng Lu and
                  Erl{-}Huei Lu},
  title        = {Low-complexity systolic multiplier over GF(2\({}^{\mbox{m}}\)) using
                  weakly dual basis},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {367--372},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114972},
  doi          = {10.1109/APCCAS.2002.1114972},
  timestamp    = {Wed, 28 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeLL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeYS02,
  author       = {Wonchul Lee and
                  Kisun You and
                  Wonyong Sung},
  title        = {Software optimization of {MPEG} audio layer-III for a 32 bit {RISC}
                  processor},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {435--438},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114990},
  doi          = {10.1109/APCCAS.2002.1114990},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeYS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LinAH02,
  author       = {Lee Lin and
                  Eliathamby Ambikairajah and
                  W. Harvey Holmes},
  title        = {Speech enhancement for nonstationary noise environment},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114931},
  doi          = {10.1109/APCCAS.2002.1114931},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LinAH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LinL02,
  author       = {Wen{-}Bin Lin and
                  Bin{-}Da Liu},
  title        = {The high-resolution multi-tone signal generators},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {245--250},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114947},
  doi          = {10.1109/APCCAS.2002.1114947},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LinL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LinLJA02,
  author       = {Lee Lin and
                  Lie Jasa Linawati and
                  Eliathamby Ambikairajah},
  title        = {A hybrid state estimation scheme for power systems},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {555--558},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115064},
  doi          = {10.1109/APCCAS.2002.1115064},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LinLJA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MakinoNPSSST02,
  author       = {O. Makino and
                  E. S. Ningrum and
                  O. Puspitorini and
                  N. A. Siswandari and
                  W. T. Sesulihatien and
                  Yoshifumi Shimoshio and
                  Masamitsu Tokuda},
  title        = {Common mode current characteristics in transmission line with common
                  mode choke represented by two-port chain matrix},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114917},
  doi          = {10.1109/APCCAS.2002.1114917},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MakinoNPSSST02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MatsumuraT02,
  author       = {Hidetoshi Matsumura and
                  Atsushi Takahashi},
  title        = {Delay variation tolerant clock scheduling for semi-synchronous circuits},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {165--170},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114929},
  doi          = {10.1109/APCCAS.2002.1114929},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MatsumuraT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MekhilefRRJ02,
  author       = {Saad Mekhilef and
                  Nasrudin Abd. Rahim and
                  R. A. Rahman and
                  T. W. Jau},
  title        = {{PIC} based photovoltaic maximum power point tracking control system},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {251--255},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114948},
  doi          = {10.1109/APCCAS.2002.1114948},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/MekhilefRRJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MiyaokaCTYO02,
  author       = {Yuichiro Miyaoka and
                  Jinku Choi and
                  Nozomu Togawa and
                  Masao Yanagisawa and
                  Tatsuo Ohtsuki},
  title        = {An algorithm of hardware unit generation for processor core synthesis
                  with packed {SIMD} type instructions},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {171--176},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114930},
  doi          = {10.1109/APCCAS.2002.1114930},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MiyaokaCTYO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MoriNYTS02,
  author       = {M. Mori and
                  H. Nakanishi and
                  Y. Yamaoka and
                  Masamitsu Tokuda and
                  Yoshifumi Shimoshio},
  title        = {Common mode voltage to differential mode voltage conversion characteristics
                  of shielded transformer in {VHF} band},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {109--114},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114918},
  doi          = {10.1109/APCCAS.2002.1114918},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MoriNYTS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NagyV02,
  author       = {Z. Nagy and
                  Kamil Vrba},
  title        = {Noise-resistant feature extraction using 2D techniques},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {397--400},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114979},
  doi          = {10.1109/APCCAS.2002.1114979},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NagyV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NingrumHSSPMST02,
  author       = {E. S. Ningrum and
                  N. Harsono and
                  N. A. Siswandari and
                  W. T. Sesulihatien and
                  O. Puspitorini and
                  O. Makino and
                  Yoshifumi Shimoshio and
                  Masamitsu Tokuda},
  title        = {The influence of {VHF-LISN} component and ferrite position to the
                  common mode current on emission measurement according to {CISPR} 22},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {99--103},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114916},
  doi          = {10.1109/APCCAS.2002.1114916},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NingrumHSSPMST02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NovotnyV02,
  author       = {V. Novotny and
                  Kamil Vrba},
  title        = {Novel voltage- and current-mode filter design},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {229--232},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114943},
  doi          = {10.1109/APCCAS.2002.1114943},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NovotnyV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NugrahaL02,
  author       = {H. B. Nugraha and
                  Armein Z. R. Langi},
  title        = {Segmented fractal dimension measurement of 1-D signals: a wavelet
                  based method},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {195--198},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114935},
  doi          = {10.1109/APCCAS.2002.1114935},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NugrahaL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NugrahaL02a,
  author       = {H. B. Nugraha and
                  Armein Z. R. Langi},
  title        = {A procedure for singularity measurement using wavelet},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {407--410},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114981},
  doi          = {10.1109/APCCAS.2002.1114981},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NugrahaL02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OchiKI02,
  author       = {Akihiro Ochi and
                  Yuhiro Kobayashi and
                  Masaaki Ikehara},
  title        = {Design of linear phase with unequal length paraunitary filter banks
                  with suboptimal coding gain},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {73--78},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114911},
  doi          = {10.1109/APCCAS.2002.1114911},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/OchiKI02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OhnedaKIN02,
  author       = {T. Ohneda and
                  Masaaki Kondo and
                  Masashi Imai and
                  Hiroshi Nakamura},
  title        = {Design and evaluation of high performance microprocessor with reconfigurable
                  on-chip memory},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {211--216},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114939},
  doi          = {10.1109/APCCAS.2002.1114939},
  timestamp    = {Fri, 22 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/OhnedaKIN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OhtaniNTMCOS02,
  author       = {Yoshihiro Ohtani and
                  H. Nakaoka and
                  T. Tomaru and
                  K. Maruyama and
                  Toru Chiba and
                  Takao Onoye and
                  L. Shirakawa},
  title        = {Implementation of wireless {MPEG2} transmission system using {IEEE}
                  802.11b {PHY}},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {39--44},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114904},
  doi          = {10.1109/APCCAS.2002.1114904},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/OhtaniNTMCOS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PanitantumW02,
  author       = {N. Panitantum and
                  N. Wongkomet},
  title        = {A low-offset high-CMRR switched-capacitor instrumentation amplifier
                  for data acquisition applications},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {339--342},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114965},
  doi          = {10.1109/APCCAS.2002.1114965},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PanitantumW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ParkOL02,
  author       = {In{-}Soon Park and
                  Woo Jin Oh and
                  Yong Hoon Lee},
  title        = {Design of powers-of-two coefficient {FIR} filters with minimum arithmetic
                  complexity},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {517--520},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115052},
  doi          = {10.1109/APCCAS.2002.1115052},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ParkOL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/RabahMMTW02,
  author       = {Hassan Rabah and
                  Herv{\'{e}} Mathias and
                  Eril Mozef and
                  Domingo Torres and
                  Serge Weber},
  title        = {Linear array processors with multiple access modes memory for real-time
                  image processing},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {203--206},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114937},
  doi          = {10.1109/APCCAS.2002.1114937},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/RabahMMTW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Rafeeque02,
  author       = {Sunil Rafeeque},
  title        = {Area efficient current steering {DAC} using current tuning},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {559--564},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115066},
  doi          = {10.1109/APCCAS.2002.1115066},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Rafeeque02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/RajputJ02,
  author       = {Sher Singh Rajput and
                  Sudhanshu Shekhar Jamuar},
  title        = {Ultra low voltage current mirror op amp and its applications},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {145--148},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114925},
  doi          = {10.1109/APCCAS.2002.1114925},
  timestamp    = {Wed, 08 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/RajputJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/RajputJ02a,
  author       = {Sher Singh Rajput and
                  Sudhanshu Shekhar Jamuar},
  title        = {Low voltage high performance current conveyors and their applications
                  as current sensors},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {343--346},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114966},
  doi          = {10.1109/APCCAS.2002.1114966},
  timestamp    = {Wed, 08 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/RajputJ02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/RangsanseriT02,
  author       = {Yuttapong Rangsanseri and
                  W. Thitimajshima},
  title        = {Copyright protection of images using human visual masking on DCT-based
                  watermarking},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {419--422},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114985},
  doi          = {10.1109/APCCAS.2002.1114985},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/RangsanseriT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/RikinYNDIK02,
  author       = {Andy Surya Rikin and
                  Yiwen Wang and
                  T. Nakada and
                  Dongju Li and
                  Tsuyoshi Isshiki and
                  Hiroaki Kunieda},
  title        = {Realization of fingerprint identification module on {DSP} board},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {509--512},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115050},
  doi          = {10.1109/APCCAS.2002.1115050},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/RikinYNDIK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SantiyanonSGMS02,
  author       = {Jakkapol Santiyanon and
                  D. Suwattana and
                  U. Goenchanart and
                  Settapong Malisuwan and
                  J. Sivaraks},
  title        = {Study on the performance of Bluetooth{\texttrademark} transmissions
                  in electromagnetic interference environment from the 800 MHz mobile
                  phone},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {121--126},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114920},
  doi          = {10.1109/APCCAS.2002.1114920},
  timestamp    = {Tue, 27 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SantiyanonSGMS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Sanubari02,
  author       = {Junibakti Sanubari},
  title        = {Identification algorithm of nonminimum phase system based on t-distribution
                  assumption},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {295--298},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114956},
  doi          = {10.1109/APCCAS.2002.1114956},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Sanubari02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SanubariT02,
  author       = {Junibakti Sanubari and
                  Keiichi Tokuda},
  title        = {A new algorithm for updating adaptive system},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {393--396},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114978},
  doi          = {10.1109/APCCAS.2002.1114978},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SanubariT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SchonfeldM02,
  author       = {Robby Sch{\"{o}}nfeld and
                  Paul Molitor},
  title        = {What are the samples for learning efficient routing heuristics? {[MCM}
                  routing]},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {267--272},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114951},
  doi          = {10.1109/APCCAS.2002.1114951},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SchonfeldM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SiahaanG02,
  author       = {Marlinda Siahaan and
                  Arief Hamdani Gunawan},
  title        = {Determination consideration for {DOCSIS} implementation},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {431--434},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114989},
  doi          = {10.1109/APCCAS.2002.1114989},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SiahaanG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SilvaLC02,
  author       = {Rohan G. de Silva and
                  H. Li and
                  K. H. Chu},
  title        = {An inter-agent communication protocol for remote programmable routers},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {323--328},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114962},
  doi          = {10.1109/APCCAS.2002.1114962},
  timestamp    = {Wed, 28 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/SilvaLC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SugiuraKH02,
  author       = {Akihiko Sugiura and
                  Mitsunobu Kamata and
                  Tutsuroh Hayashi},
  title        = {{MPEG} video encoding based on assigning a high information priority
                  to the focused region},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {545--548},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115060},
  doi          = {10.1109/APCCAS.2002.1115060},
  timestamp    = {Tue, 15 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/SugiuraKH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SwaminathanKLAC02,
  author       = {S. Swaminathan and
                  Shankar M. Krishnan and
                  Khiang Wee Lim and
                  Zubir Ahamed and
                  Gilbert Chiang},
  title        = {Microsensor characterization in an integrated blood gas measurement
                  system},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {15--20},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114899},
  doi          = {10.1109/APCCAS.2002.1114899},
  timestamp    = {Thu, 20 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SwaminathanKLAC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TangsangiumvisaiCC02,
  author       = {Nisachon Tangsangiumvisai and
                  Jonathon A. Chambers and
                  Anthony G. Constantinides},
  title        = {Time-varying allpass filters using spectral-shaped noise for signal
                  decorrelation in stereophonic acoustic echo cancellation},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {87--92},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114914},
  doi          = {10.1109/APCCAS.2002.1114914},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TangsangiumvisaiCC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TaniUFTLNKSI02,
  author       = {Sadahiro Tani and
                  Yoshihiro Uchida and
                  Makoto Furuie and
                  Shuji Tsukiyama and
                  BuYeol Lee and
                  Shuji Nishi and
                  Yasushi Kubota and
                  Isao Shirakawa and
                  Shigeki Imai},
  title        = {Parasitic capacitance modeling for multilevel interconnects},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {59--64},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114908},
  doi          = {10.1109/APCCAS.2002.1114908},
  timestamp    = {Tue, 19 Feb 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/TaniUFTLNKSI02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TantaswadiT02,
  author       = {P. Tantaswadi and
                  C. Tangtrongbenchasil},
  title        = {Numerical analysis of current measurement error due to localized vibration
                  effects on reciprocal fiber optic polarimetric current sensor},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {439--442},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114994},
  doi          = {10.1109/APCCAS.2002.1114994},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TantaswadiT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TantryOYA02,
  author       = {Shashidhar Tantry and
                  Takao Oura and
                  Teru Yoneyama and
                  Hideki Asai},
  title        = {A low voltage floating resistor having positive and negative resistance
                  values},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {347--350},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114967},
  doi          = {10.1109/APCCAS.2002.1114967},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TantryOYA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Thanachayanont02,
  author       = {Apinunt Thanachayanont},
  title        = {2-V 3.36-mW 2.5-GHz fourth-order inductorless {CMOS} {RF} bandpass
                  filter},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {127--130},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114921},
  doi          = {10.1109/APCCAS.2002.1114921},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Thanachayanont02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ThumwarinTMM02,
  author       = {Pitak Thumwarin and
                  Prasan Tangtisanon and
                  Shin{-}ichi Murata and
                  Takenobu Matsuura},
  title        = {On-line writer recognition for Thai numeral},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {503--508},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115049},
  doi          = {10.1109/APCCAS.2002.1115049},
  timestamp    = {Tue, 15 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/ThumwarinTMM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TianLSC02,
  author       = {Hui Tian and
                  Siew Kei Lam and
                  Thambipillai Srikanthan and
                  Chip{-}Hong Chang},
  title        = {An efficient architecture for adaptive progressive thresholding},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {513--516},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115051},
  doi          = {10.1109/APCCAS.2002.1115051},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TianLSC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TipsuwanpornNCCM02,
  author       = {Vittaya Tipsuwanporn and
                  Arjin Numsomran and
                  W. Chuchotsakunleot and
                  S. Chuenarom and
                  S. Maitreechit},
  title        = {Algorithmic {ADC} using current mode without {DAC}},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {453--456},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115004},
  doi          = {10.1109/APCCAS.2002.1115004},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/TipsuwanpornNCCM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TsengHC02,
  author       = {Po{-}Chih Tseng and
                  Chao{-}Tsung Huang and
                  Liang{-}Gee Chen},
  title        = {Generic RAM-based architecture for two-dimensional discrete wavelet
                  transform with line-based method},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {363--366},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114971},
  doi          = {10.1109/APCCAS.2002.1114971},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TsengHC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TsutsuiMION02,
  author       = {Hiroshi Tsutsui and
                  Takahiko Masuzaki and
                  Tomonori Izumi and
                  Takao Onoye and
                  Yukihiro Nakamura},
  title        = {High speed {JPEG2000} encoder by configurable processor},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {45--50},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114905},
  doi          = {10.1109/APCCAS.2002.1114905},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TsutsuiMION02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Vongmanee02,
  author       = {V. Vongmanee},
  title        = {The vector control inverter for a {PV} motor drive system implemented
                  by a single chip {DSP} controller {ADMC331}},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {447--451},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115002},
  doi          = {10.1109/APCCAS.2002.1115002},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Vongmanee02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WadaANH02,
  author       = {Kouji Wada and
                  Yoshiyuki Aihara and
                  Kouichi Nakagawa and
                  Osamu Hashimoto},
  title        = {New tap-coupling technique for improving skirt characteristics of
                  a {\(\lambda\)}/4 resonator bandpass filter by placement of attenuation
                  poles},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {359--362},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114970},
  doi          = {10.1109/APCCAS.2002.1114970},
  timestamp    = {Tue, 27 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WadaANH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WakabayashiIK02,
  author       = {Shin'ichi Wakabayashi and
                  Nobuyuki Iwauchi and
                  Hajime Kubota},
  title        = {A hierarchical standard cell placement method based on a new cluster
                  placement model},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {273--278},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114952},
  doi          = {10.1109/APCCAS.2002.1114952},
  timestamp    = {Thu, 19 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/WakabayashiIK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WatanabeK02,
  author       = {Osamu Watanabe and
                  Hitoshi Kiya},
  title        = {An extension of ROI-based scalability for progressive transmission
                  in {JPEG2000} coding},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {535--540},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115057},
  doi          = {10.1109/APCCAS.2002.1115057},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WatanabeK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WorapishetNC02,
  author       = {Apisak Worapishet and
                  S. Ninyawee and
                  Mitchai Chongcheawchamnan},
  title        = {Enhanced tuneable coupled inductor for ultra-wide variable centre
                  frequency {LC} filters},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {355--358},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114969},
  doi          = {10.1109/APCCAS.2002.1114969},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WorapishetNC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YamadaFU02,
  author       = {Toshinori Yamada and
                  Nobuaki Fujii and
                  Shuichi Ueno},
  title        = {On three-dimensional layout of pyramid networks},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {159--164},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114928},
  doi          = {10.1109/APCCAS.2002.1114928},
  timestamp    = {Mon, 26 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YamadaFU02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YongXB02,
  author       = {S. F. Yong and
                  Yong Ping Xu and
                  L. Bi},
  title        = {A fully digital feedback equalizer for {MDFE} read channel},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {373--378},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114973},
  doi          = {10.1109/APCCAS.2002.1114973},
  timestamp    = {Tue, 26 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/YongXB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YoshiokaONMK02,
  author       = {Kosuke Yoshioka and
                  Hiroyuki Oka and
                  Hideshi Nishida and
                  Ryuji Matsuura and
                  Tokuzo Kiyohara},
  title        = {An architectural extension to the media core processor for {HDTV}
                  applications},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {29--33},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114902},
  doi          = {10.1109/APCCAS.2002.1114902},
  timestamp    = {Wed, 30 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/YoshiokaONMK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZahradnikV02,
  author       = {Pavel Zahradnik and
                  Miroslav Vlcek},
  title        = {Analytical design of arbitrary oriented asteroidal 2-D {FIR} filters},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {497--502},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115048},
  doi          = {10.1109/APCCAS.2002.1115048},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZahradnikV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZahradnikVS02,
  author       = {Pavel Zahradnik and
                  Miroslav Vlcek and
                  Boris Sim{\'{a}}k},
  title        = {Equiripple {FIR} triple narrow band filters},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {83--86},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1114913},
  doi          = {10.1109/APCCAS.2002.1114913},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZahradnikVS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhouH02,
  author       = {Zhenyu Liu and
                  Zhimei Zhou and
                  Yueqiu Han},
  title        = {Tracking radar digital matched-filter {ASIC} design and its error
                  analysis},
  booktitle    = {{IEEE} Asia Pacific Conference on Circuits and Systems 2002, {APCCAS}
                  2002, Singapore, 16-18 December 2002},
  pages        = {491--496},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/APCCAS.2002.1115039},
  doi          = {10.1109/APCCAS.2002.1115039},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhouH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics