Search dblp for Publications

export results for "toc:db/conf/apccas/apccas2016.bht:"

 download as .bib file

@inproceedings{DBLP:conf/apccas/AdionoFPASLF16,
  author       = {Trio Adiono and
                  Maulana Yusuf Fathany and
                  Rachmad Vidya Wicaksana Putra and
                  Khilda Afifah and
                  Muhammad Husni Santriaji and
                  Braham Lawas Lawu and
                  Syifaul Fuada},
  title        = {Live demonstration: {MINDS} - Meshed and internet networked devices
                  system for smart home: Track selection: Embedded systems},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {736--737},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804031},
  doi          = {10.1109/APCCAS.2016.7804031},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AdionoFPASLF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AdionoPPF16,
  author       = {Trio Adiono and
                  Angga Pradana and
                  Rachmad Vidya Wicaksana Putra and
                  Syifaul Fuada},
  title        = {Analog filters design in {VLC} analog front-end receiver for reducing
                  indoor ambient light noise},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {581--584},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804058},
  doi          = {10.1109/APCCAS.2016.7804058},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AdionoPPF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AhmadB16,
  author       = {Nur Syazreen Ahmad and
                  Siti Juliana Abu Bakar},
  title        = {Phase-controlled system design via mixed H{\(\infty\)} synthesis and
                  nonlinear method},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {380--383},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803981},
  doi          = {10.1109/APCCAS.2016.7803981},
  timestamp    = {Mon, 10 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/AhmadB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AliLLH16,
  author       = {Karim Ali and
                  Fei Li and
                  Sunny Y. H. Lua and
                  Chun{-}Huat Heng},
  title        = {Compact spin transfer torque non-volatile flip flop design for power-gating
                  architecture},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {119--122},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803911},
  doi          = {10.1109/APCCAS.2016.7803911},
  timestamp    = {Wed, 25 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AliLLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AnandJS16,
  author       = {Chandani Anand and
                  Kapil Jainwal and
                  Mukul Sarkar},
  title        = {A high background light subtraction circuit for long range time-of-flight
                  cameras},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {487--490},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804010},
  doi          = {10.1109/APCCAS.2016.7804010},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AnandJS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AungK16,
  author       = {Myat Thu Linn Aung and
                  Tony T. Kim},
  title        = {Self-contained built-in-self-test/repair transceivers for interconnects
                  in 3DICs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {640--641},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804061},
  doi          = {10.1109/APCCAS.2016.7804061},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/AungK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/AzhariK16,
  author       = {Afreen Azhari and
                  Takamaro Kikkawa},
  title        = {{DC-20} GHz differential transmit/receieve {DP4T} switching matrix
                  for radar-based target detection},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {706--709},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804071},
  doi          = {10.1109/APCCAS.2016.7804071},
  timestamp    = {Sat, 12 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/AzhariK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BaekECIKIFE16,
  author       = {Seungbum Baek and
                  Jason Kamran Eshraghian and
                  Kyoung{-}Rok Cho and
                  Nicolangelo Iannella and
                  Jun{-}Ho Kim and
                  Herbert H. C. Iu and
                  Tyrone Fernando and
                  Kamran Eshraghian},
  title        = {Live demonstration: Signal flow platform implementation into retinal
                  cell pathway},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {740--741},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804033},
  doi          = {10.1109/APCCAS.2016.7804033},
  timestamp    = {Thu, 06 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/BaekECIKIFE16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BandiziolGBNP16,
  author       = {Andrea Bandiziol and
                  Werner Grollitsch and
                  Francesco Brandonisio and
                  Roberto Nonis and
                  Pierpaolo Palestri},
  title        = {Design of a 8-taps, 10Gbps transmitter for automotive micro-controllers},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803964},
  doi          = {10.1109/APCCAS.2016.7803964},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/BandiziolGBNP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BiolekBB16,
  author       = {Zdenek Biolek and
                  Dalibor Biolek and
                  Viera Biolkov{\'{a}}},
  title        = {Charging the capacitor via a (Memory) resistor},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {621--624},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804047},
  doi          = {10.1109/APCCAS.2016.7804047},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/BiolekBB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/BiolekBBK16,
  author       = {Dalibor Biolek and
                  Zdenek Biolek and
                  Viera Biolkov{\'{a}} and
                  Zdenek Kolka},
  title        = {Nonlinear inerter in the light of Chua's table of higher-order electrical
                  elements},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {617--620},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804046},
  doi          = {10.1109/APCCAS.2016.7804046},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/BiolekBBK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChangHH16,
  author       = {Tsun{-}Hsin Chang and
                  Shao{-}Chieh Hou and
                  Ing{-}Jer Huang},
  title        = {A unified GDB-based source-transaction level {SW/HW} co-debugging},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {506--509},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804015},
  doi          = {10.1109/APCCAS.2016.7804015},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChangHH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChangJWW16,
  author       = {Zhi{-}Hao Chang and
                  Bih Fei Jong and
                  Wei Jing Wong and
                  M. L. Dennis Wong},
  title        = {Distributed video transcoding on a heterogeneous computing platform},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {444--447},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803998},
  doi          = {10.1109/APCCAS.2016.7803998},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChangJWW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChangKY16,
  author       = {Ting{-}An Chang and
                  Jung{-}Ping Kuo and
                  Jar{-}Ferr Yang},
  title        = {Efficient hole filling and depth enhancement based on texture image
                  and depth map consistency},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {192--195},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803930},
  doi          = {10.1109/APCCAS.2016.7803930},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChangKY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChenGSA16,
  author       = {Wei{-}Yang Chen and
                  Daniel G{\"{u}}nther and
                  Chung{-}An Shen and
                  Gerd Ascheid},
  title        = {Design and implementation of a low-latency, high-throughput sorted
                  {QR} decomposition circuit for {MIMO} communications},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {277--280},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803953},
  doi          = {10.1109/APCCAS.2016.7803953},
  timestamp    = {Thu, 26 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChenGSA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChenHYWS16,
  author       = {Chun{-}Wei Chen and
                  Fang{-}Kai Hsu and
                  Der{-}Wei Yang and
                  Jonas Wang and
                  Ming{-}Der Shieh},
  title        = {Effective model construction for enhanced prediction in example-based
                  super-resolution},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {156--159},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803921},
  doi          = {10.1109/APCCAS.2016.7803921},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChenHYWS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChenLCC16,
  author       = {Kun{-}Chih Jimmy Chen and
                  Yen{-}Po Lin and
                  Kai{-}Yu Chiang and
                  Yu{-}Hsien Chen},
  title        = {Correlation-graph-based temperature sensor allocation for thermal-aware
                  network-on-chip systems},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {210--213},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803935},
  doi          = {10.1109/APCCAS.2016.7803935},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChenLCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChenWZ16,
  author       = {Zhenhao Chen and
                  Jiajing Wu and
                  Zibin Zheng},
  title        = {An effective rewiring strategy for optimizing traffic performance
                  of communication networks},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803895},
  doi          = {10.1109/APCCAS.2016.7803895},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChenWZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChenYZ16,
  author       = {Peng Chen and
                  Kai Yang and
                  Tianliang Zhang},
  title        = {A dualband impedance transformer realized by fractional-order inductor
                  and capacitor},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {613--616},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804045},
  doi          = {10.1109/APCCAS.2016.7804045},
  timestamp    = {Wed, 19 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChenYZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChenZCH16,
  author       = {Chun{-}An Chen and
                  Zao{-}Fu Yang and
                  Chiao{-}En Chen and
                  Yuan{-}Hao Huang},
  title        = {A generalized eigenvalue decomposition processor for multi-user {MIMO}
                  precoding},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {281--284},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803954},
  doi          = {10.1109/APCCAS.2016.7803954},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChenZCH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChengCWC16,
  author       = {Yu{-}Cheng Cheng and
                  Jin{-}Hao Chen and
                  Tung{-}Chi Wu and
                  Yen{-}Jen Chang},
  title        = {Low leakage mask vertical control {TCAM} for network router},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {469--472},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804005},
  doi          = {10.1109/APCCAS.2016.7804005},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChengCWC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChienCCSWTW16,
  author       = {Tsai{-}Kan Chien and
                  Lih{-}Yih Chiou and
                  Chieh{-}Wen Cheng and
                  Shyh{-}Shyuan Sheu and
                  Pei{-}Hua Wang and
                  Ming{-}Jinn Tsai and
                  Chih{-}I Wu},
  title        = {Memory access algorithm for low energy {CPU/GPU} heterogeneous systems
                  with hybrid {DRAM/NVM} memory architecture},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {461--464},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804003},
  doi          = {10.1109/APCCAS.2016.7804003},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChienCCSWTW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChikazawaUN16,
  author       = {Takahiro Chikazawa and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Chaos propagation in coupled chaotic circuits with multi-ring combination},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {65--68},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803897},
  doi          = {10.1109/APCCAS.2016.7803897},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChikazawaUN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChoiOK16,
  author       = {Inhyuk Choi and
                  Hyunggoy Oh and
                  Sungho Kang},
  title        = {Test access mechaism for stack test time reduction of 3-dimensional
                  integrated circuit},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {522--525},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804019},
  doi          = {10.1109/APCCAS.2016.7804019},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/ChoiOK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChoiSK16,
  author       = {Bum{-}Hee Choi and
                  Kyung{-}Sub Son and
                  Jin{-}Ku Kang},
  title        = {A low jitter burst-mode clock and data recovery circuit with two symmetric
                  VCO's},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {344--347},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803971},
  doi          = {10.1109/APCCAS.2016.7803971},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChoiSK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ChungTY16,
  author       = {Yung{-}Hui Chung and
                  Cheng{-}Hsun Tsai and
                  Hsuan{-}Chih Yeh},
  title        = {A 5-b 1-GS/s binary-search {ADC} in 90nm {CMOS}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {334--335},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803968},
  doi          = {10.1109/APCCAS.2016.7803968},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ChungTY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Delgado-Restituto16,
  author       = {Manuel Delgado{-}Restituto and
                  Manuel Carrasco{-}Robles and
                  Rafaella Fiorelli and
                  Antonio Jose Gin{\'{e}}s Arteaga and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {A 76nW, 4kS/s 10-bit {SAR} {ADC} with offset cancellation for biomedical
                  applications},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {421--424},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803992},
  doi          = {10.1109/APCCAS.2016.7803992},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Delgado-Restituto16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/DuttRR16,
  author       = {Arko Dutt and
                  Pranab Roy and
                  Hafizur Rahaman},
  title        = {TSV-aware 3-D {IC} structural planning with irregular die-size},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {713--716},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804074},
  doi          = {10.1109/APCCAS.2016.7804074},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/DuttRR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/EndoT16,
  author       = {Kento Endo and
                  Norikazu Takahashi},
  title        = {A new decentralized discrete-time algorithm for estimating algebraic
                  connectivity of multiagent networks},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {232--235},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803941},
  doi          = {10.1109/APCCAS.2016.7803941},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/EndoT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/EshraghianBCIKG16,
  author       = {Jason Kamran Eshraghian and
                  Seungbum Baek and
                  Kyoung{-}Rok Cho and
                  Nicolangelo Iannella and
                  Jun{-}Ho Kim and
                  Yong{-}Sook Goo and
                  Herbert H. C. Iu and
                  Tyrone Fernando and
                  Kamran Eshraghian},
  title        = {Modelling and analysis of signal flow platform implementation into
                  retinal cell pathway},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {491--494},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804011},
  doi          = {10.1109/APCCAS.2016.7804011},
  timestamp    = {Thu, 06 Dec 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/EshraghianBCIKG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/FangH16,
  author       = {Shao{-}Yun Fang and
                  Yun{-}Xiang Hong},
  title        = {Design optimization considering guiding template feasibility and redundant
                  via insertion for directed self-assembly},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {526--529},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804020},
  doi          = {10.1109/APCCAS.2016.7804020},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/FangH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/FujitaALZCM16,
  author       = {Yuki Fujita and
                  Fengwei An and
                  Aiwen Luo and
                  Xiangyu Zhang and
                  Lei Chen and
                  Hans J{\"{u}}rgen Mattausch},
  title        = {Pixel-based pipeline hardware architecture for high-performance Haar-like
                  feature extraction},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {611--612},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804044},
  doi          = {10.1109/APCCAS.2016.7804044},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/FujitaALZCM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Guo16,
  author       = {Yushun Guo},
  title        = {An accurate design approach for two-stage {CMOS} operational amplifiers},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {563--566},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804049},
  doi          = {10.1109/APCCAS.2016.7804049},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Guo16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/GwonKSL16,
  author       = {Oh Seong Gwon and
                  Ji Kwang Kim and
                  Jung Woo Shin and
                  Seung Eun Lee},
  title        = {Live demonstration: {AHB} based digital filter for low power mobile
                  healthcare system},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {746--747},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804036},
  doi          = {10.1109/APCCAS.2016.7804036},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/GwonKSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HaBS16,
  author       = {Jae Myung Ha and
                  Jong{-}Hyun Bae and
                  Myung Hoon Sunwoo},
  title        = {Texture-based fast {CU} size decision algorithm for {HEVC} intra coding},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {702--705},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804070},
  doi          = {10.1109/APCCAS.2016.7804070},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HaBS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HafeezDSA16,
  author       = {K. T. Hafeez and
                  Ashudeb Dutta and
                  Shiv Govind Singh and
                  Krishna Kanth Gowri Avalur},
  title        = {A compact, resource sharing on-chip soft-start technique for automotive
                  {DC-DC} converters},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {111--114},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803909},
  doi          = {10.1109/APCCAS.2016.7803909},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HafeezDSA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HagglundSAT16,
  author       = {Douglas Andersson Hagglund and
                  Girish Aramanekoppa Subbarao and
                  Mohammed Abdulaziz and
                  Markus T{\"{o}}rm{\"{a}}nen},
  title        = {Analog integrated audio frequency synthesizer},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {83--86},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803902},
  doi          = {10.1109/APCCAS.2016.7803902},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HagglundSAT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HanSKC16,
  author       = {Sangwoo Han and
                  Hyeokjun Seo and
                  Byoung Jin Kim and
                  Eui{-}Young Chung},
  title        = {{PIM} architecture exploration for {HMC}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {635--636},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804052},
  doi          = {10.1109/APCCAS.2016.7804052},
  timestamp    = {Sat, 16 Dec 2017 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/HanSKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HanYK16,
  author       = {Sodam Han and
                  Yonghee Yun and
                  Young Hwan Kim},
  title        = {Profiling-based task graph extraction on multiprocessor system-on-chip},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {510--513},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804016},
  doi          = {10.1109/APCCAS.2016.7804016},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HanYK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HanayBBN16,
  author       = {Oner Hanay and
                  Erkan Bayram and
                  David Bierbuesse and
                  Renato Negra},
  title        = {Equidistant mixer-based frequency generation for 60 GHz {FBMC} transmitter
                  topologies},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {317--320},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803963},
  doi          = {10.1109/APCCAS.2016.7803963},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HanayBBN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HidaIAM16,
  author       = {Itaru Hida and
                  Masayuki Ikebe and
                  Tetsuya Asai and
                  Masato Motomura},
  title        = {A 2-clock-cycle Na{\"{\i}}ve Bayes classifier for dynamic branch
                  prediction in pipelined {RISC} microprocessors},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {297--300},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803958},
  doi          = {10.1109/APCCAS.2016.7803958},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/HidaIAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HinamotoN16,
  author       = {Yoichi Hinamoto and
                  Shotaro Nishimura},
  title        = {Normal-form state-space realization of single frequency {IIR} notch
                  filters and its application to adaptive notch filters},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {599--602},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804041},
  doi          = {10.1109/APCCAS.2016.7804041},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HinamotoN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HoC16,
  author       = {Truong Phu Truan Ho and
                  Chip{-}Hong Chang},
  title        = {Accelerating residue-to-binary conversion of very high cardinality
                  moduli set for fully homomorphic encryption},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803882},
  doi          = {10.1109/APCCAS.2016.7803882},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HoC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Hof16,
  author       = {Eran Hof},
  title        = {Sliced polar codes},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {476--479},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804007},
  doi          = {10.1109/APCCAS.2016.7804007},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Hof16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HsiaoCW16,
  author       = {Shen{-}Fu Hsiao and
                  Jun{-}Mao Chan and
                  Ching{-}Hui Wang},
  title        = {Hardware design of histograms of oriented gradients based on local
                  binary pattern and binarization},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {433--435},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803995},
  doi          = {10.1109/APCCAS.2016.7803995},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HsiaoCW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HsiaoH16,
  author       = {Shen{-}Fu Hsiao and
                  Kuei{-}Chun Huang},
  title        = {Low-power dual-precision table-based function evaluation supporting
                  dynamic precision changes},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {710--712},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804073},
  doi          = {10.1109/APCCAS.2016.7804073},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HsiaoH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HsuCF16,
  author       = {Hao{-}Fan Hsu and
                  Chia{-}Wei Chang and
                  Chih{-}Peng Fan},
  title        = {High-efficiency and cost-sharing architecture design of fast algorithm
                  based multiple 4{\texttimes}4 and 8{\texttimes}8 forward transforms
                  for multi-standard video encoder},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {184--187},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803928},
  doi          = {10.1109/APCCAS.2016.7803928},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HsuCF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangHLCL16,
  author       = {Xiao{-}Xuan Huang and
                  Chun{-}Hsien Ho and
                  Yu{-}Cheng Li and
                  Nae{-}Chyun Chen and
                  Yi{-}Chang Lu},
  title        = {Step shift: {A} fast image segmentation algorithm and its hardware
                  implementation for next-generation sequencing fluorescence data},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {202--205},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803933},
  doi          = {10.1109/APCCAS.2016.7803933},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangHLCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangLUM16,
  author       = {Mo Huang and
                  Yan Lu and
                  Seng{-}Pan U and
                  Rui Paulo Martins},
  title        = {A digital {LDO} with transient enhancement and limit cycle oscillation
                  reduction},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803886},
  doi          = {10.1109/APCCAS.2016.7803886},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangLUM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangYWCCL16,
  author       = {Chun{-}Ming Huang and
                  Chih{-}Chyau Yang and
                  Chien{-}Ming Wu and
                  Chun{-}Wen Cheng and
                  Chun{-}Yu Chen and
                  Yi{-}Jun Liu},
  title        = {Live demonstration: MorFPGA duo platform with dual-camera support},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {738--739},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804032},
  doi          = {10.1109/APCCAS.2016.7804032},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangYWCCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangZB16,
  author       = {Qiwei Huang and
                  Chenchang Zhan and
                  Jinwook Burm},
  title        = {A low-complexity fast-locking digital {PLL} with multi-output bang-bang
                  phase detector},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {418--420},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803991},
  doi          = {10.1109/APCCAS.2016.7803991},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangZB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HuangZYYLH16,
  author       = {Fei Huang and
                  Jianyi Zhou and
                  Zhiqiang Yu and
                  Binqi Yang and
                  Ji Lan and
                  Weichen Huang},
  title        = {The research of broadband {MIMO} millimeter wave transceiver system:
                  Design and test},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {176--179},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803926},
  doi          = {10.1109/APCCAS.2016.7803926},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/HuangZYYLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/HwangL16,
  author       = {Seokha Hwang and
                  Youngjoo Lee},
  title        = {FPGA-based real-time lane detection for advanced driver assistance
                  systems},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {218--219},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803937},
  doi          = {10.1109/APCCAS.2016.7803937},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/HwangL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/IshiyamaSS16,
  author       = {Kei Ishiyama and
                  Yosuke Sugiura and
                  Tetsuya Shimamura},
  title        = {Optimized three scores combination for image quality assessment},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {5--8},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803881},
  doi          = {10.1109/APCCAS.2016.7803881},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/IshiyamaSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ItaiH16,
  author       = {Akitoshi Itai and
                  Yuta Hara},
  title        = {Lower-norm criterion based background noise estimation for simple
                  observation model},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {17--20},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803884},
  doi          = {10.1109/APCCAS.2016.7803884},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ItaiH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JangCKSP16,
  author       = {Young Jae Jang and
                  Seong{-}Eun Cho and
                  Byungsub Kim and
                  Jae{-}Yoon Sim and
                  Hong{-}June Park},
  title        = {A low-power {LDO} circuit with a fast load regulation},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {47--49},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803892},
  doi          = {10.1109/APCCAS.2016.7803892},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/JangCKSP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JangKK16,
  author       = {Chan Young Jang and
                  Sanghun Kim and
                  Young Hwan Kim},
  title        = {Contrast enhancement using multiple mapping functions for power reduction
                  in {OLED} display},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {725--726},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804077},
  doi          = {10.1109/APCCAS.2016.7804077},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/JangKK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JayaramanRK16,
  author       = {Karthik Gopal Jayaraman and
                  Karim Rawy and
                  Tony T. Kim},
  title        = {A 0.6-V power efficient digital {LDO} with 99.7{\%} current efficiency
                  utilizing load current aware clock modulation for fast transient response},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {103--106},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803907},
  doi          = {10.1109/APCCAS.2016.7803907},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/JayaramanRK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JeongKKC16,
  author       = {Jin{-}Seong Jeong and
                  Hyun{-}Tae Kim and
                  Bruce C. Kim and
                  Sang{-}Bock Cho},
  title        = {Wide rear vehicle recognition using a fisheye lens camera image},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {691--693},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804067},
  doi          = {10.1109/APCCAS.2016.7804067},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/JeongKKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JiangWC16,
  author       = {Y. S. Jiang and
                  Dong Wang and
                  Pak Kwong Chan},
  title        = {A sub-1V low dropout regulator with improved transient performance
                  for low power digital systems},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803887},
  doi          = {10.1109/APCCAS.2016.7803887},
  timestamp    = {Mon, 30 Apr 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/JiangWC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Jovanovic-Dolecek16,
  author       = {Gordana Jovanovic{-}Dolecek and
                  Alfonso Fern{\'{a}}ndez{-}V{\'{a}}zquez},
  title        = {Multiplierless two-stage comb structure with an improved magnitude
                  characteristic},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {607--610},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804043},
  doi          = {10.1109/APCCAS.2016.7804043},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/Jovanovic-Dolecek16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JuanJCK16,
  author       = {Ronnie O. Serfa Juan and
                  Min Woo Jeong and
                  Hyeong{-}Woo Cha and
                  Hi{-}Seok Kim},
  title        = {{FPGA} implementation of hamming code for increasing the frame rate
                  of {CAN} communication},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {684--687},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804065},
  doi          = {10.1109/APCCAS.2016.7804065},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/JuanJCK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/JungCP16,
  author       = {Gihoon Jung and
                  Kyungrak Choi and
                  Jongsun Park},
  title        = {A compact multi-mode {CORDIC} with Global-Shifting-Sum {(GSS)} method},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {180--183},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803927},
  doi          = {10.1109/APCCAS.2016.7803927},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/JungCP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Kaneko16,
  author       = {Mineo Kaneko},
  title        = {KKT-condition based study on {DVFS} for heterogeneous task set},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {717--720},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804075},
  doi          = {10.1109/APCCAS.2016.7804075},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Kaneko16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KangHB16,
  author       = {In Hye Kang and
                  Jun Young Hwang and
                  Byung Seong Bae},
  title        = {Fingerprint pixel sensor array on a display},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {557--558},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804029},
  doi          = {10.1109/APCCAS.2016.7804029},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KangHB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KangL16,
  author       = {Jonghoon Kang and
                  Chanho Lee},
  title        = {Digital clock data recovery circuit fot {S/PDIF}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {325--326},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803965},
  doi          = {10.1109/APCCAS.2016.7803965},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KangL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KartheekGRD16,
  author       = {R. P. Kartheek and
                  Akash Gupta and
                  Murali Krishna Rajendran and
                  Ashudeb Dutta},
  title        = {An illumination aware single solar-cell {VCO} {CCO} based charge-pump
                  energy harvesting system for SoC integration},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {250--253},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803946},
  doi          = {10.1109/APCCAS.2016.7803946},
  timestamp    = {Mon, 08 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KartheekGRD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KatoTN16,
  author       = {Hiromasa Kato and
                  Thi Hong Tran and
                  Yasuhiko Nakashima},
  title        = {{ASIC} design of a low-complexity K-best Viterbi decoder for IoT applications},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {396--399},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803985},
  doi          = {10.1109/APCCAS.2016.7803985},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KatoTN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KazeminiaS16,
  author       = {Sarang Kazeminia and
                  Arefeh Soltani},
  title        = {Single-stage offset-cancelled latched comparator scheduled by multi-level
                  control on reset switch},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {79--82},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803901},
  doi          = {10.1109/APCCAS.2016.7803901},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KazeminiaS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KazeminiaS16a,
  author       = {Sarang Kazeminia and
                  Arefeh Soltani},
  title        = {Digitally-assisted gain calibration strategy for open-loop residue
                  amplifiers in pipeline ADCs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {680--683},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804064},
  doi          = {10.1109/APCCAS.2016.7804064},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KazeminiaS16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KeWZL16,
  author       = {Liu Ke and
                  Jun Wang and
                  Xijun Zhao and
                  Fan Liang},
  title        = {Fast-Gaussian {SIFT} and its hardware architecture for keypoint detection},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {436--439},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803996},
  doi          = {10.1109/APCCAS.2016.7803996},
  timestamp    = {Mon, 15 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/KeWZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KhanKK16,
  author       = {Muhammad Umar Karim Khan and
                  Asim Khan and
                  Chong{-}Min Kyung},
  title        = {Depth refinement on sparse-depth images using visual perception cues},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {440--443},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803997},
  doi          = {10.1109/APCCAS.2016.7803997},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KhanKK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KiCZ16,
  author       = {Wing{-}Hung Ki and
                  Lin Cheng and
                  Chenchang Zhan},
  title        = {Closed-loop transfer functions and frequency-point spectrum simulation
                  of {CCM} buck converters},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {698--701},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804069},
  doi          = {10.1109/APCCAS.2016.7804069},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/KiCZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimCL16,
  author       = {Daejung Kim and
                  Keun{-}Yeong Choi and
                  Hojin Lee},
  title        = {On-glass operational amplifier using solution-processed a-IGZO TFTs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {551--553},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804027},
  doi          = {10.1109/APCCAS.2016.7804027},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimI16,
  author       = {Sanggil Kim and
                  Donggu Im},
  title        = {A tunable power amplifier employing digitally controlled accumulation-mode
                  varactor array for 2.4-GHz short-range wireless communication},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {269--272},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803951},
  doi          = {10.1109/APCCAS.2016.7803951},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimJK16,
  author       = {Sanghun Kim and
                  Chan Young Jang and
                  Young Hwan Kim},
  title        = {Weighted peak ratio for estimating stereo confidence level using color
                  similarity},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {196--197},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803931},
  doi          = {10.1109/APCCAS.2016.7803931},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimJK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimJKC16,
  author       = {Hyun{-}Tae Kim and
                  Jin{-}Seong Jeong and
                  Bruce C. Kim and
                  Sang{-}Bock Cho},
  title        = {Text information acquisition method of traffic signs for autonomous
                  navigation},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {727--729},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804078},
  doi          = {10.1109/APCCAS.2016.7804078},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimJKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimK16,
  author       = {Sunghyun Kim and
                  Youngmin Kim},
  title        = {Energy-efficient hybrid adder design by using inexact lower bits adder},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {355--357},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803974},
  doi          = {10.1109/APCCAS.2016.7803974},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimL16,
  author       = {Gain Kim and
                  Yusuf Leblebici},
  title        = {Architectural modeling of a multi-tone/single-sideband serial link
                  transceiver for lossy wireline data links},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {164--167},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803923},
  doi          = {10.1109/APCCAS.2016.7803923},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimL16a,
  author       = {Sunwoong Kim and
                  Hyuk{-}Jae Lee},
  title        = {A design of a cost-effective look-up table for RGB-to-RGBW conversion},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {188--191},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803929},
  doi          = {10.1109/APCCAS.2016.7803929},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimL16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimL16b,
  author       = {Yongchan Kim and
                  Hojin Lee},
  title        = {Design of low-dropout regulator using a-InGaZnO thin-film transistors},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {546--547},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804025},
  doi          = {10.1109/APCCAS.2016.7804025},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimL16b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimLKLSYSYSK16,
  author       = {Sung{-}Rae Kim and
                  Kijun Lee and
                  Gyuyeol Kong and
                  Myung{-}Kyu Lee and
                  Dongmin Shin and
                  Geunyeong Yu and
                  Beomkyu Shin and
                  Pilsang Yoon and
                  Hongrak Son and
                  Jun Jin Kong},
  title        = {A post-processing algorithm for reducing strong error effects in {NAND}
                  flash memory},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {465--468},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804004},
  doi          = {10.1109/APCCAS.2016.7804004},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimLKLSYSYSK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimLPK16,
  author       = {Kyungho Kim and
                  Yeongmin Lee and
                  Hyun Sang Park and
                  Chong{-}Min Kyung},
  title        = {Depth extraction using adaptive blur channel selection for dual aperture
                  camera},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {198--201},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803932},
  doi          = {10.1109/APCCAS.2016.7803932},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimLPK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimYC16,
  author       = {Jong{-}Seok Kim and
                  Jin{-}O. Yoon and
                  Byong{-}Deok Choi},
  title        = {A low-area 10b column driver with resistor-resistor-string {DAC} for
                  mobile active-matrix LCDs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {548--550},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804026},
  doi          = {10.1109/APCCAS.2016.7804026},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KimYC16a,
  author       = {Jong{-}Seok Kim and
                  Jin{-}O. Yoon and
                  Byong{-}Deok Choi},
  title        = {Low-power counter for column-parallel {CMOS} image sensors},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {554--556},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804028},
  doi          = {10.1109/APCCAS.2016.7804028},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KimYC16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KitaUN16,
  author       = {Seiya Kita and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Switching synchronization states of a ring of coupled chaotic circuits
                  with one-direction delay effects},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {384--387},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803982},
  doi          = {10.1109/APCCAS.2016.7803982},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KitaUN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KoayC16,
  author       = {Kuan Chuang Koay and
                  Pak Kwong Chan},
  title        = {A 1V low-power {CMOS} resistance-to-frequency converter using hybrid
                  transconductor for IoT},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803899},
  doi          = {10.1109/APCCAS.2016.7803899},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KoayC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Kohira0MKNT16,
  author       = {Yukihide Kohira and
                  Atsushi Takahashi and
                  Tomomi Matsui and
                  Chikaaki Kodama and
                  Shigeki Nojima and
                  Satoshi Tanaka},
  title        = {Manufacturability-aware mask assignment in multiple patterning lithography},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {538--541},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804023},
  doi          = {10.1109/APCCAS.2016.7804023},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/Kohira0MKNT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KongHSS16,
  author       = {Junjie Kong and
                  Stephan Henzler and
                  Doris Schmitt{-}Landsiedel and
                  Liter Siek},
  title        = {A 9-bit, 1.08ps resolution two-step time-to-digital converter in 65
                  nm {CMOS} for time-mode {ADC}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {348--351},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803972},
  doi          = {10.1109/APCCAS.2016.7803972},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/KongHSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KuoHC16,
  author       = {I{-}Min Kuo and
                  Wen{-}Ching Hu and
                  Tzi{-}Dar Chiueh},
  title        = {Limited search sphere decoder and adaptive detector for {NOMA} with
                  {SU-MIMO}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {573--576},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804056},
  doi          = {10.1109/APCCAS.2016.7804056},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KuoHC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KuoLCCLY16,
  author       = {Pin{-}Chen Kuo and
                  Kuan{-}Ting Lee and
                  Ching{-}Lun Chou and
                  Chun{-}Wei Chang and
                  Bin{-}Da Liu and
                  Jar{-}Ferr Yang},
  title        = {An advanced 3D format generation architecture for video and depth},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {654--657},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804082},
  doi          = {10.1109/APCCAS.2016.7804082},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KuoLCCLY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KweonJPY16,
  author       = {Soon{-}Jae Kweon and
                  Sung{-}Hun Jo and
                  Jeong{-}Ho Park and
                  Hyung{-}Joun Yoo},
  title        = {A {CMOS} sinusoidal signal generator based on mixed-time processing
                  for electrical bioimpedance spectroscopy supporting beta dispersion
                  range},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {91--94},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803904},
  doi          = {10.1109/APCCAS.2016.7803904},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KweonJPY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/KwonK16,
  author       = {Hyun{-}jeong Kwon and
                  Young Hwan Kim},
  title        = {Calculating the probability of timing violation of F/F-controlled
                  paths with timing variations},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {514--517},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804017},
  doi          = {10.1109/APCCAS.2016.7804017},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/KwonK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LaiCFL16,
  author       = {Yung{-}Hao Lai and
                  Yang{-}Lang Chang and
                  Jyh{-}Perng Fang and
                  Jie Lee},
  title        = {Simultaneous layer-aware and region-aware partitioning for 3D {IC}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {502--505},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804014},
  doi          = {10.1109/APCCAS.2016.7804014},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LaiCFL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LaiHLJCJL16,
  author       = {Shin{-}Chi Lai and
                  Te{-}Hsuan Hung and
                  Wen Chih Li and
                  Yu{-}Syuan Jhang and
                  Kuan{-}Ying Chang and
                  Wen{-}Ho Juang and
                  Ching{-}Hsing Luo},
  title        = {Low-cost prototype design of a portable {ECG} signal recorder},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {160--163},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803922},
  doi          = {10.1109/APCCAS.2016.7803922},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LaiHLJCJL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LaiJJLH16,
  author       = {Wen{-}Cheng Lai and
                  Jheng{-}Wei Jhuang and
                  Sheng{-}Lyang Jang and
                  Guan{-}Yu Lin and
                  Ching{-}Wen Hsue},
  title        = {Wide-band injection-locked frequency doubler},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {265--268},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803950},
  doi          = {10.1109/APCCAS.2016.7803950},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/LaiJJLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeTI16,
  author       = {Viet{-}Duc Le and
                  Tadashi Tsubone and
                  Naohiko Inaba},
  title        = {Rigorous analysis of Arnold tongues in a manifold piecewise-linear
                  circuit},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {236--239},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803942},
  doi          = {10.1109/APCCAS.2016.7803942},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeTI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeHLH16,
  author       = {Chi{-}Ming Lee and
                  Yong{-}Jyun Huang and
                  Chih{-}Wei Liu and
                  Yarsun Hsu},
  title        = {DeAr: {A} framework for power-efficient and flexible embedded digital
                  signal processor design},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {658--661},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804083},
  doi          = {10.1109/APCCAS.2016.7804083},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeHLH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeOJLKL16,
  author       = {Sang Muk Lee and
                  Jung{-}Hwan Oh and
                  Ji Hoon Jang and
                  Seong Mo Lee and
                  Ji Kwang Kim and
                  Seung Eun Lee},
  title        = {Live demonstration: An {FPGA} based hardware compression accelerator
                  for Hadoop system},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {744--745},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804035},
  doi          = {10.1109/APCCAS.2016.7804035},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeOJLKL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeT16,
  author       = {Su{-}Ling Lee and
                  Chien{-}Cheng Tseng},
  title        = {Image enhancement using DCT-based matrix homomorphic filtering method},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803880},
  doi          = {10.1109/APCCAS.2016.7803880},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LeeY16,
  author       = {Jung{-}Jin Lee and
                  Joon{-}Sung Yang},
  title        = {System-level failure simulation and memory allocation scheme in 3D
                  memory},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {674--675},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804062},
  doi          = {10.1109/APCCAS.2016.7804062},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LeeY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiKLU16,
  author       = {Mao{-}Ruei Li and
                  Ting{-}Yu Kuan and
                  Huang{-}Chang Lee and
                  Yeong{-}Luh Ueng},
  title        = {An {IDD} receiver of {LDPC} coded modulation scheme for flash memory
                  applications},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {289--292},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803956},
  doi          = {10.1109/APCCAS.2016.7803956},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiKLU16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiL16,
  author       = {Guan{-}Yi Li and
                  Chun{-}Yu Lin},
  title        = {On-chip {ESD} protection design for radio-frequency power amplifier
                  with large-swing-tolerance consideration},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {258--261},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803948},
  doi          = {10.1109/APCCAS.2016.7803948},
  timestamp    = {Thu, 05 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiOFHS16,
  author       = {Wei{-}Cheng Li and
                  Wei{-}Liang Ou and
                  Chih{-}Peng Fan and
                  Chien{-}Hsiu Huang and
                  Yi{-}Shian Shie},
  title        = {Near-infrared-ray and side-view video based drowsy driver detection
                  system: Whether or not wearing glasses},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {429--432},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803994},
  doi          = {10.1109/APCCAS.2016.7803994},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiOFHS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiXHS16,
  author       = {Zhelu Li and
                  Jianxiong Xi and
                  Lenian He and
                  Kexu Sun},
  title        = {A front-end circuit with 16-channel 12-bit 100-kSps RC-hybrid {SAR}
                  {ADC} for industrial monitoring application},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {340--343},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803970},
  doi          = {10.1109/APCCAS.2016.7803970},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiXHS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LinC16,
  author       = {Ching{-}Wen Lin and
                  Chung{-}Ho Chen},
  title        = {A processor shield for software-based on-line self-test},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {149--152},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803919},
  doi          = {10.1109/APCCAS.2016.7803919},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LinC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LinLCPZ16,
  author       = {Shibang Lin and
                  Dejian Liang and
                  Yuan Cao and
                  Xiaofang Pan and
                  Xiaojin Zhao},
  title        = {A low power and compact physical unclonable function based on the
                  cascode current mirrors},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {127--130},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803913},
  doi          = {10.1109/APCCAS.2016.7803913},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LinLCPZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LinLL16,
  author       = {Kuen{-}Wey Lin and
                  Yih{-}Lang Li and
                  Rung{-}Bin Lin},
  title        = {Multiple-patterning lithography-aware routing for standard cell layout
                  synthesis},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {534--537},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804022},
  doi          = {10.1109/APCCAS.2016.7804022},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LinLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LinTCWLLL16,
  author       = {Jian{-}He Lin and
                  Wen{-}Jie Tsou and
                  Ke{-}Horng Chen and
                  Chin{-}Long Wey and
                  Ying{-}Hsi Lin and
                  Jian{-}Ru Lin and
                  Lsung{-}Yen Lsai},
  title        = {A digital low-dropout-regulator with steady-state load current {(SLC)}
                  estimator and dynamic gain scaling {(DGS)} control},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {37--40},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803889},
  doi          = {10.1109/APCCAS.2016.7803889},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LinTCWLLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiuCC16,
  author       = {Chao Qun Liu and
                  Yuan Cao and
                  Chip{-}Hong Chang},
  title        = {Low-power, lightweight and reliability-enhanced current starved inverter
                  based {RO} PUFs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {646--649},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804080},
  doi          = {10.1109/APCCAS.2016.7804080},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiuCC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiuCLL16,
  author       = {Chun{-}Shen Liu and
                  Nae{-}Chyun Chen and
                  Yu{-}Cheng Li and
                  Yi{-}Chang Lu},
  title        = {An FPGA-based quality filter for de novo sequence assembly pipeline},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {139--142},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803916},
  doi          = {10.1109/APCCAS.2016.7803916},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiuCLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiuJMK16,
  author       = {Xun Liu and
                  Junmin Jiang and
                  Philip K. T. Mok and
                  Wing{-}Hung Ki},
  title        = {Methods for measuring loop-gain function of high-frequency {DC-DC}
                  converters},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {247--249},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803945},
  doi          = {10.1109/APCCAS.2016.7803945},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/LiuJMK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiuLSZL16,
  author       = {Zilong Liu and
                  Dongsheng Liu and
                  Xiangcheng Sun and
                  Xuecheng Zou and
                  Hui Lin},
  title        = {Implementation of a resource-constrained {ECC} processor with power
                  analysis countermeasure},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {206--209},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803934},
  doi          = {10.1109/APCCAS.2016.7803934},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiuLSZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiuZW16,
  author       = {Yang Liu and
                  Chenchang Zhan and
                  Lidan Wang},
  title        = {An ultra-low power {CMOS} subthreshold voltage reference without requiring
                  resistors or BJTs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {688--690},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804066},
  doi          = {10.1109/APCCAS.2016.7804066},
  timestamp    = {Sat, 19 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LiuZW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Lu16,
  author       = {Yan Lu},
  title        = {Digitally assisted low dropout regulator design for low duty cycle
                  IoT applications},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803888},
  doi          = {10.1109/APCCAS.2016.7803888},
  timestamp    = {Fri, 03 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Lu16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LuK16,
  author       = {Yue Lu and
                  Tom J. Kazmierski},
  title        = {An ultra-low-power variable-accuracy bit-serial {FFT} butterfly processing
                  element for IoT sensors},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803883},
  doi          = {10.1109/APCCAS.2016.7803883},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LuK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LuSL16,
  author       = {Qing Lu and
                  Chiu{-}Wing Sham and
                  Francis C. M. Lau},
  title        = {On using the cyclically-coupled {QC-LDPC} codes in future SSDs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {625--628},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804048},
  doi          = {10.1109/APCCAS.2016.7804048},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LuSL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LuWWZCB16,
  author       = {Xin Lu and
                  Bo Wang and
                  Zhihuang Wen and
                  Xiaojin Zhao and
                  Yuan Cao and
                  Amine Bermak},
  title        = {A low power relaxation oscillator with process insensitive auto-calibration
                  scheme},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {694--697},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804068},
  doi          = {10.1109/APCCAS.2016.7804068},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/LuWWZCB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MaBSMPW16,
  author       = {Jieming Ma and
                  Ziqiang Bi and
                  Yu Shi and
                  Ka Lok Man and
                  Xinyu Pan and
                  Jian Wang},
  title        = {{OL-SVR} based soft-sensor for real-time estimation of solar irradiance},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {448--451},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803999},
  doi          = {10.1109/APCCAS.2016.7803999},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MaBSMPW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MaanJ16,
  author       = {Akshay Kumar Maan and
                  Alex Pappachen James},
  title        = {Voltage controlled memristor threshold logic gates},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {376--379},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803980},
  doi          = {10.1109/APCCAS.2016.7803980},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MaanJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MaoLHL16,
  author       = {Wei Mao and
                  Yongfu Li and
                  Chun{-}Huat Heng and
                  Yong Lian},
  title        = {Dynamic mapping method for static and dynamic performance improvement
                  on current-steering digital-to-analog converter},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {336--339},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803969},
  doi          = {10.1109/APCCAS.2016.7803969},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MaoLHL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/MashikoK16,
  author       = {Hayato Mashiko and
                  Yukihide Kohira},
  title        = {Yield and power improvement method by post-silicon delay tuning and
                  technology mapping},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {366--369},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803977},
  doi          = {10.1109/APCCAS.2016.7803977},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/MashikoK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NagakarthikKKKC16,
  author       = {Nagakarthik Tumuganti and
                  Jeong O. Kim and
                  Tae Yang Kim and
                  Joon Ho Kong and
                  Jun Rim Choi},
  title        = {{TCAM-PUF} with improved reliability and uniqueness for security improvement},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {633--634},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804051},
  doi          = {10.1109/APCCAS.2016.7804051},
  timestamp    = {Wed, 13 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NagakarthikKKKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NakamuraI16,
  author       = {Kazuhiro Nakamura and
                  Nagisa Ishiura},
  title        = {Random testing of {C} compilers based on test program generation by
                  equivalence transformation},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {676--679},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804063},
  doi          = {10.1109/APCCAS.2016.7804063},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NakamuraI16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/NasirR16,
  author       = {Saad Bin Nasir and
                  Arijit Raychowdhury},
  title        = {Embedded hybrid {LDO} topologies for digital load circuits},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {43--46},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803891},
  doi          = {10.1109/APCCAS.2016.7803891},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/NasirR16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Nguyen-ThiCK16,
  author       = {My{-}Kieu Nguyen{-}Thi and
                  Ik Joon Chang and
                  Jinsang Kim},
  title        = {Architecture of {WLAN} channel estimators},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {588--590},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804060},
  doi          = {10.1109/APCCAS.2016.7804060},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Nguyen-ThiCK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OgawaSS16,
  author       = {Eisaku Ogawa and
                  Yosuke Sugiura and
                  Tetsuya Shimamura},
  title        = {Narrowband interference suppression with symbol interleaving for {UWB}
                  communication systems},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {172--175},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803925},
  doi          = {10.1109/APCCAS.2016.7803925},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/OgawaSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OhCK16,
  author       = {Hyunggoy Oh and
                  Inhyuk Choi and
                  Sungho Kang},
  title        = {A new online test and debug methodology for automotive camera image
                  processing system},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {370--371},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803978},
  doi          = {10.1109/APCCAS.2016.7803978},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/OhCK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OhK16,
  author       = {Junghoon Oh and
                  Mineo Kaneko},
  title        = {Mixed error correction scheme and its design optimization for soft-error
                  tolerant datapaths},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {362--365},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803976},
  doi          = {10.1109/APCCAS.2016.7803976},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/OhK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OhKY16,
  author       = {Chang{-}Hyun Oh and
                  Sae{-}Eun Kim and
                  Joon{-}Sung Yang},
  title        = {A {BIRA} using fault-free memory region for area reduction},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {480--482},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804008},
  doi          = {10.1109/APCCAS.2016.7804008},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/OhKY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OiUN16,
  author       = {Kosuke Oi and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronization in complex networks by coupled parametrically excited
                  oscillators with parameter mismatch},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803898},
  doi          = {10.1109/APCCAS.2016.7803898},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/OiUN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/OkuYT16,
  author       = {Daisuke Oku and
                  Masao Yanagisawa and
                  Nozomu Togawa},
  title        = {Implementation evaluation of scan-based attack against a Trivium cipher
                  circuit},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {220--223},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803938},
  doi          = {10.1109/APCCAS.2016.7803938},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/OkuYT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PammuCHG16,
  author       = {Ali Akbar Pammu and
                  Kwen{-}Siong Chong and
                  Weng{-}Geng Ho and
                  Bah{-}Hwee Gwee},
  title        = {Interceptive side channel attack on {AES-128} wireless communications
                  for IoT applications},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {650--653},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804081},
  doi          = {10.1109/APCCAS.2016.7804081},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PammuCHG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PammuCLHLG16,
  author       = {Ali Akbar Pammu and
                  Kwen{-}Siong Chong and
                  Ne Kyaw Zwa Lwin and
                  Weng{-}Geng Ho and
                  Nan Liu and
                  Bah{-}Hwee Gwee},
  title        = {Success rate model for fully {AES-128} in correlation power analysis},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {115--118},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803910},
  doi          = {10.1109/APCCAS.2016.7803910},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PammuCLHLG16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PanM16,
  author       = {Sijie Pan and
                  Philip K. T. Mok},
  title        = {A single on/off reference tracking buck converter using turning point
                  prediction for {DVFS} application},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {95--98},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803905},
  doi          = {10.1109/APCCAS.2016.7803905},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PanM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PandeyKNS16,
  author       = {Sunil Kumar Pandey and
                  Pravin Neminath Kondekar and
                  Kaushal Nigam and
                  Dheeraj Sharma},
  title        = {A 0.9V, 3.1-10.6 GHz {CMOS} {LNA} with high gain and wideband input
                  match in 90 nm {CMOS} process},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {730--733},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804079},
  doi          = {10.1109/APCCAS.2016.7804079},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PandeyKNS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ParkCKY16,
  author       = {Jeong{-}Ho Park and
                  Han{-}Won Cho and
                  Soon{-}Jae Kweon and
                  Hyung{-}Joun Yoo},
  title        = {Interface {IC} for breath analyzer with four three-electrode metal-oxide
                  gas sensors and a humidity sensor},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {495--498},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804012},
  doi          = {10.1109/APCCAS.2016.7804012},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ParkCKY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ParkLKSB16,
  author       = {Himchan Park and
                  Junan Lee and
                  Jinwoo Kim and
                  Yongsik Shin and
                  Jinwook Burm},
  title        = {High frame rate {VGA} {CMOS} image sensor using two-step single slope
                  ADCs},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {571--572},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804055},
  doi          = {10.1109/APCCAS.2016.7804055},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ParkLKSB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PhamL16,
  author       = {Huyen Thi Pham and
                  Hanho Lee},
  title        = {Low latency check node unit architecture for nonbinary {LDPC} decoding},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {400--401},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803986},
  doi          = {10.1109/APCCAS.2016.7803986},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PhamL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PiaoKC16,
  author       = {Zhe{-}Yan Piao and
                  Yeon{-}Jin Kim and
                  Jin{-}Gyun Chung},
  title        = {Efficient successive cancellation decoder for polar codes based on
                  frozen bits},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {585--587},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804059},
  doi          = {10.1109/APCCAS.2016.7804059},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PiaoKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PrakashSBSMM16,
  author       = {Om. Prakash and
                  Mohit Sharma and
                  Anand Bulusu and
                  A. K. Saxena and
                  S. K. Manhas and
                  Satish Maheshwaram},
  title        = {Lateral silicon nanowire based standard cell design for higher performance},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {135--138},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803915},
  doi          = {10.1109/APCCAS.2016.7803915},
  timestamp    = {Thu, 17 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PrakashSBSMM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/PyunKC16,
  author       = {Ki{-}Hyun Pyun and
                  Dae Hyun Kwon and
                  Woo{-}Young Choi},
  title        = {A 3.5/7.0/14-Gb/s multi-rate clock and data recovery circuit with
                  a multi-mode rotational binary phase detector},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {327--329},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803966},
  doi          = {10.1109/APCCAS.2016.7803966},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/PyunKC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/RahimBP16,
  author       = {Siti Amalina Enche Ab Rahim and
                  Adel Barakat and
                  Ramesh K. Pokharel},
  title        = {Design of 5.5GHz {LC} oscillator using distributed grid of N-well
                  in P-substrate inductor},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {262--264},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803949},
  doi          = {10.1109/APCCAS.2016.7803949},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/RahimBP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/RiethHA16,
  author       = {Dominik Rieth and
                  Christoph Heller and
                  Gerd Ascheid},
  title        = {Fully coherent shaped offset {QPSK} demodulator architecture with
                  superior hardware efficiency},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {168--171},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803924},
  doi          = {10.1109/APCCAS.2016.7803924},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/RiethHA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SawigunP16,
  author       = {Chutham Sawigun and
                  Prajuab Pawarangkoon},
  title        = {0.6-V, Sub-nW, second-order lowpass filters using flipped voltage
                  followers},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {254--257},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803947},
  doi          = {10.1109/APCCAS.2016.7803947},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SawigunP16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SeoK16,
  author       = {JaeHyun Seo and
                  Byungsub Kim},
  title        = {Read margin analysis in an ReRAM crossbar array},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {473--475},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804006},
  doi          = {10.1109/APCCAS.2016.7804006},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SeoK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SharmaJT16,
  author       = {Vivek Sharma and
                  Kapil Jainwal and
                  Abhishek Tripathi},
  title        = {Design of a hybrid ring oscillator at 1.5/3.0 GHz with low power supply
                  sensitivity},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {567--570},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804054},
  doi          = {10.1109/APCCAS.2016.7804054},
  timestamp    = {Wed, 02 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/SharmaJT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShihHC16,
  author       = {Xin{-}Yu Shih and
                  Po{-}Chun Huang and
                  Yu{-}Chun Chen},
  title        = {LEGO-based {VLSI} design and implementation of polar codes encoder
                  architecture with radix-2 processing engines},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {577--580},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804057},
  doi          = {10.1109/APCCAS.2016.7804057},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShihHC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShimCS16,
  author       = {Seongbo Shim and
                  Suhyeong Choi and
                  Youngsoo Shin},
  title        = {Machine learning (ML)-based lithography optimizations},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {530--533},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804021},
  doi          = {10.1109/APCCAS.2016.7804021},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShimCS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShimKHK16,
  author       = {Junbo Shim and
                  Min{-}Kyu Kim and
                  Seong{-}Kwan Hong and
                  Oh{-}Kyong Kwon},
  title        = {A low-power single-ended 11-bit {SA-ADC} with 1 {V} supply voltage
                  and 2 {V} input voltage range for {CMOS} image sensors},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {410--413},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803989},
  doi          = {10.1109/APCCAS.2016.7803989},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShimKHK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShimizuIF16,
  author       = {Akio Shimizu and
                  Yohei Ishikawa and
                  Sumio Fukai},
  title        = {A high output-swing current mirror with neuron MOSFETs in standard
                  {CMOS} technology},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803900},
  doi          = {10.1109/APCCAS.2016.7803900},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShimizuIF16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShinKPCY16,
  author       = {Seongheon Shin and
                  Soon{-}Jae Kweon and
                  Jeong{-}Ho Park and
                  Yong{-}Chang Choi and
                  Hyung{-}Joun Yoo},
  title        = {An efficient, wide range time-to-digital converter using cascaded
                  time-interpolation stages for electrical impedance spectroscopy},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {425--428},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803993},
  doi          = {10.1109/APCCAS.2016.7803993},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShinKPCY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShinOLL16,
  author       = {Jung Woo Shin and
                  Jung{-}Hwan Oh and
                  Sang Muk Lee and
                  Seung Eun Lee},
  title        = {Live demonstration: {CAN} {FD} controller for in-vehicle network},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {748--749},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804037},
  doi          = {10.1109/APCCAS.2016.7804037},
  timestamp    = {Fri, 01 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShinOLL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ShindoJ16,
  author       = {Takuya Shindo and
                  Kenya Jin'no},
  title        = {Particle swarm optimization for matrix converter of switching pattern
                  design},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803961},
  doi          = {10.1109/APCCAS.2016.7803961},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ShindoJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SongKSSN16,
  author       = {Seok{-}Jeong Song and
                  Dowon Kim and
                  Jeongrim Seo and
                  Ki{-}Hyuk Seol and
                  Hyoungsik Nam},
  title        = {Electrochromic display driving scheme for high dynamic range image
                  capture},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {637--639},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804053},
  doi          = {10.1109/APCCAS.2016.7804053},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/SongKSSN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Sooksood16,
  author       = {Kriangkrai Sooksood},
  title        = {Wide current range and high compliance-voltage bulk-driven current
                  mirrors: Simple and cascode},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {240--242},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803943},
  doi          = {10.1109/APCCAS.2016.7803943},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Sooksood16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SuK16,
  author       = {Xing Su and
                  Shinji Kimura},
  title        = {Optimization of area and power in multi-mode power gating scheme for
                  static memory elements},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {214--217},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803936},
  doi          = {10.1109/APCCAS.2016.7803936},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SuK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SuginoOKS16,
  author       = {Shohei Sugino and
                  Kazuhiro Okabe and
                  Nobuyoshi Komuro and
                  Hiroo Sekiya},
  title        = {Power-flow simulation with visualization function based on {IEEE}
                  common data format},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {301--304},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803959},
  doi          = {10.1109/APCCAS.2016.7803959},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/SuginoOKS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SunRA16,
  author       = {Menghan Sun and
                  Damith Chinthana Ranasinghe and
                  Said F. Al{-}Sarawi},
  title        = {{RF} energy harvester with peak power conversion efficiency tracking},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {107--110},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803908},
  doi          = {10.1109/APCCAS.2016.7803908},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SunRA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/SutisnaLNKO16,
  author       = {Nana Sutisna and
                  Leonardo Lanante and
                  Yuhei Nagao and
                  Masayuki Kurosaki and
                  Hiroshi Ochi},
  title        = {Unified {HW/SW} framework for efficient system level simulation},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {518--521},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804018},
  doi          = {10.1109/APCCAS.2016.7804018},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/SutisnaLNKO16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TakeuchiMUN16,
  author       = {Masaki Takeuchi and
                  Haruna Matsushita and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Firefly algorithm existing leader fireflies},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803962},
  doi          = {10.1109/APCCAS.2016.7803962},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TakeuchiMUN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TanC16,
  author       = {Jinghong Tan and
                  Jiajia Chen},
  title        = {Low complexity and quasi-linear phase {IIR} filters design based on
                  iterative convex optimization},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {603--606},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804042},
  doi          = {10.1109/APCCAS.2016.7804042},
  timestamp    = {Tue, 19 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TanC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TangYLC16,
  author       = {Huei{-}Shiuan Tang and
                  Cheng{-}Yen Yang and
                  Chih{-}Wei Liu and
                  Chia{-}Cheng Chien},
  title        = {Binaural-cue-based noise reduction using multirate quasi-ANSI filter
                  bank for hearing aids},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803885},
  doi          = {10.1109/APCCAS.2016.7803885},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TangYLC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TiredSJW16,
  author       = {Tobias Tired and
                  Henrik Sj{\"{o}}land and
                  G{\"{o}}ran J{\"{o}}nsson and
                  Johan Wernehag},
  title        = {Comparison of two SiGe 2-stage E-band power amplifier architectures},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {666--669},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804085},
  doi          = {10.1109/APCCAS.2016.7804085},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TiredSJW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TranOUN16,
  author       = {Minh Hai Tran and
                  Kosuke Oi and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronization phenomena in star-coupled van der pol oscillators
                  by adding different frequency oscillators},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {629--632},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804050},
  doi          = {10.1109/APCCAS.2016.7804050},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TranOUN16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TruongPYMAK16,
  author       = {Son Ngoc Truong and
                  Khoa Van Pham and
                  Wonsun Yang and
                  Kyeong{-}Sik Min and
                  Yawar Abbas and
                  Chi Jung Kang},
  title        = {Live demonstration: Memristor synaptic array with FPGA-implemented
                  neurons for neuromorphic pattern recognition},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {742--743},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804034},
  doi          = {10.1109/APCCAS.2016.7804034},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/TruongPYMAK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TsaiZ16,
  author       = {Tsung{-}Han Tsai and
                  Kung{-}Long Zhang},
  title        = {Implementation of intelligent home appliances based on IoT},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {146--148},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803918},
  doi          = {10.1109/APCCAS.2016.7803918},
  timestamp    = {Thu, 13 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TsaiZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TsengL16,
  author       = {Chien{-}Cheng Tseng and
                  Su{-}Ling Lee},
  title        = {Closed-form design of {FIR} frequency selective filter using discrete
                  sine transform},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {591--594},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804039},
  doi          = {10.1109/APCCAS.2016.7804039},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TsengL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TsengL16a,
  author       = {Chien{-}Cheng Tseng and
                  Su{-}Ling Lee},
  title        = {Discrete fractional H{\'{e}}non map based on digital fractional
                  order integrator},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {595--598},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804040},
  doi          = {10.1109/APCCAS.2016.7804040},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/TsengL16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/TuXIT16,
  author       = {Haicheng Tu and
                  Yongxiang Xia and
                  Herbert H. C. Iu and
                  Chi K. Tse},
  title        = {Improving robustness of power systems via optimal link switch-off},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {54--56},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803894},
  doi          = {10.1109/APCCAS.2016.7803894},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/TuXIT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/VamsiRDS16,
  author       = {Nagaveni Vamsi and
                  Sesha Sairam Ragulagadda and
                  Ashudeb Dutta and
                  Shiv Govind Singh},
  title        = {A -34dBm sensitivity battery-less wake-up receiver with digital decoder},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {721--724},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804076},
  doi          = {10.1109/APCCAS.2016.7804076},
  timestamp    = {Wed, 06 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/VamsiRDS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WanTM16,
  author       = {Qiping Wan and
                  Ying{-}Khai Teh and
                  Philip K. T. Mok},
  title        = {Analysis of a reconfigurable {TEG} array for high efficiency thermoelectric
                  energy harvesting},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {662--665},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804084},
  doi          = {10.1109/APCCAS.2016.7804084},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WanTM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WangLWML16,
  author       = {Jing Chen Wang and
                  Mark Leach and
                  Zhao Wang and
                  Ka Lok Man and
                  Eng Gee Lim},
  title        = {Rectanna design for energy harvesting},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {456--457},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804001},
  doi          = {10.1109/APCCAS.2016.7804001},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WangLWML16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WangMWCL16,
  author       = {Lei Wang and
                  Wei Mao and
                  Chundong Wu and
                  Alan Chang and
                  Yong Lian},
  title        = {A fast transient {LDO} based on dual loop {FVF} with high {PSRR}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {99--102},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803906},
  doi          = {10.1109/APCCAS.2016.7803906},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WangMWCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WangTHLW16,
  author       = {Shin{-}Shiang Wang and
                  Yi{-}Chi Tien and
                  Yin{-}Tsung Hwang and
                  Jin{-}Fa Lin and
                  Guo{-}Zua Wu},
  title        = {{MVDR} based adaptive beamformer design and its {FPGA} implementation
                  for ultrasonic imaging},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {143--145},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803917},
  doi          = {10.1109/APCCAS.2016.7803917},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WangTHLW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WangZL16,
  author       = {Lidan Wang and
                  Chenchang Zhan and
                  Guofeng Li},
  title        = {An ultra-low power and offset-insensitive {CMOS} subthreshold voltage
                  reference},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {243--246},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803944},
  doi          = {10.1109/APCCAS.2016.7803944},
  timestamp    = {Wed, 03 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/WangZL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WeiSS16,
  author       = {Xiuqin Wei and
                  Hiroo Sekiya and
                  Tadashi Suetsugu},
  title        = {New class-E rectifier with low voltage stress},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {305--308},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803960},
  doi          = {10.1109/APCCAS.2016.7803960},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WeiSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WuGMWLCL16,
  author       = {Chundong Wu and
                  Wang Ling Goh and
                  Wei Mao and
                  Lei Wang and
                  Yat{-}Hei Lam and
                  Alan Chang and
                  Yong Lian},
  title        = {A self biased full range current sensor for buck regulator},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {87--90},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803903},
  doi          = {10.1109/APCCAS.2016.7803903},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WuGMWLCL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/WuYTJ16,
  author       = {Po{-}Chang Wu and
                  Chih{-}Yuan Yeh and
                  Hann{-}Huei Tsai and
                  Ying{-}Zong Juang},
  title        = {Low-frequency noise reduction technique for accelerometer readout
                  circuit},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {483--486},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804009},
  doi          = {10.1109/APCCAS.2016.7804009},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/WuYTJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YamamotoTTYH16,
  author       = {Takahiro Yamamoto and
                  Ittetsu Taniguchi and
                  Hiroyuki Tomiyama and
                  Shigeru Yamashita and
                  Yuko Hara{-}Azumi},
  title        = {A systematic methodology for design and analysis of approximate array
                  multipliers},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {352--354},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803973},
  doi          = {10.1109/APCCAS.2016.7803973},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YamamotoTTYH16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YamamuraA16,
  author       = {Kiyotaka Yamamura and
                  Kiyoshi Adachi},
  title        = {A modified predictor-corrector method for tracing solution curves},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {372--375},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803979},
  doi          = {10.1109/APCCAS.2016.7803979},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YamamuraA16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YamamuraK16,
  author       = {Kiyotaka Yamamura and
                  Daiki Koyama},
  title        = {Finding all solutions of piecewise-linear resistive circuits using
                  excel},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {228--231},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803940},
  doi          = {10.1109/APCCAS.2016.7803940},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YamamuraK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YamamuraW16,
  author       = {Kiyotaka Yamamura and
                  Ryota Watanabe},
  title        = {A simple method for finding all characteristic curves of piecewise-linear
                  resistive circuits using an integer programming solver},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {224--227},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803939},
  doi          = {10.1109/APCCAS.2016.7803939},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YamamuraW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YanCLLCZCT16,
  author       = {Siao{-}Jie Yan and
                  Jung{-}Chuan Chou and
                  Yi{-}Hung Liao and
                  Chih{-}Hsien Lai and
                  Jian{-}Syun Chen and
                  Bo{-}Yang Zhuang and
                  Hsiang{-}Yi Chen and
                  Ting{-}Wei Tseng},
  title        = {Analysis of non-ideal effects and electrochemical impedance spectroscopy
                  of arrayed flexible NiO-based pH sensor},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {670--673},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804086},
  doi          = {10.1109/APCCAS.2016.7804086},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YanCLLCZCT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/Yang0MM16,
  author       = {Fan Yang and
                  Danny Hughes and
                  Nelson Matthys and
                  Ka Lok Man},
  title        = {The PnP Web Tag: {A} plug-and-play programming model for connecting
                  IoT devices to the web of things},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {452--455},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804000},
  doi          = {10.1109/APCCAS.2016.7804000},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/Yang0MM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YangHJLJYMJ16,
  author       = {Hung{-}Wei Yang and
                  Yongyu He and
                  Chih{-}Wei Jen and
                  Chun{-}Yi Liu and
                  Shyh{-}Jye Jou and
                  Xuefeng Yin and
                  Meng Ma and
                  Bingli Jiao},
  title        = {Interference measurement and analysis of full-duplex wireless system
                  in 60 GHz band},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {273--276},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803952},
  doi          = {10.1109/APCCAS.2016.7803952},
  timestamp    = {Wed, 12 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YangHJLJYMJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YangLM16,
  author       = {Fan Yang and
                  Yasu Lu and
                  Philip K. T. Mok},
  title        = {A comparative analysis on binary and multiple-unary weighted power
                  stage design for digital {LDO}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {41--42},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803890},
  doi          = {10.1109/APCCAS.2016.7803890},
  timestamp    = {Wed, 19 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YangLM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YangS16,
  author       = {Kai Xiang Yang and
                  Ming{-}Hwa Sheu},
  title        = {Edge-based moving object tracking algorithm for an embedded system},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {153--155},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803920},
  doi          = {10.1109/APCCAS.2016.7803920},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YangS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YangT16,
  author       = {Ching{-}Hao Yang and
                  Pei{-}Yun Tsai},
  title        = {Design of a low-complexity {O-QPSK} transceiver with spatial modulation
                  for internet-of-things applications},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {285--288},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803955},
  doi          = {10.1109/APCCAS.2016.7803955},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YangT16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YangZZY16,
  author       = {Chao Yang and
                  Chuan Zhang and
                  Shunqing Zhang and
                  Xiaohu You},
  title        = {Efficient hardware architecture of deterministic {MPA} decoder for
                  {SCMA}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803957},
  doi          = {10.1109/APCCAS.2016.7803957},
  timestamp    = {Fri, 15 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/YangZZY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YangZZY16a,
  author       = {Chao Yang and
                  Chuan Zhang and
                  Shunqing Zhang and
                  Xiaohu You},
  title        = {Efficient hardware architecture of deterministic {MPA} decoder for
                  {SCMA}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {392--395},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803984},
  doi          = {10.1109/APCCAS.2016.7803984},
  timestamp    = {Fri, 15 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/YangZZY16a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YehHWTJ16,
  author       = {Chih{-}Yuan Yeh and
                  Jung{-}Tang Huang and
                  Po{-}Chang Wu and
                  Hann{-}Huei Tsai and
                  Ying{-}Zong Juang},
  title        = {A low power and low noise {CMOS} chopper amplifier for use in capacitive
                  type accelerometer},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {642--645},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804072},
  doi          = {10.1109/APCCAS.2016.7804072},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YehHWTJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YuLFM16,
  author       = {Zhen Yu and
                  Hai{-}Ning Liang and
                  Charles Fleming and
                  Ka Lok Man},
  title        = {An exploration of usable authentication mechanisms for virtual reality
                  systems},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {458--460},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804002},
  doi          = {10.1109/APCCAS.2016.7804002},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/YuLFM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/YuZZY16,
  author       = {Anlan Yu and
                  Chuan Zhang and
                  Shunqing Zhang and
                  Xiaohu You},
  title        = {Efficient SOR-based detection and architecture for large-scale {MIMO}
                  uplink},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {402--405},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803987},
  doi          = {10.1109/APCCAS.2016.7803987},
  timestamp    = {Tue, 04 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/YuZZY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZahirB16,
  author       = {Zaira Zahir and
                  Gaurab Banerjee},
  title        = {A multi-tap inductor based 2.0-4.1 GHz wideband LC-oscillator},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {330--333},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803967},
  doi          = {10.1109/APCCAS.2016.7803967},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZahirB16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZakariaLHER16,
  author       = {F. F. Zakaria and
                  Naa Latif and
                  Shaiful Jahari Hashim and
                  Phaklen EhKan and
                  Fakhrul Zaman Rokhani},
  title        = {Cooperative virtual channel router for adaptive hardwired {FPGA} network-on-chip},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {358--361},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803975},
  doi          = {10.1109/APCCAS.2016.7803975},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZakariaLHER16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZeinolabedinDJS16,
  author       = {Seyed Mohammad Ali Zeinolabedin and
                  Anh{-}Tuan Do and
                  Dongsuk Jeon and
                  Dennis Sylvester and
                  Tony Tae{-}Hyoung Kim},
  title        = {Live demonstration: {A} 128-channel spike sorting processor featuring
                  0.175 {\(\mu\)}W and 0.0033 mm\({}^{\mbox{2}}\) per Channel in 65-nm
                  {CMOS}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {734--735},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804030},
  doi          = {10.1109/APCCAS.2016.7804030},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/ZeinolabedinDJS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZengWCZ16,
  author       = {Junwen Zeng and
                  Jiajing Wu and
                  Zhenhao Chen and
                  Zibin Zheng},
  title        = {Effect of traffic generation patterns on traffic performance of complex
                  networks},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {61--64},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803896},
  doi          = {10.1109/APCCAS.2016.7803896},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZengWCZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhanbossinovSJ16,
  author       = {Askhat Zhanbossinov and
                  Kamilya Smagulova and
                  Alex Pappachen James},
  title        = {CMOS-memristor dendrite threshold circuits},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {131--134},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803914},
  doi          = {10.1109/APCCAS.2016.7803914},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhanbossinovSJ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhangHCWZ16,
  author       = {Wei Zhang and
                  Youde Hu and
                  Keji Cui and
                  Lebo Wang and
                  Li{-}Rong Zheng},
  title        = {Design of a standing wave oscillator based {PLL}},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {559--562},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804038},
  doi          = {10.1109/APCCAS.2016.7804038},
  timestamp    = {Thu, 04 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhangHCWZ16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhangL16,
  author       = {Shu{-}Min Zhang and
                  Xiang Li},
  title        = {Mobility patterns of human population among university campuses},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {50--53},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7803893},
  doi          = {10.1109/APCCAS.2016.7803893},
  timestamp    = {Tue, 13 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhangL16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhangYYY16,
  author       = {Hang Zhang and
                  Haoyu Yang and
                  Bei Yu and
                  Evangeline F. Y. Young},
  title        = {{VLSI} layout hotspot detection based on discriminative feature extraction},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {542--545},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804024},
  doi          = {10.1109/APCCAS.2016.7804024},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhangYYY16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/ZhengW16,
  author       = {Ran Zheng and
                  Jia Wang},
  title        = {Dark current analysis of P-type and N-type pixels under total ionizing
                  dose radiation effects},
  booktitle    = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  pages        = {499--501},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/APCCAS.2016.7804013},
  doi          = {10.1109/APCCAS.2016.7804013},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/ZhengW16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/apccas/2016,
  title        = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2016, Jeju, South Korea, October 25-28, 2016},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/7786273/proceeding},
  isbn         = {978-1-5090-1570-2},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apccas/2016.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics