Search dblp for Publications

export results for "toc:db/conf/asscc/asscc2014.bht:"

 download as .bib file

@inproceedings{DBLP:conf/asscc/AthmanathanSCKA14,
  author       = {Aravinthan Athmanathan and
                  Milos Stanisavljevic and
                  Junho Cheon and
                  Seokjoon Kang and
                  Changyong Ahn and
                  Junghyuk Yoon and
                  Min{-}Chul Shin and
                  Taekseung Kim and
                  Nikolaos Papandreou and
                  Haris Pozidis and
                  Evangelos Eleftheriou},
  title        = {A 6-bit drift-resilient readout scheme for multi-level Phase-Change
                  Memory},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {137--140},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008879},
  doi          = {10.1109/ASSCC.2014.7008879},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/AthmanathanSCKA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChangHKCP14,
  author       = {Chin{-}Ho Chang and
                  Jaw{-}Juinn Horng and
                  Amit Kundu and
                  Chih{-}Chiang Chang and
                  Yung{-}Chow Peng},
  title        = {An ultra-compact, untrimmed {CMOS} bandgap reference with 3{\(\sigma\)}
                  inaccuracy of +0.64{\%} in 16nm FinFET},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {165--168},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008886},
  doi          = {10.1109/ASSCC.2014.7008886},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChangHKCP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChenPCLKCLHL14,
  author       = {Shin{-}Hao Chen and
                  Shen{-}Yu Peng and
                  Ke{-}Horng Chen and
                  Shin{-}Chi Lai and
                  Sheng Kang and
                  Kevin Cheng and
                  Ying{-}Hsi Lin and
                  Chen{-}Chih Huang and
                  Chao{-}Cheng Lee},
  title        = {A 2.5W tablet speaker delivering 3.2W pseudo high power by psychoacoustic
                  model based adaptive power management system},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008900},
  doi          = {10.1109/ASSCC.2014.7008900},
  timestamp    = {Mon, 02 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChenPCLKCLHL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChenWCL14,
  author       = {Chih{-}Lung Chen and
                  Sheng{-}Jhan Wu and
                  Hsie{-}Chia Chang and
                  Chen{-}Yi Lee},
  title        = {A 1-100Mb/s 0.5-9.9mW {LDPC} convolutional code decoder for body area
                  network},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {229--232},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008902},
  doi          = {10.1109/ASSCC.2014.7008902},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChenWCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChenWLHL14,
  author       = {Guan{-}Sing Chen and
                  Chin{-}Yang Wu and
                  Chen{-}Lun Lin and
                  Hao{-}Wei Hung and
                  Jri Lee},
  title        = {Fully-integrated 40-Gb/s pulse pattern generator and bit-error-rate
                  tester chipsets in 65-nm {CMOS} technology},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {109--112},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008872},
  doi          = {10.1109/ASSCC.2014.7008872},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChenWLHL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChengWCCSCY14,
  author       = {Chun{-}Yuan Cheng and
                  Jinn{-}Shyan Wang and
                  Pei{-}Yuan Chou and
                  Shiou{-}Ching Chen and
                  Chi{-}Tien Sun and
                  Yuan{-}Hua Chu and
                  Tzu{-}Yi Yang},
  title        = {A 3 MHz-to-1.8 GHz 94 {\(\mu\)}W-to-9.5 mW 0.0153-mm\({}^{\mbox{2}}\)
                  all-digital delay-locked loop in 65-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {361--364},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008935},
  doi          = {10.1109/ASSCC.2014.7008935},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChengWCCSCY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChiangCHL14,
  author       = {Kai{-}Hsiang Chiang and
                  Soon{-}Jyh Chang and
                  Guan{-}Ying Huang and
                  Ying{-}Zu Lin},
  title        = {A 10b 100kS/s {SAR} {ADC} with charge recycling switching method},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {329--332},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008927},
  doi          = {10.1109/ASSCC.2014.7008927},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChiangCHL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChienHHK14,
  author       = {Shih{-}Hsiung Chien and
                  Ting{-}Hsuan Hung and
                  Szu{-}Yu Huang and
                  Tai{-}Haur Kuo},
  title        = {A monolithic capacitor-current-controlled hysteretic buck converter
                  with transient-optimized feedback circuit},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {57--60},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008859},
  doi          = {10.1109/ASSCC.2014.7008859},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChienHHK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChiuehL14,
  author       = {Li{-}Hung Chiueh and
                  Tai{-}Cheng Lee},
  title        = {A 6-Gb/s adaptive-loop-bandwidth clock and data recovery {(CDR)} circuit},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {289--292},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008917},
  doi          = {10.1109/ASSCC.2014.7008917},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChiuehL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChiuehSCLHCWCLT14,
  author       = {Tzi{-}Dar Chiueh and
                  Toru Shimizu and
                  Gregory Chen and
                  Chen{-}Yi Lee and
                  Charles Hsu and
                  Tihao Chiang and
                  Zhihua Wang and
                  Junghwan Choi and
                  Jongwoo Lee and
                  Yasumoto Tomita and
                  Takayuki Kawahara},
  title        = {What is a good way to expand a silicon value to a solution value?},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {389--394},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008941},
  doi          = {10.1109/ASSCC.2014.7008941},
  timestamp    = {Wed, 27 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChiuehSCLHCWCLT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChoLBY14,
  author       = {Hyunwoo Cho and
                  Hyungwoo Lee and
                  Joonsung Bae and
                  Hoi{-}Jun Yoo},
  title        = {A 5.2mW {IEEE} 802.15.6 {HBC} standard compatible transceiver with
                  power efficient delay-locked-loop based {BPSK} demodulator},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {297--300},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008919},
  doi          = {10.1109/ASSCC.2014.7008919},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChoLBY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Choi14,
  author       = {Alex Jinsung Choi},
  title        = {Internet of Things: Evolution towards a hyper-connected society},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {5--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008846},
  doi          = {10.1109/ASSCC.2014.7008846},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Choi14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChoiRA14,
  author       = {Jaeyoung Choi and
                  M. Kumarasamy Raja and
                  M. Annamalai Arasu},
  title        = {A programmable discrete-time filter employing hardware-efficient two-dimensional
                  implementation method},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {241--244},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008905},
  doi          = {10.1109/ASSCC.2014.7008905},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChoiRA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChouFLLHHZC14,
  author       = {Yu{-}Kai Chou and
                  Yue Feng and
                  Yu{-}Hsin Lin and
                  Cong Liu and
                  Chen{-}Yen Ho and
                  Bo Hu and
                  Jun Zha and
                  Steven Chuang},
  title        = {A power management unit integrated {ADSL/ADSL2+} {CPE} analog front-end
                  with -93.5dB {THD} for DMT-based applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {113--116},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008873},
  doi          = {10.1109/ASSCC.2014.7008873},
  timestamp    = {Fri, 28 Jul 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChouFLLHHZC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ChuBJJKJ14,
  author       = {Sang{-}Hyeok Chu and
                  Woo{-}Rham Bae and
                  Gyu{-}Seob Jeong and
                  Jiho Joo and
                  Gyungock Kim and
                  Deog{-}Kyoon Jeong},
  title        = {A 26.5 Gb/s optical receiver with all-digital clock and data recovery
                  in 65nm {CMOS} process},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {101--104},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008870},
  doi          = {10.1109/ASSCC.2014.7008870},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ChuBJJKJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/De14,
  author       = {Vivek De},
  title        = {Energy efficient computing in nanoscale {CMOS:} Challenges and opportunities},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {121--124},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008875},
  doi          = {10.1109/ASSCC.2014.7008875},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/De14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/DeguchiYMOHMAK14,
  author       = {Jun Deguchi and
                  Toshiyuki Yamagishi and
                  Hideaki Majima and
                  Nau Ozaki and
                  Kazuhiro Hiwada and
                  Makoto Morimoto and
                  Tatsuji Ashitani and
                  Shouhei Kousai},
  title        = {A 1.4Mpixel {CMOS} image sensor with multiple row-rescan based data
                  sampling for optical camera communication},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {17--20},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008849},
  doi          = {10.1109/ASSCC.2014.7008849},
  timestamp    = {Wed, 03 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/DeguchiYMOHMAK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/DoLWCK14,
  author       = {Anh{-}Tuan Do and
                  Zhao Chuan Lee and
                  Bo Wang and
                  Ik{-}Joon Chang and
                  Tony Tae{-}Hyoung Kim},
  title        = {0.2 {V} 8T {SRAM} with improved bitline sensing using column-based
                  data randomization},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {141--144},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008880},
  doi          = {10.1109/ASSCC.2014.7008880},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/DoLWCK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/GuYWPO14,
  author       = {Jingren Gu and
                  Huanfen Yao and
                  Keping Wang and
                  Babak A. Parviz and
                  Brian P. Otis},
  title        = {A 10{\(\mu\)}A on-chip electrochemical impedance spectroscopy system
                  for wearables/implantables},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {309--312},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008922},
  doi          = {10.1109/ASSCC.2014.7008922},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/GuYWPO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/GuoXGZCKFHGM14,
  author       = {Shita Guo and
                  Tianzuo Xi and
                  Ping Gui and
                  Jing Zhang and
                  Wooyeol Choi and
                  Kenneth K. O and
                  Yanli Fan and
                  Daquan Huang and
                  Richard Gu and
                  Mark Morgan},
  title        = {54 GHz {CMOS} LNAs with 3.6 dB {NF} and 28.2 dB gain using transformer
                  feedback Gm-boosting technique},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {185--188},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008891},
  doi          = {10.1109/ASSCC.2014.7008891},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/GuoXGZCKFHGM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HeoMKB14,
  author       = {Sanghyun Heo and
                  Hyunggun Ma and
                  Jae Joon Kim and
                  Franklin Bien},
  title        = {Highly improved {SNR} differential sensing method using parallel operation
                  signaling for touch screen application},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {157--160},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008884},
  doi          = {10.1109/ASSCC.2014.7008884},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HeoMKB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HidaKAM14,
  author       = {Itaru Hida and
                  Dahoo Kim and
                  Tetsuya Asai and
                  Masato Motomura},
  title        = {A 4.5 to 13 times energy-efficient embedded microprocessor with mainly-static/partially-dynamic
                  reconfigurable array accelerator},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {37--40},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008854},
  doi          = {10.1109/ASSCC.2014.7008854},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/HidaKAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HongC14,
  author       = {Zheng{-}Hao Hong and
                  Wei{-}Zen Chen},
  title        = {A 3.12 pJ/bit, 19-27 Gbps receiver with 2 Tap-DFE embedded clock and
                  data recovery},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {277--280},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008914},
  doi          = {10.1109/ASSCC.2014.7008914},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HongC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HongKKKNY14,
  author       = {Injoon Hong and
                  Gyeonghoon Kim and
                  Youchang Kim and
                  Donghyun Kim and
                  Byeong{-}Gyu Nam and
                  Hoi{-}Jun Yoo},
  title        = {A 27mW reconfigurable marker-less logarithmic camera pose estimation
                  engine for mobile augmented reality processor},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {209--212},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008897},
  doi          = {10.1109/ASSCC.2014.7008897},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HongKKKNY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HongLBY14,
  author       = {Sunjoo Hong and
                  Jaehyuk Lee and
                  Joonsung Bae and
                  Hoi{-}Jun Yoo},
  title        = {A 10.4 mW electrical impedance tomography SoC for portable real-time
                  lung ventilation monitoring system},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {193--196},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008893},
  doi          = {10.1109/ASSCC.2014.7008893},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HongLBY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HsiehL14,
  author       = {Cheng{-}En Hsieh and
                  Shen{-}Iuan Liu},
  title        = {A 0.3V 10bit 7.3fJ/conversion-step {SAR} {ADC} in 0.18{\(\mu\)}m {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {325--328},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008926},
  doi          = {10.1109/ASSCC.2014.7008926},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HsiehL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HuSTCC14,
  author       = {Yao{-}Sheng Hu and
                  Chi{-}Huai Shih and
                  Hung{-}Yen Tai and
                  Hung{-}Wei Chen and
                  Hsin{-}Shu Chen},
  title        = {A 0.6V 6.4fJ/conversion-step 10-bit 150MS/s subranging {SAR} {ADC}
                  in 40nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {81--84},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008865},
  doi          = {10.1109/ASSCC.2014.7008865},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HuSTCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HuangHC14,
  author       = {Shih{-}Hao Huang and
                  Zheng{-}Hao Hong and
                  Wei{-}Zen Chen},
  title        = {A 2 {\texttimes} 20-Gb/s, 1.2-pJ/bit, time-interleaved optical receiver
                  in 40-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {97--100},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008869},
  doi          = {10.1109/ASSCC.2014.7008869},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HuangHC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/HuangLCHHHKJLZ14,
  author       = {Po{-}Tsang Huang and
                  Shu{-}Lin Lai and
                  Ching{-}Te Chuang and
                  Wei Hwang and
                  Jason Huang and
                  Angelo Hu and
                  Paul Kan and
                  Michael Jia and
                  Kimi Lv and
                  Bright Zhang},
  title        = {0.339fJ/bit/search energy-efficient {TCAM} macro design in 40nm {LP}
                  {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {129--132},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008877},
  doi          = {10.1109/ASSCC.2014.7008877},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/HuangLCHHHKJLZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/IkedaLIIM14,
  author       = {Sho Ikeda and
                  Sang{-}yeop Lee and
                  Hiroyuki Ito and
                  Noboru Ishihara and
                  Kazuya Masu},
  title        = {A 0.52-V 5.7-GHz low noise sub-sampling {PLL} with dynamic threshold
                  {MOSFET}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {365--368},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008936},
  doi          = {10.1109/ASSCC.2014.7008936},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/IkedaLIIM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/IshidaSBMCEPMST14,
  author       = {Koichi Ishida and
                  Reza Shabanpour and
                  Bahman Kheradmand Boroujeni and
                  Tilo Meister and
                  Corrado Carta and
                  Frank Ellinger and
                  Luisa Petti and
                  Niko M{\"{u}}nzenrieder and
                  Giovanni A. Salvatore and
                  Gerhard Tr{\"{o}}ster},
  title        = {22.5 dB open-loop gain, 31 kHz {GBW} pseudo-CMOS based operational
                  amplifier with a-IGZO TFTs on a flexible film},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008923},
  doi          = {10.1109/ASSCC.2014.7008923},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/IshidaSBMCEPMST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/IsmailY14,
  author       = {Yousr Ismail and
                  Chih{-}Kong Ken Yang},
  title        = {A 12-V charge pump-based square wave driver in 65-nm {CMOS} technology},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {237--240},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008904},
  doi          = {10.1109/ASSCC.2014.7008904},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/IsmailY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ItoYIHIMMM14,
  author       = {Hiroyuki Ito and
                  Yoshihiro Yoneda and
                  Taiki Ibe and
                  Taisuke Hamada and
                  Noboru Ishihara and
                  Kazuya Masu and
                  Shoichi Masui and
                  Youichi Momiyama},
  title        = {An ultra-low-power {RF} transceiver with a 1.5-pJ/bit maximally-digital
                  impulse-transmitter and an 89.5-{\(\mu\)}W super-regenerative {RSSI}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {265--268},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008911},
  doi          = {10.1109/ASSCC.2014.7008911},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ItoYIHIMMM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/JiangPLNY14,
  author       = {Jian Hong Jiang and
                  Samir Parikh and
                  Mark Lionbarger and
                  Nikola Nedovic and
                  Takuji Yamamoto},
  title        = {A DC-46Gb/s 2: 1 multiplexer and source-series terminated driver in
                  20nm {CMOS} technology},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {377--380},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008939},
  doi          = {10.1109/ASSCC.2014.7008939},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/JiangPLNY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KamaeMTO14,
  author       = {Norihiro Kamae and
                  Islam A. K. M. Mahfuzul and
                  Akira Tsuchiya and
                  Hidetoshi Onodera},
  title        = {A body bias generator with wide supply-range down to threshold voltage
                  for within-die variability compensation},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {53--56},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008858},
  doi          = {10.1109/ASSCC.2014.7008858},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/KamaeMTO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KaoFL14,
  author       = {Chien{-}Kai Kao and
                  Kuan{-}Lin Fu and
                  Shen{-}Iuan Liu},
  title        = {A 2{\texttimes}25 Gb/s clock and data recovery with background amplitude-locked
                  loop},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {281--284},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008915},
  doi          = {10.1109/ASSCC.2014.7008915},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KaoFL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimJEKYCHLP14,
  author       = {Sang Gyun Kim and
                  Seung{-}Hwan Jung and
                  Yun Seong Eo and
                  Seung{-}Hoon Kim and
                  Xiao Ying and
                  Hanbyul Choi and
                  Chaerin Hong and
                  Kyungmin Lee and
                  Sung Min Park},
  title        = {A 50-Gb/s differential transimpedance amplifier in 65nm {CMOS} technology},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {357--360},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008934},
  doi          = {10.1109/ASSCC.2014.7008934},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KimJEKYCHLP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimKHKY14,
  author       = {Youchang Kim and
                  Gyeonghoon Kim and
                  Injoon Hong and
                  Donghyun Kim and
                  Hoi{-}Jun Yoo},
  title        = {A 4.9 mW neural network task scheduler for congestion-minimized network-on-chip
                  in multi-core systems},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {213--216},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008898},
  doi          = {10.1109/ASSCC.2014.7008898},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KimKHKY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimKL14,
  author       = {Seonggeon Kim and
                  Jaehyun Kang and
                  Minjae Lee},
  title        = {A 12 bit 250 MS/s 28 mW +70 dB {SFDR} {DAC} in 0.11 {\(\mu\)}m {CMOS}
                  using controllable {RZ} window for wireless SoC integration},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {93--96},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008868},
  doi          = {10.1109/ASSCC.2014.7008868},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KimKL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimLYGGJ14,
  author       = {Seong{-}Jin Kim and
                  Lei Liu and
                  Lei Yao and
                  Wang Ling Goh and
                  Yuan Gao and
                  Minkyu Je},
  title        = {A 0.5-V sub-{\(\mu\)}W/channel neural recording {IC} with delta-modulation-based
                  spike detection},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {189--192},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008892},
  doi          = {10.1109/ASSCC.2014.7008892},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/KimLYGGJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KimuraFMFIKY14,
  author       = {Hiromitsu Kimura and
                  Takaaki Fuchikami and
                  Kyoji Marumoto and
                  Yoshikazu Fujimori and
                  Shintaro Izumi and
                  Hiroshi Kawaguchi and
                  Masahiko Yoshimoto},
  title        = {A 2.4 pJ ferroelectric-based non-volatile flip-flop with 10-year data
                  retention capability},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {21--24},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008850},
  doi          = {10.1109/ASSCC.2014.7008850},
  timestamp    = {Mon, 11 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/KimuraFMFIKY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KuanCL14,
  author       = {Ting{-}Kuei Kuan and
                  Yu{-}Hsuan Chiang and
                  Shen{-}Iuan Liu},
  title        = {A 0.43pJ/bit true random number generator},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {33--36},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008853},
  doi          = {10.1109/ASSCC.2014.7008853},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KuanCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KullPTSFMBKMAL14,
  author       = {Lukas Kull and
                  Jan Pl{\'{\i}}va and
                  Thomas Toifl and
                  Martin L. Schmatz and
                  Pier Andrea Francese and
                  Christian Menolfi and
                  Matthias Braendli and
                  Marcel A. Kossel and
                  Thomas Morf and
                  Toke Meyer Andersen and
                  Yusuf Leblebici},
  title        = {A 110 mW 6 bit 36 GS/s interleaved {SAR} {ADC} for 100 {GBE} occupying
                  0.048 mm\({}^{\mbox{2}}\) in 32 nm {SOI} {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {89--92},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008867},
  doi          = {10.1109/ASSCC.2014.7008867},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/KullPTSFMBKMAL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/KuoYWKWRANN14,
  author       = {Nai{-}Chung Kuo and
                  Bonjern Yang and
                  Chaoying Wu and
                  Lingkai Kong and
                  Angie Wang and
                  Michael Reiha and
                  Elad Alon and
                  Ali M. Niknejad and
                  Borivoje Nikolic},
  title        = {A frequency-reconfigurable multi-standard 65nm {CMOS} digital transmitter
                  with {LTCC} interposers},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {345--348},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008931},
  doi          = {10.1109/ASSCC.2014.7008931},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/KuoYWKWRANN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LaiYCSSHCL14,
  author       = {Kelvin Yi{-}Tse Lai and
                  Yu{-}Tao Yang and
                  Bang{-}Jing Chen and
                  Chun{-}Jen Shen and
                  Ming{-}Feng Shiu and
                  Zih{-}Cheng He and
                  Hsie{-}Chia Chang and
                  Chen{-}Yi Lee},
  title        = {A 3.3V 15.6b 6.1pJ/0.02{\%}RH with 10ms response humidity sensor for
                  respiratory monitoring},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008918},
  doi          = {10.1109/ASSCC.2014.7008918},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LaiYCSSHCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LamK14,
  author       = {Yat{-}Hei Lam and
                  Seong{-}Jin Kim},
  title        = {A 16.6{\(\mu\)}W 32.8MHz monolithic {CMOS} relaxation oscillator},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {161--164},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008885},
  doi          = {10.1109/ASSCC.2014.7008885},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LamK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LeeHLAKC14,
  author       = {Jongwoo Lee and
                  Byungki Han and
                  Jae{-}Hyun Lim and
                  Su{-}Seob Ahn and
                  Jae{-}Kwon Kim and
                  Thomas Byunghak Cho},
  title        = {A reconfigurable analog baseband for single-chip, Saw-less, 2G/3G/4G
                  cellular transceivers with carrier aggregation},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {9--12},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008847},
  doi          = {10.1109/ASSCC.2014.7008847},
  timestamp    = {Mon, 14 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/LeeHLAKC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LeeSBLJKKCSKPK14,
  author       = {Hyunbae Lee and
                  Taeksang Song and
                  Sangyeon Byeon and
                  Kwanghun Lee and
                  Inhwa Jung and
                  Seongjin Kang and
                  Ohkyu Kwon and
                  Koeun Cheon and
                  Donghwan Seol and
                  Jong{-}Ho Kang and
                  Gunwoo Park and
                  Yunsaing Kim},
  title        = {A 16.8Gbps/channel single-ended transceiver in 65nm {CMOS} for SiP
                  based {DRAM} interface on Si-carrier channel},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {125--128},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008876},
  doi          = {10.1109/ASSCC.2014.7008876},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LeeSBLJKKCSKPK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LeeTACZZAHLAM14,
  author       = {Seong{-}Ho Lee and
                  Duke Tran and
                  Tamer A. Ali and
                  Burak {\c{C}}atli and
                  Heng Zhang and
                  Wei Zhang and
                  Mohammed M. Abdul{-}Latif and
                  Zhi Huang and
                  Guansheng Li and
                  Mahmoud Reza Ahmadi and
                  Afshin Momtaz},
  title        = {A 23mW/lane 1.2-6.8Gb/s multi-standard transceiver in 28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {105--108},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008871},
  doi          = {10.1109/ASSCC.2014.7008871},
  timestamp    = {Thu, 01 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LeeTACZZAHLAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiCLHLH14,
  author       = {Chin{-}Fu Li and
                  Shih{-}Chieh Chou and
                  Chang{-}Ming Lai and
                  Cuei{-}Ling Hsieh and
                  Jenny Yi{-}Chun Liu and
                  Po{-}Chiun Huang},
  title        = {A feedforward noise and distortion cancellation technique for {CMOS}
                  broadband LNA-mixer},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {337--340},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008929},
  doi          = {10.1109/ASSCC.2014.7008929},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LiCLHLH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiXZRKW14,
  author       = {Yang Li and
                  Ni Xu and
                  Yining Zhang and
                  Woogeun Rhee and
                  Sanghoon Kang and
                  Zhihua Wang},
  title        = {A 0.65V 1.2mW 2.4GHz/400MHz dual-mode phase modulator for mobile healthcare
                  applications},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {261--264},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008910},
  doi          = {10.1109/ASSCC.2014.7008910},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LiXZRKW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LinHLCL14,
  author       = {Yuan{-}Fu Lin and
                  Chang{-}Cheng Huang and
                  Jiunn{-}Yih Max Lee and
                  Chih{-}Tien Chang and
                  Shen{-}Iuan Liu},
  title        = {A 5-20 Gb/s power scalable adaptive linear equalizer using edge counting},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {273--276},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008913},
  doi          = {10.1109/ASSCC.2014.7008913},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LinHLCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LinSKTCSLTLLCLH14,
  author       = {Wen{-}Pin Lin and
                  Shyh{-}Shyuan Sheu and
                  Chia{-}Chen Kuo and
                  Pei{-}Ling Tseng and
                  Meng{-}Fan Chang and
                  Keng{-}Li Su and
                  Chih{-}Sheng Lin and
                  Kan{-}Hsueh Tsai and
                  Sih{-}Han Lee and
                  Szu{-}Chieh Liu and
                  Yu{-}Sheng Chen and
                  Heng{-}Yuan Lee and
                  Ching{-}Chih Hsu and
                  Frederick T. Chen and
                  Tzu{-}Kun Ku and
                  Ming{-}Jinn Tsai and
                  Ming{-}Jer Kao},
  title        = {A nonvolatile look-up table using ReRAM for reconfigurable logic},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {133--136},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008878},
  doi          = {10.1109/ASSCC.2014.7008878},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LinSKTCSLTLLCLH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LinXMM14,
  author       = {James Lin and
                  Zule Xu and
                  Masaya Miyahara and
                  Akira Matsuzawa},
  title        = {A 0.5-to-1 {V} 9-bit 15-to-90 MS/s digitally interpolated pipelined-SAR
                  {ADC} using dynamic amplifier},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {85--88},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008866},
  doi          = {10.1109/ASSCC.2014.7008866},
  timestamp    = {Sun, 04 Aug 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LinXMM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Liu14,
  author       = {Chun{-}Cheng Liu},
  title        = {A 10-bit 320-MS/s low-cost {SAR} {ADC} for {IEEE} 802.11ac applications
                  in 20-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {77--80},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008864},
  doi          = {10.1109/ASSCC.2014.7008864},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Liu14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LiuHHGTCJ14,
  author       = {Xiayun Liu and
                  Teng Kok Hin and
                  Chun{-}Huat Heng and
                  Yuan Gao and
                  Wei{-}Da Toh and
                  San{-}Jeow Cheng and
                  Minkyu Je},
  title        = {A 103 pJ/bit multi-channel reconfigurable {GMSK/PSK/16-QAM} transmitter
                  with band-shaping},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {269--272},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008912},
  doi          = {10.1109/ASSCC.2014.7008912},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/LiuHHGTCJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/LuoL14,
  author       = {Ye{-}Sing Luo and
                  Shen{-}Iuan Liu},
  title        = {A low-input-swing {AC-DC} voltage multiplier using Schottky diodes},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {245--248},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008906},
  doi          = {10.1109/ASSCC.2014.7008906},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/LuoL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/MahfuzulSIO14,
  author       = {Islam A. K. M. Mahfuzul and
                  Jun Shiomi and
                  Tohru Ishihara and
                  Hidetoshi Onodera},
  title        = {Wide-supply-range all-digital leakage variation sensor for on-chip
                  process and temperature monitoring},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {45--48},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008856},
  doi          = {10.1109/ASSCC.2014.7008856},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/MahfuzulSIO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/MiuraFKMN14,
  author       = {Noriyuki Miura and
                  Daisuke Fujimoto and
                  Rie Korenaga and
                  Kohei Matsuda and
                  Makoto Nagata},
  title        = {An intermittent-driven supply-current equalizer for 11x and 4x power-overhead
                  savings in CPA-resistant 128bit {AES} cryptographic processor},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {225--228},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008901},
  doi          = {10.1109/ASSCC.2014.7008901},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/MiuraFKMN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/NakajimaMKSOLCK14,
  author       = {Kensuke Nakajima and
                  Akihiro Maruyama and
                  Masato Kohtani and
                  Tsuyoshi Sugiura and
                  Eiichiro Otobe and
                  Jaejin Lee and
                  Shinhee Cho and
                  Kyusub Kwak and
                  Jeongseok Lee and
                  Toshihiko Yoshimasu and
                  Minoru Fujishima},
  title        = {23Gbps 9.4pJ/bit 80/100GHz band {CMOS} transceiver with on-board antenna
                  for short-range communication},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {173--176},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008888},
  doi          = {10.1109/ASSCC.2014.7008888},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/NakajimaMKSOLCK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/NarayananDYWOM14,
  author       = {Aravind Tharayil Narayanan and
                  Wei Deng and
                  Dongsheng Yang and
                  Rui Wu and
                  Kenichi Okada and
                  Akira Matsuzawa},
  title        = {A 0.011 mm\({}^{\mbox{2}}\) PVT-robust fully-synthesizable {CDR} with
                  a data rate of 10.05 Gb/s in 28nm {FD} {SOI}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {285--288},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008916},
  doi          = {10.1109/ASSCC.2014.7008916},
  timestamp    = {Wed, 07 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/NarayananDYWOM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ObaOTTS14,
  author       = {Makoto Oba and
                  Eiji Okada and
                  Ayako Tachibana and
                  Koji Takahashi and
                  Masahiko Sagisaka},
  title        = {A low-power single-chip transceiver for 169/300/400/900 MHz band wireless
                  sensor networks},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008848},
  doi          = {10.1109/ASSCC.2014.7008848},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ObaOTTS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Ohshima14,
  author       = {Hiroyuki Ohshima},
  title        = {Mobile display technologies: Past, present and future},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008845},
  doi          = {10.1109/ASSCC.2014.7008845},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Ohshima14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ParkKCC14,
  author       = {Dong{-}Chul Park and
                  Tae{-}Hwang Kong and
                  Sukhwan Choi and
                  Gyu{-}Hyeong Cho},
  title        = {An 83{\%} peak efficiency and 1.07W/mm\({}^{\mbox{2}}\) power density
                  Single Inductor 4-Output {DC-DC} converter with Bang-Bang Zero\({}^{\mbox{th}}\)-Order
                  Control},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {61--64},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008860},
  doi          = {10.1109/ASSCC.2014.7008860},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ParkKCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/QianS14,
  author       = {Haoyu Qian and
                  Jos{\'{e}} Silva{-}Mart{\'{\i}}nez},
  title        = {A 44.9{\%} {PAE} digitally-assisted linear power amplifier in 40 nm
                  {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {349--352},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008932},
  doi          = {10.1109/ASSCC.2014.7008932},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/QianS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ReyserhoveRD14,
  author       = {Hans Reyserhove and
                  Nele Reynders and
                  Wim Dehaene},
  title        = {Ultra-low voltage datapath blocks in 28nm {UTBB} {FD-SOI}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {49--52},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008857},
  doi          = {10.1109/ASSCC.2014.7008857},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/ReyserhoveRD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Rodriguez-Perez14,
  author       = {Alberto Rodr{\'{\i}}guez{-}P{\'{e}}rez and
                  Manuel Delgado{-}Restituto and
                  Angela A. Darie and
                  Cristina Soto{-}S{\'{a}}nchez and
                  Eduardo Fern{\'{a}}ndez{-}Jover and
                  {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez},
  title        = {A 330{\(\mu\)}W, 64-channel neural recording sensor with embedded
                  spike feature extraction and auto-calibration},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008896},
  doi          = {10.1109/ASSCC.2014.7008896},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Rodriguez-Perez14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SongTS14,
  author       = {Fei Song and
                  Sam Chun{-}Geik Tan and
                  Osama Shana'a},
  title        = {An ultra-low-cost ESD-protected 0.65dB {NF} +10dBm OP1dB {GNSS} {LNA}
                  in 0.18-{\(\mu\)}m {SOI} {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {341--344},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008930},
  doi          = {10.1109/ASSCC.2014.7008930},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/SongTS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SuLYHCCLTL14,
  author       = {Yi{-}Ping Su and
                  Chiun{-}He Lin and
                  Te{-}Fu Yang and
                  Ru{-}Yu Huang and
                  Wei{-}Chung Chen and
                  Ke{-}Horng Chen and
                  Ying{-}Hsi Lin and
                  Tsung{-}Yen Tsai and
                  Chao{-}Cheng Lee},
  title        = {{CCM/GM} relative skip energy control in single-inductor multiple-output
                  {DC-DC} converter for wearable device power solution},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {65--68},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008861},
  doi          = {10.1109/ASSCC.2014.7008861},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/SuLYHCCLTL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/SubramaniyanKNS14,
  author       = {Harish Kundur Subramaniyan and
                  Eric A. M. Klumperink and
                  Bram Nauta and
                  Venkatesh Srinivasan and
                  Ali Kiaei},
  title        = {{RF} transconductor linearization technique robust to process, voltage
                  and temperature variations},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {333--336},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008928},
  doi          = {10.1109/ASSCC.2014.7008928},
  timestamp    = {Thu, 01 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/SubramaniyanKNS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/Sun14,
  author       = {Jack Yuan{-}Chen Sun},
  title        = {Semiconductor innovation into the next decade},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {117--120},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008874},
  doi          = {10.1109/ASSCC.2014.7008874},
  timestamp    = {Wed, 20 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/Sun14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TsaiKWWCH14,
  author       = {Jen{-}Huan Tsai and
                  Sheng{-}An Ko and
                  Hui{-}Huan Wang and
                  Chia{-}Wei Wang and
                  Hsin Chen and
                  Po{-}Chiun Huang},
  title        = {A 1V input, 3-to-6V output, integrated 58{\%}-efficient charge-pump
                  with hybrid topology and parasitic energy collection for 66{\%} area
                  reduction and 11{\%} efficiency improvement},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {233--236},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008903},
  doi          = {10.1109/ASSCC.2014.7008903},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TsaiKWWCH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TuLL14,
  author       = {Chih{-}Chan Tu and
                  Feng{-}Wen Lee and
                  Tsung{-}Hsien Lin},
  title        = {An area-efficient capacitively-coupled instrumentation amplifier with
                  a duty-cycled Gm-C {DC} servo loop in 0.18-{\(\mu\)}m {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {153--156},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008883},
  doi          = {10.1109/ASSCC.2014.7008883},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TuLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/TuLYL14,
  author       = {Chih{-}Chan Tu and
                  Feng{-}Wen Lee and
                  Dong{-}Feng Yeih and
                  Tsung{-}Hsien Lin},
  title        = {A 135-{\(\mu\)}W 0.46-m{\(\Omega\)}/{\(\surd\)}Hz thoracic impedance
                  variance monitor with square-wave current modulation},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {305--308},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008921},
  doi          = {10.1109/ASSCC.2014.7008921},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/TuLYL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangLH14,
  author       = {Lei Wang and
                  Yong Lian and
                  Chun{-}Huat Heng},
  title        = {A 1.44mm\({}^{\mbox{2}}\) 4-channel {UWB} beamforming receiver with
                  Q-compensation in 65nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {253--256},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008908},
  doi          = {10.1109/ASSCC.2014.7008908},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangLH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangLL14,
  author       = {Tze{-}Chien Wang and
                  Yu{-}Hsin Lin and
                  Chun{-}Cheng Liu},
  title        = {A 0.022mm\({}^{\mbox{2}}\) 98.5dB {SNDR} hybrid audio delta-sigma
                  modulator with digital {ELD} compensation in 28nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {317--320},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008924},
  doi          = {10.1109/ASSCC.2014.7008924},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangWK14,
  author       = {Pai{-}Yi Wang and
                  Li{-}Te Wu and
                  Tai{-}Haur Kuo},
  title        = {A current-mode buck converter with bandwidth reconfigurable for enhanced
                  efficiency and improved load transient response},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {69--72},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008862},
  doi          = {10.1109/ASSCC.2014.7008862},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangWK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WangZLAJ14,
  author       = {Chao Wang and
                  Jun Zhou and
                  Xin Liu and
                  Muthukumaraswamy Annamalai Arasu and
                  Minkyu Je},
  title        = {A sub-threshold to super-threshold Level Conversion Flip Flop for
                  sub/near-threshold dual-supply operation},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {41--44},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008855},
  doi          = {10.1109/ASSCC.2014.7008855},
  timestamp    = {Wed, 13 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WangZLAJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WengWL14,
  author       = {Chan{-}Hsiang Weng and
                  Chun{-}Kuan Wu and
                  Tsung{-}Hsien Lin},
  title        = {A {CMOS} thermistor-embedded continuous-time delta-sigma temperature
                  sensor with a resolution of 0.01 {\textdegree}C},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {149--152},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008882},
  doi          = {10.1109/ASSCC.2014.7008882},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WengWL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WuBDOM14,
  author       = {Rui Wu and
                  Qinghong Bu and
                  Wei Deng and
                  Kenichi Okada and
                  Akira Matsuzawa},
  title        = {A 0.015-mm\({}^{\mbox{2}}\) 60-GHz reconfigurable wake-up receiver
                  by reusing multi-stage LNAs},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {181--184},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008890},
  doi          = {10.1109/ASSCC.2014.7008890},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WuBDOM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/WuCCLLC14,
  author       = {Ching{-}Wei Wu and
                  Ming{-}Hung Chang and
                  Chia{-}Cheng Chen and
                  Robin Lee and
                  Hung{-}Jen Liao and
                  Jonathan Chang},
  title        = {A configurable 2-in-1 {SRAM} compiler with constant-negative-level
                  write driver for low Vmin in 16nm Fin-FET {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {145--148},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008881},
  doi          = {10.1109/ASSCC.2014.7008881},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/WuCCLLC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/XuWY14,
  author       = {Jian Xu and
                  Tong Wu and
                  Zhi Yang},
  title        = {A power efficient frequency shaping neural recorder with automatic
                  bandwidth adjustment},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {197--200},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008894},
  doi          = {10.1109/ASSCC.2014.7008894},
  timestamp    = {Thu, 22 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/XuWY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YanLLCCK14,
  author       = {Tzu{-}Chao Yan and
                  Chun{-}Hsing Li and
                  Chih{-}Wei Lai and
                  Wei{-}Cheng Chen and
                  Tzu{-}Yuan Chao and
                  Chien{-}Nan Kuo},
  title        = {{CMOS} THz transmissive imaging system},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {169--172},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008887},
  doi          = {10.1109/ASSCC.2014.7008887},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YanLLCCK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YangGongTWHYKHS14,
  author       = {Yifan YangGong and
                  Sebastian Turullols and
                  Daniel Woo and
                  Changku Huang and
                  King C. Yen and
                  Venkatram Krishnaswamy and
                  Kalon Holdbrook and
                  Jinuk Luke Shin},
  title        = {Asymmetric Frequency Locked Loop {(AFLL)} for adaptive clock generation
                  in a 28nm {SPARC} {M6} processor},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {373--376},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008938},
  doi          = {10.1109/ASSCC.2014.7008938},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YangGongTWHYKHS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YangWCLCTL14,
  author       = {Shang{-}Hsien Yang and
                  Chin{-}Long Wey and
                  Ke{-}Horng Chen and
                  Ying{-}Hsi Lin and
                  Jing{-}Jia Chen and
                  Tsung{-}Yen Tsai and
                  Chao{-}Cheng Lee},
  title        = {A 20MS/s buck/boost supply modulator for envelope tracking applications
                  with direct digital interface},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {73--76},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008863},
  doi          = {10.1109/ASSCC.2014.7008863},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YangWCLCTL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YaoZLXXJ14,
  author       = {Lei Yao and
                  Jianming Zhao and
                  Peng Li and
                  Rui{-}Feng Xue and
                  Yong Ping Xu and
                  Minkyu Je},
  title        = {A 20V-compliance implantable neural stimulator {IC} with closed-loop
                  power control, active charge balancing, and electrode impedance check},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {201--204},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008895},
  doi          = {10.1109/ASSCC.2014.7008895},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YaoZLXXJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YasufukuOSMNTKA14,
  author       = {Kenta Yasufuku and
                  Naoto Oshiyama and
                  Toshitada Saito and
                  Yukimasa Miyamoto and
                  Yutaka Nakamura and
                  Ryota Terauchi and
                  Atsushi Kondo and
                  Takuma Aoyama and
                  Masafumi Takahashi and
                  Yukihito Oowaki and
                  Ryoichi Bandai},
  title        = {A {UHS-II} {SD} card controller with 240MB/s write throughput and
                  260MB/s read throughput},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {29--32},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008852},
  doi          = {10.1109/ASSCC.2014.7008852},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YasufukuOSMNTKA14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YokoyamaITFTMAM14,
  author       = {Yoshisato Yokoyama and
                  Yuichiro Ishii and
                  Koji Tanaka and
                  Tatsuya Fukuda and
                  Yoshiki Tsujihashi and
                  Atsushi Miyanishi and
                  Shinobu Asayama and
                  Keiichi Maekawa and
                  Kazutoshi Shiba and
                  Koji Nii},
  title        = {40 nm Dual-port and two-port SRAMs for automotive {MCU} applications
                  under the wide temperature range of -40 to 170{\textdegree}C with
                  test screening against write disturb issues},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {25--28},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008851},
  doi          = {10.1109/ASSCC.2014.7008851},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YokoyamaITFTMAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YoshidaHMSNM14,
  author       = {Shin{-}ichi Yoshida and
                  Mutsumi Hamaguchi and
                  Takahiro Morishita and
                  Shinji Shinjo and
                  Akira Nagao and
                  Masayuki Miyamoto},
  title        = {An 87{\texttimes}49 mutual capacitance touch sensing {IC} enabling
                  0.5 mm-diameter stylus signal detection at 240 Hz-reporting-rate with
                  palm rejection},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {217--220},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008899},
  doi          = {10.1109/ASSCC.2014.7008899},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YoshidaHMSNM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/YuWYSHLJLWC14,
  author       = {Xiaobao Yu and
                  Meng Wei and
                  Yun Yin and
                  Ying Song and
                  Siyang Han and
                  Qiongbing Liu and
                  Zongming Jin and
                  Xiliang Liu and
                  Zhihua Wang and
                  Baoyong Chi},
  title        = {A fully-integrated reconfigurable dual-band transceiver for short
                  range wireless communication in 180nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {257--260},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008909},
  doi          = {10.1109/ASSCC.2014.7008909},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/YuWYSHLJLWC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhangCHMQLET14,
  author       = {Yi Zhang and
                  Chia{-}Hung Chen and
                  Tao He and
                  Xin Meng and
                  Nancy Qian and
                  Ed Liu and
                  Phillip Elliott and
                  Gabor C. Temes},
  title        = {A 1 {V} 59 fJ/Step 15 MHz {BW} 74 dB {SNDR} continuous-time {\(\Delta\)}{\(\Sigma\)}
                  modulator with digital {ELD} compensation and multi-bit {FIR} feedback},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {321--324},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008925},
  doi          = {10.1109/ASSCC.2014.7008925},
  timestamp    = {Wed, 10 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhangCHMQLET14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhangLW14,
  author       = {Zhao Zhang and
                  Liyuan Liu and
                  Nanjian Wu},
  title        = {A novel 2.4-to-3.6 GHz wideband subharmonically injection-locked {PLL}
                  with adaptively-aligned injection timing},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {369--372},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008937},
  doi          = {10.1109/ASSCC.2014.7008937},
  timestamp    = {Tue, 12 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhangLW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhangWC14,
  author       = {Xinwang Zhang and
                  Zhihua Wang and
                  Baoyong Chi},
  title        = {A 0.1-1.5GHz harmonic rejection receiver front-end with hybrid 8 phase
                  {LO} generator, phase ambiguity correction and vector gain calibration},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {353--356},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008933},
  doi          = {10.1109/ASSCC.2014.7008933},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhangWC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhangXLYHLZGWC14,
  author       = {Xinwang Zhang and
                  Yang Xu and
                  Bingqiao Liu and
                  Qian Yu and
                  Siyang Han and
                  Qiongbing Liu and
                  Zehong Zhang and
                  Yanqiang Gao and
                  Zhihua Wang and
                  Baoyong Chi},
  title        = {A 0.1-5GHz flexible {SDR} receiver in 65nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {249--252},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008907},
  doi          = {10.1109/ASSCC.2014.7008907},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhangXLYHLZGWC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhaoPHY14,
  author       = {Wenfeng Zhao and
                  Rui Pan and
                  Yajun Ha and
                  Zhi Yang},
  title        = {A 0.4V 280-nW frequency reference-less nearly all-digital hybrid domain
                  temperature sensor},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {301--304},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008920},
  doi          = {10.1109/ASSCC.2014.7008920},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhaoPHY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asscc/ZhaoR14,
  author       = {Dixian Zhao and
                  Patrick Reynaert},
  title        = {A 3 Gb/s 64-QAM E-band direct-conversion transmitter in 40-nm {CMOS}},
  booktitle    = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  pages        = {177--180},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ASSCC.2014.7008889},
  doi          = {10.1109/ASSCC.2014.7008889},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/ZhaoR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/asscc/2014,
  title        = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung,
                  Taiwan, November 10-12, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6996072/proceeding},
  isbn         = {978-1-4799-4090-5},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asscc/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}