Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/dac/dac2006.bht:"
@inproceedings{DBLP:conf/dac/AbramoviciBDLMM06, author = {Miron Abramovici and Paul Bradley and Kumar N. Dwarakanath and Peter Levin and G{\'{e}}rard Memmi and Dave Miller}, editor = {Ellen Sentovich}, title = {A reconfigurable design-for-debug infrastructure for SoCs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {7--12}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146916}, doi = {10.1145/1146909.1146916}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AbramoviciBDLMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AgarwalN06, author = {Kanak Agarwal and Sani R. Nassif}, editor = {Ellen Sentovich}, title = {Statistical analysis of {SRAM} cell stability}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {57--62}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146928}, doi = {10.1145/1146909.1146928}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AgarwalN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AhmedTJ06, author = {Nisar Ahmed and Mohammad Tehranipoor and Vinay Jayaram}, editor = {Ellen Sentovich}, title = {Timing-based delay test for screening small delay defects}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {320--325}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146993}, doi = {10.1145/1146909.1146993}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AhmedTJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AksoyCFM06, author = {Levent Aksoy and Eduardo A. C. da Costa and Paulo F. Flores and Jos{\'{e}} Monteiro}, editor = {Ellen Sentovich}, title = {Optimization of area under a delay constraint in digital filter synthesis using SAT-based integer linear programming}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {669--674}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147079}, doi = {10.1145/1146909.1147079}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AksoyCFM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Al-Yamani06, author = {Ahmad A. Al{-}Yamani}, editor = {Ellen Sentovich}, title = {{DFT} for controlled-impedance {I/O} buffers}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {405--410}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147016}, doi = {10.1145/1146909.1147016}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Al-Yamani06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AlpertKSW06, author = {Charles J. Alpert and Andrew B. Kahng and Cliff C. N. Sze and Qinke Wang}, editor = {Ellen Sentovich}, title = {Timing-driven Steiner trees are (practically) free}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {389--392}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147012}, doi = {10.1145/1146909.1147012}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AlpertKSW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AminKMKC06, author = {Chirayu S. Amin and Chandramouli V. Kashyap and Noel Menezes and Kip Killpack and Eli Chiprout}, editor = {Ellen Sentovich}, title = {A multi-port current source model for multiple-input switching effects in {CMOS} library cells}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {247--252}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146974}, doi = {10.1145/1146909.1146974}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AminKMKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AmirtharajahWCSZ06, author = {Rajeevan Amirtharajah and Justin Wenck and Jamie Collier and Jeff Siebert and Bicky Zhou}, editor = {Ellen Sentovich}, title = {Circuits for energy harvesting sensor signal processing}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {639--644}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147073}, doi = {10.1145/1146909.1147073}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AmirtharajahWCSZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AnanthanR06, author = {Hari Ananthan and Kaushik Roy}, editor = {Ellen Sentovich}, title = {A fully physical model for leakage distribution under process variations in Nanoscale double-gate {CMOS}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {413--418}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147020}, doi = {10.1145/1146909.1147020}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AnanthanR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AroraRRSJC06, author = {Divya Arora and Anand Raghunathan and Srivaths Ravi and Murugan Sankaradass and Niraj K. Jha and Srimat T. Chakradhar}, editor = {Ellen Sentovich}, title = {Software architecture exploration for high-performance security processing on a multiprocessor mobile SoC}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {496--501}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147040}, doi = {10.1145/1146909.1147040}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AroraRRSJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AtienzaVPPBMM06, author = {David Atienza and Pablo Garc{\'{\i}}a Del Valle and Giacomo Paci and Francesco Poletti and Luca Benini and Giovanni De Micheli and Jose Manuel Mendias}, editor = {Ellen Sentovich}, title = {A fast {HW/SW} FPGA-based thermal emulation framework for multi-processor system-on-chip}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {618--623}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147068}, doi = {10.1145/1146909.1147068}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AtienzaVPPBMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AwedhS06, author = {Mohammad Awedh and Fabio Somenzi}, editor = {Ellen Sentovich}, title = {Automatic invariant strengthening to prove properties in bounded model checking}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1073--1076}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147180}, doi = {10.1145/1146909.1147180}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/AwedhS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AziziN06, author = {Navid Azizi and Farid N. Najm}, editor = {Ellen Sentovich}, title = {A family of cells to reduce the soft-error-rate in ternary-CAM}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {779--784}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147106}, doi = {10.1145/1146909.1147106}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/AziziN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BandiDK06, author = {Uday Reddy Bandi and Murty Dasaka and Pavan K. Kumar}, editor = {Ellen Sentovich}, title = {Design in reliability for communication designs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {188--192}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146961}, doi = {10.1145/1146909.1146961}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BandiDK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BanerjeePDKD06, author = {Ansuman Banerjee and Bhaskar Pal and Sayantan Das and Abhijeet Kumar and Pallab Dasgupta}, editor = {Ellen Sentovich}, title = {Test generation games from formal specifications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {827--832}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147120}, doi = {10.1145/1146909.1147120}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BanerjeePDKD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BanerjeeS06, author = {Kaustav Banerjee and Navin Srivastava}, editor = {Ellen Sentovich}, title = {Are carbon nanotubes the future of {VLSI} interconnections?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {809--814}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147116}, doi = {10.1145/1146909.1147116}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BanerjeeS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BerezaTWKP06, author = {William Bereza and Yuming Tao and Shoujun Wang and Tad A. Kwasniewski and Rakesh H. Patel}, editor = {Ellen Sentovich}, title = {{PELE:} pre-emphasis {\&} equalization link estimator to address the effects of signal integrity limitations}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1013--1016}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147164}, doi = {10.1145/1146909.1147164}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BerezaTWKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BergeronFPMAS06, author = {Janick Bergeron and Harry Foster and Andrew Piziali and Raj Shekher Mitra and Catherine Ahlschlager and Doron Stein}, editor = {Ellen Sentovich}, title = {Building a verification test plan: trading brute force for finesse}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {805--806}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147113}, doi = {10.1145/1146909.1147113}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/BergeronFPMAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BhardwajVGC06, author = {Sarvesh Bhardwaj and Sarma B. K. Vrudhula and Praveen Ghanta and Yu Cao}, editor = {Ellen Sentovich}, title = {Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {791--796}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147109}, doi = {10.1145/1146909.1147109}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BhardwajVGC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Borkar06, author = {Shekhar Borkar}, editor = {Ellen Sentovich}, title = {Electronics beyond nano-scale {CMOS}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {807--808}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147115}, doi = {10.1145/1146909.1147115}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Borkar06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BorkarBCNSS06, author = {Shekhar Borkar and Robert W. Brodersen and Jue{-}Hsien Chern and Eric Naviasky and D. Saias and Charles G. Sodini}, editor = {Ellen Sentovich}, title = {Tomorrow's analog: just dead or just different?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {709--710}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147089}, doi = {10.1145/1146909.1147089}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BorkarBCNSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BrahmbhattZWQ06, author = {Avnish R. Brahmbhatt and Jingyi Zhang and Qing Wu and Qinru Qiu}, editor = {Ellen Sentovich}, title = {Low-power bus encoding using an adaptive hybrid algorithm}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {987--990}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147158}, doi = {10.1145/1146909.1147158}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BrahmbhattZWQ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BrierM06, author = {David Brier and Raj S. Mitra}, editor = {Ellen Sentovich}, title = {Use of {C/C++} models for architecture exploration and verification of DSPs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {79--84}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146935}, doi = {10.1145/1146909.1146935}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BrierM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BruceHNBRL06, author = {Alistair C. Bruce and M. M. Kamal Hashmi and Andrew Nightingale and Steve Beavis and Nizar Romdhane and Christopher K. Lennard}, editor = {Ellen Sentovich}, title = {Maintaining consistency between systemC and {RTL} system designs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {85--89}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146936}, doi = {10.1145/1146909.1146936}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BruceHNBRL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BudnikRBR06, author = {Mark M. Budnik and Arijit Raychowdhury and Aditya Bansal and Kaushik Roy}, editor = {Ellen Sentovich}, title = {A high density, carbon nanotube capacitor for decoupling applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {935--938}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147146}, doi = {10.1145/1146909.1147146}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BudnikRBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BurginCHMMSKFF06, author = {Felix B{\"{u}}rgin and Flavio Carbognani and Martin Hediger and Hektor Meier and Robert Meyer{-}Piening and Rafael Santschi and Hubert Kaeslin and Norbert Felber and Wolfgang Fichtner}, editor = {Ellen Sentovich}, title = {Low-power architectural trade-offs in a {VLSI} implementation of an adaptive hearing aid algorithm}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {558--561}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147054}, doi = {10.1145/1146909.1147054}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BurginCHMMSKFF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CaoDH06, author = {Ke Cao and Sorin Dobre and Jiang Hu}, editor = {Ellen Sentovich}, title = {Standard cell characterization considering lithography induced variations}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {801--804}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147111}, doi = {10.1145/1146909.1147111}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CaoDH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CarmonaC06, author = {Josep Carmona and Jordi Cortadella}, editor = {Ellen Sentovich}, title = {State encoding of large asynchronous controllers}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {939--944}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147148}, doi = {10.1145/1146909.1147148}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CarmonaC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChangSC06, author = {Kuei{-}Chung Chang and Jih{-}Sheng Shen and Tien{-}Fu Chen}, editor = {Ellen Sentovich}, title = {Evaluation and design trade-offs between circuit-switched and packet-switched NOCs for application-specific SOCs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {143--148}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146950}, doi = {10.1145/1146909.1146950}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChangSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChaoCWCW06, author = {Mango Chia{-}Tso Chao and Kwang{-}Ting Cheng and Seongmoon Wang and Srimat T. Chakradhar and Wenlong Wei}, editor = {Ellen Sentovich}, title = {Unknown-tolerance analysis and test-quality control for test response compaction using space compactors}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1083--1088}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147183}, doi = {10.1145/1146909.1147183}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChaoCWCW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCCCH06, author = {Huang{-}Yu Chen and Mei{-}Fang Chiang and Yao{-}Wen Chang and Lumdo Chen and Brian Han}, editor = {Ellen Sentovich}, title = {Novel full-chip gridless routing considering double-via insertion}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {755--760}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147101}, doi = {10.1145/1146909.1147101}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenCCCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCFX06, author = {Deming Chen and Jason Cong and Yiping Fan and Junjuan Xu}, editor = {Ellen Sentovich}, title = {Optimality study of resource binding with multi-Vdds}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {580--585}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147059}, doi = {10.1145/1146909.1147059}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenCFX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenRPR06, author = {Gang Chen and Sudhakar M. Reddy and Irith Pomeranz and Janusz Rajski}, editor = {Ellen Sentovich}, title = {A test pattern ordering algorithm for diagnosis with truncated fail data}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {399--404}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147015}, doi = {10.1145/1146909.1147015}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenRPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChengC06, author = {Wei{-}Chung Cheng and Chain{-}Fu Chao}, editor = {Ellen Sentovich}, title = {Minimization for LED-backlit TFT-LCDs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {608--611}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147065}, doi = {10.1145/1146909.1147065}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChengC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChengDCW06, author = {Lei Cheng and Liang Deng and Deming Chen and Martin D. F. Wong}, editor = {Ellen Sentovich}, title = {A fast simultaneous input vector generation and gate replacement algorithm for leakage power reduction}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {117--120}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146944}, doi = {10.1145/1146909.1146944}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChengDCW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChiouCCY06, author = {De{-}Shiuan Chiou and Shih{-}Hsin Chen and Shih{-}Chieh Chang and Chingwei Yeh}, editor = {Ellen Sentovich}, title = {Timing driven power gating}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {121--124}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146945}, doi = {10.1145/1146909.1146945}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChiouCCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoCCV06, author = {Youngjin Cho and Naehyuck Chang and Chaitali Chakrabarti and Sarma B. K. Vrudhula}, editor = {Ellen Sentovich}, title = {High-level power management of embedded systems with application-specific energy cost functions}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {568--573}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147057}, doi = {10.1145/1146909.1147057}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoCCV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoP06, author = {Minsik Cho and David Z. Pan}, editor = {Ellen Sentovich}, title = {BoxRouter: a new global router based on box expansion and progressive {ILP}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {373--378}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147009}, doi = {10.1145/1146909.1147009}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChoP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CohnKMTT06, author = {John M. Cohn and Jeong{-}Taek Kong and Chris Malachowsky and Rich Tobias and Brendan Traw}, editor = {Ellen Sentovich}, title = {Design challenges for next-generation multimedia, game and entertainment platforms}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {459}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147031}, doi = {10.1145/1146909.1147031}, timestamp = {Thu, 06 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/CohnKMTT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongFHJZ06, author = {Jason Cong and Yiping Fan and Guoling Han and Wei Jiang and Zhiru Zhang}, editor = {Ellen Sentovich}, title = {Behavior and communication co-optimization for systems with sequential communication media}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {675--678}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147080}, doi = {10.1145/1146909.1147080}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongFHJZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongZ06, author = {Jason Cong and Zhiru Zhang}, editor = {Ellen Sentovich}, title = {An efficient and versatile scheduling algorithm based on {SDC} formulation}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {433--438}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147025}, doi = {10.1145/1146909.1147025}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CortadellaKG06, author = {Jordi Cortadella and Michael Kishinevsky and Bill Grundmann}, editor = {Ellen Sentovich}, title = {Synthesis of synchronous elastic architectures}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {657--662}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147077}, doi = {10.1145/1146909.1147077}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CortadellaKG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DadgourJB06, author = {Hamed F. Dadgour and Rajiv V. Joshi and Kaustav Banerjee}, editor = {Ellen Sentovich}, title = {A novel variation-aware low-power keeper architecture for wide fan-in dynamic gates}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {977--982}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147156}, doi = {10.1145/1146909.1147156}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DadgourJB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DavoodiS06, author = {Azadeh Davoodi and Ankur Srivastava}, editor = {Ellen Sentovich}, title = {Variability driven gate sizing for binning yield optimization}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {959--964}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147152}, doi = {10.1145/1146909.1147152}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DavoodiS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DebaillieBLVC06, author = {Bj{\"{o}}rn Debaillie and Bruno Bougard and Gregory Lenoir and Gerd Vandersteen and Francky Catthoor}, editor = {Ellen Sentovich}, title = {Energy-scalable {OFDM} transmitter design and control}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {536--541}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147050}, doi = {10.1145/1146909.1147050}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DebaillieBLVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/DupenloupLM06, author = {Guy Dupenloup and Thierry Lemeunier and Roland Mayr}, editor = {Ellen Sentovich}, title = {Transistor abstraction for the functional verification of FPGAs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1069--1072}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147179}, doi = {10.1145/1146909.1147179}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/DupenloupLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/EeckelaertSGSS06, author = {Tom Eeckelaert and Raf Schoofs and Georges G. E. Gielen and Michiel Steyaert and Willy M. C. Sansen}, editor = {Ellen Sentovich}, title = {Hierarchical bottom--up analog optimization methodology validated by a delta-sigma {A/D} converter design for the 802.11a/b/g standard}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {25--30}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146920}, doi = {10.1145/1146909.1146920}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/EeckelaertSGSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ElbazTSGBM06, author = {Reouven Elbaz and Lionel Torres and Gilles Sassatelli and Pierre Guillemin and Michel Bardouillet and Albert Martinez}, editor = {Ellen Sentovich}, title = {A parallelized way to provide data encryption and integrity checking on a processor-memory bus}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {506--509}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147042}, doi = {10.1145/1146909.1147042}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ElbazTSGBM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FatemiNP06, author = {Hanif Fatemi and Shahin Nazarian and Massoud Pedram}, editor = {Ellen Sentovich}, title = {Statistical logic cell delay analysis using a current-based model}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {253--256}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146975}, doi = {10.1145/1146909.1146975}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FatemiNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FengH06, author = {Xiushan Feng and Alan J. Hu}, editor = {Ellen Sentovich}, title = {Early cutpoint insertion for high-level software vs. {RTL} formal combinational equivalence verification}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1063--1068}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147178}, doi = {10.1145/1146909.1147178}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FengH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/FlakeDS06, author = {Peter Flake and Simon J. Davidmann and Frank Schirrmeister}, editor = {Ellen Sentovich}, title = {System-level exploration tools for MPSoC designs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {286--287}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146982}, doi = {10.1145/1146909.1146982}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/FlakeDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GargJKC06, author = {Rajesh Garg and Nikhil Jayakumar and Sunil P. Khatri and Gwan Choi}, editor = {Ellen Sentovich}, title = {A design approach for radiation-hard digital electronics}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {773--778}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147105}, doi = {10.1145/1146909.1147105}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GargJKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GeorgelinK06, author = {Philippe Georgelin and Venkat Krishnaswamy}, editor = {Ellen Sentovich}, title = {Towards a C++-based design methodology facilitating sequential equivalence checking}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {93--96}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146938}, doi = {10.1145/1146909.1146938}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GeorgelinK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhantaVBP06, author = {Praveen Ghanta and Sarma B. K. Vrudhula and Sarvesh Bhardwaj and Rajendran Panda}, editor = {Ellen Sentovich}, title = {Stochastic variational analysis of large power grids considering intra-die correlations}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {211--216}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146966}, doi = {10.1145/1146909.1146966}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhantaVBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GhoshMKR06, author = {Swaroop Ghosh and Saibal Mukhopadhyay and Keejong Kim and Kaushik Roy}, editor = {Ellen Sentovich}, title = {Self-calibration technique for reduction of hold failures in low-power nano-scaled {SRAM}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {971--976}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147155}, doi = {10.1145/1146909.1147155}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GhoshMKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Gluska06, author = {Alon Gluska}, editor = {Ellen Sentovich}, title = {Practical methods in coverage-oriented verification of the merom microprocessor}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {332--337}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146996}, doi = {10.1145/1146909.1146996}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Gluska06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GoffioulVDDC06, author = {Micha{\"{e}}l Goffioul and Gerd Vandersteen and Joris Van Driessche and Bj{\"{o}}rn Debaillie and Boris Come}, editor = {Ellen Sentovich}, title = {Ensuring consistency during front-end design using an object-oriented interfacing tool called {NETLISP}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {889--892}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147135}, doi = {10.1145/1146909.1147135}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GoffioulVDDC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GopalakrishnanLP06, author = {Padmini Gopalakrishnan and Xin Li and Lawrence T. Pileggi}, editor = {Ellen Sentovich}, title = {Architecture-aware {FPGA} placement using metric embedding}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {460--465}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147033}, doi = {10.1145/1146909.1147033}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GopalakrishnanLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GoraiBBTM06, author = {Saurav Gorai and Saptarshi Biswas and Lovleen Bhatia and Praveen Tiwari and Raj S. Mitra}, editor = {Ellen Sentovich}, title = {Directed-simulation assisted formal verification of serial protocol and bridge}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {731--736}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147096}, doi = {10.1145/1146909.1147096}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GoraiBBTM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuCO06, author = {Yan Gu and Samarjit Chakraborty and Wei Tsang Ooi}, editor = {Ellen Sentovich}, title = {Games are up for {DVFS}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {598--603}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147063}, doi = {10.1145/1146909.1147063}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuCO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuptaGP06, author = {Gagan Raj Gupta and Madhur Gupta and Preeti Ranjan Panda}, editor = {Ellen Sentovich}, title = {Rapid estimation of control delay from high-level specifications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {455--458}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147029}, doi = {10.1145/1146909.1147029}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/GuptaGP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/GuthausSB06, author = {Matthew R. Guthaus and Dennis Sylvester and Richard B. Brown}, editor = {Ellen Sentovich}, title = {Clock buffer and wire sizing using sequential programming}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1041--1046}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147171}, doi = {10.1145/1146909.1147171}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/GuthausSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HammoudaSDTNBAS06, author = {Sherif Hammouda and Hazem Said and Mohamed Dessouky and Mohamed Tawfik and Quang Nguyen and Wael M. Badawy and Hazem M. Abbas and Hussein I. Shahein}, editor = {Ellen Sentovich}, title = {Chameleon {ART:} a non-optimization based analog design migration framework}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {885--888}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147134}, doi = {10.1145/1146909.1147134}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HammoudaSDTNBAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HanGCJ06, author = {Sang{-}Il Han and Xavier Guerin and Soo{-}Ik Chae and Ahmed Amine Jerraya}, editor = {Ellen Sentovich}, title = {Buffer memory optimization for video codec application modeled in Simulink}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {689--694}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147084}, doi = {10.1145/1146909.1147084}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HanGCJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HartungKHPJY06, author = {Thomas Hartung and Jim Kupec and Ana Hunter and Brad Paulsen and Felicia James and Nick Yu}, editor = {Ellen Sentovich}, title = {How will the fabless model survive?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1--2}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146913}, doi = {10.1145/1146909.1146913}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HartungKHPJY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HatamkhaniLSY06, author = {Hamid Hatamkhani and Frank Lambrecht and Vladimir Stojanovic and Chih{-}Kong Ken Yang}, editor = {Ellen Sentovich}, title = {Power-centric design of high-speed I/Os}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {867--872}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147130}, doi = {10.1145/1146909.1147130}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HatamkhaniLSY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HattoriIIYKSYNYKTHAHTSMYHMYHTYIKMYITAAO06, author = {Toshihiro Hattori and Takahiro Irita and Masayuki Ito and Eiji Yamamoto and Hisashi Kato and Go Sado and Tetsuhiro Yamada and Kunihiko Nishiyama and Hiroshi Yagi and Takao Koike and Yoshihiko Tsuchihashi and Motoki Higashida and Hiroyuki Asano and Izumi Hayashibara and Ken Tatezawa and Yasuhisa Shimazaki and Naozumi Morino and Yoshihiko Yasu and Tadashi Hoshi and Yujiro Miyairi and Kazumasa Yanagisawa and Kenji Hirose and Saneaki Tamaki and Shinichi Yoshioka and Toshifumi Ishii and Yusuke Kanno and Hiroyuki Mizuno and Tetsuya Yamada and Naohiko Irie and Reiko Tsuchihashi and Nobuto Arai and Tomohiro Akiyama and Koji Ohno}, editor = {Ellen Sentovich}, title = {Hierarchical power distribution and power management scheme for a single chip mobile processor}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {292--295}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146986}, doi = {10.1145/1146909.1146986}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HattoriIIYKSYNYKTHAHTSMYHMYHTYIKMYITAAO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HerreraV06, author = {Fernando Herrera and Eugenio Villar}, editor = {Ellen Sentovich}, title = {A framework for embedded system specification under different models of computation in SystemC}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {911--914}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147140}, doi = {10.1145/1146909.1147140}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HerreraV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HosseiniPCUGB06, author = {Anoosh Hosseini and Ashish Parikh and H. T. Chin and Pascal Urard and Emil F. Girczyc and S. Bloch}, editor = {Ellen Sentovich}, title = {Building a standard {ESL} design and verification methodology: is it just a dream?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {370--371}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147005}, doi = {10.1145/1146909.1147005}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HosseiniPCUGB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsuRKPB06, author = {Chia{-}Jui Hsu and Suren Ramasubbu and Ming{-}Yung Ko and Jos{\'{e}} Luis Pino and Shuvra S. Bhattacharyya}, editor = {Ellen Sentovich}, title = {Efficient simulation of critical synchronous dataflow graphs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {893--898}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147137}, doi = {10.1145/1146909.1147137}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HsuRKPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsuTJC06, author = {Yu{-}Chin Hsu and Fur{-}Shing Tsai and Wells Jong and Ying{-}Tsai Chang}, editor = {Ellen Sentovich}, title = {Visibility enhancement for silicon debug}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {13--18}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146917}, doi = {10.1145/1146909.1146917}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HsuTJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuAHKLSS06, author = {Shiyan Hu and Charles J. Alpert and Jiang Hu and Shrirang K. Karandikar and Zhuo Li and Weiping Shi and Cliff C. N. Sze}, editor = {Ellen Sentovich}, title = {Fast algorithms for slew constrained minimum cost buffering}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {308--313}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146990}, doi = {10.1145/1146909.1146990}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuAHKLSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuLHL06, author = {Shiyan Hu and Qiuyang Li and Jiang Hu and Peng Li}, editor = {Ellen Sentovich}, title = {Steiner network construction for timing critical nets}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {379--384}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147010}, doi = {10.1145/1146909.1147010}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuLHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuLHT06, author = {Yu Hu and Yan Lin and Lei He and Tim Tuan}, editor = {Ellen Sentovich}, title = {Simultaneous time slack budgeting and retiming for dual-Vdd {FPGA} power reduction}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {478--483}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147036}, doi = {10.1145/1146909.1147036}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuLHT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuZCGC06, author = {Yuanfang Hu and Yi Zhu and Hongyu Chen and Ronald L. Graham and Chung{-}Kuan Cheng}, editor = {Ellen Sentovich}, title = {Communication latency aware low power NoC synthesis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {574--579}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147058}, doi = {10.1145/1146909.1147058}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuZCGC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuaMSSMJD06, author = {Hao Hua and Christopher Mineo and Kory Schoenfliess and Ambarish M. Sule and Samson Melamed and Ravi Jenkal and W. Rhett Davis}, editor = {Ellen Sentovich}, title = {Exploring compromises among timing, power and temperature in three-dimensional integrated circuits}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {997--1002}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147161}, doi = {10.1145/1146909.1147161}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HuaMSSMJD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangCNY06, author = {Shih{-}Hsu Huang and Chun{-}Hua Cheng and Yow{-}Tyng Nieh and Wei{-}Chieh Yu}, editor = {Ellen Sentovich}, title = {Register binding for clock period minimization}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {439--444}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147026}, doi = {10.1145/1146909.1147026}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangCNY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuangG06, author = {Po{-}Kuan Huang and Soheil Ghiasi}, editor = {Ellen Sentovich}, title = {Leakage-aware intraprogram voltage scaling for embedded processors}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {364--369}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147003}, doi = {10.1145/1146909.1147003}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuangG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuebbersDI06, author = {Frank Huebbers and Ali Dasdan and Yehea I. Ismail}, editor = {Ellen Sentovich}, title = {Computation of accurate interconnect process parameter values for performance corners under process variations}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {797--800}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147110}, doi = {10.1145/1146909.1147110}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuebbersDI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HwangSC06, author = {William L. Hwang and Fei Su and Krishnendu Chakrabarty}, editor = {Ellen Sentovich}, title = {Automated design of pin-constrained digital microfluidic arrays for lab-on-a-chip applications*}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {925--930}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147144}, doi = {10.1145/1146909.1147144}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HwangSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/InoueIKSE06, author = {Hiroaki Inoue and Akihisa Ikeno and Masaki Kondo and Junji Sakai and Masato Edahiro}, editor = {Ellen Sentovich}, title = {{VIRTUS:} a new processor virtualization architecture for security-oriented next-generation mobile terminals}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {484--489}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147038}, doi = {10.1145/1146909.1147038}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/InoueIKSE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IranliLP06, author = {Ali Iranli and Wonbok Lee and Massoud Pedram}, editor = {Ellen Sentovich}, title = {Backlight dimming in power-aware mobile displays}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {604--607}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147064}, doi = {10.1145/1146909.1147064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/IranliLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IsseninBDD06, author = {Ilya Issenin and Erik Brockmeyer and Bart Durinck and Nikil D. Dutt}, editor = {Ellen Sentovich}, title = {Multiprocessor system-on-chip data reuse analysis for exploring customized memory hierarchies}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {49--52}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146925}, doi = {10.1145/1146909.1146925}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/IsseninBDD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IyengarGT06, author = {Vikram Iyengar and Gary Grise and Mark Taylor}, editor = {Ellen Sentovich}, title = {A flexible and scalable methodology for GHz-speed structural test}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {314--319}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146992}, doi = {10.1145/1146909.1146992}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/IyengarGT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JayakumarGGK06, author = {Nikhil Jayakumar and Rajesh Garg and Bruce Gamache and Sunil P. Khatri}, editor = {Ellen Sentovich}, title = {A {PLA} based asynchronous micropipelining approach for subthreshold circuit design}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {419--424}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147021}, doi = {10.1145/1146909.1147021}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JayakumarGGK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JayaseelanLM06, author = {Ramkumar Jayaseelan and Haibin Liu and Tulika Mitra}, editor = {Ellen Sentovich}, title = {Exploiting forwarding to improve data bandwidth of instruction-set extensions}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {43--48}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146924}, doi = {10.1145/1146909.1146924}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JayaseelanLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JerrayaBP06, author = {Ahmed Amine Jerraya and Aimen Bouchhima and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, editor = {Ellen Sentovich}, title = {Programming models and {HW-SW} interfaces abstraction for multi-processor SoC}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {280--285}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146981}, doi = {10.1145/1146909.1146981}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JerrayaBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JonesHDTSFCM06, author = {Alex K. Jones and Raymond R. Hoare and Swapna R. Dontharaju and Shen Chih Tung and Ralph Sprang and Joshua Fazekas and James T. Cain and Marlin H. Mickle}, editor = {Ellen Sentovich}, title = {An automated, reconfigurable, low-power {RFID} tag}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {131--136}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146948}, doi = {10.1145/1146909.1146948}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JonesHDTSFCM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Josephson06, author = {Doug Josephson}, editor = {Ellen Sentovich}, title = {The good, the bad, and the ugly of silicon debug}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {3--6}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146915}, doi = {10.1145/1146909.1146915}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Josephson06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Kahng06, author = {Andrew B. Kahng}, editor = {Ellen Sentovich}, title = {{CAD} challenges for leading-edge multimedia designs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {372}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147007}, doi = {10.1145/1146909.1147007}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Kahng06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KanjJN06, author = {Rouwaida Kanj and Rajiv V. Joshi and Sani R. Nassif}, editor = {Ellen Sentovich}, title = {Mixture importance sampling and its application to the analysis of {SRAM} designs in the presence of rare failure events}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {69--72}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146930}, doi = {10.1145/1146909.1146930}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KanjJN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KansalHSR06, author = {Aman Kansal and Jason Hsu and Mani B. Srivastava and Vijay Raghunathan}, editor = {Ellen Sentovich}, title = {Harvesting aware power management for sensor networks}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {651--656}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147075}, doi = {10.1145/1146909.1147075}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KansalHSR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KarlBSM06, author = {Eric Karl and David T. Blaauw and Dennis Sylvester and Trevor N. Mudge}, editor = {Ellen Sentovich}, title = {Reliability modeling and management in dynamic microprocessor-based systems}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1057--1060}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147174}, doi = {10.1145/1146909.1147174}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KarlBSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KeaneEKSK06, author = {John Keane and Hanyong Eom and Tony Tae{-}Hyoung Kim and Sachin S. Sapatnekar and Chris H. Kim}, editor = {Ellen Sentovich}, title = {Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {425--428}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147022}, doi = {10.1145/1146909.1147022}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KeaneEKSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KhatibPBBBKJN06, author = {Iyad Al Khatib and Francesco Poletti and Davide Bertozzi and Luca Benini and Mohamed Bechara and Hasan Khalifeh and Axel Jantsch and Rustam Nabiev}, editor = {Ellen Sentovich}, title = {A multiprocessor system-on-chip for real-time biomedical monitoring and analysis: architectural design space exploration}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {125--130}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146947}, doi = {10.1145/1146909.1146947}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KhatibPBBBKJN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KimSKE06, author = {Hyung{-}Ock Kim and Youngsoo Shin and Hyuk Kim and Iksoo Eo}, editor = {Ellen Sentovich}, title = {Physical design methodology of power gating circuits for standard-cell-based design}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {109--112}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146942}, doi = {10.1145/1146909.1146942}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KimSKE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KlingaufGBPB06, author = {Wolfgang Klingauf and Robert G{\"{u}}nzel and Oliver Bringmann and Pavel Parfuntseu and Mark Burton}, editor = {Ellen Sentovich}, title = {GreenBus: a generic interconnect fabric for transaction level modelling}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {905--910}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147139}, doi = {10.1145/1146909.1147139}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KlingaufGBPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KumarSPJ06, author = {Amit Kumar and Li Shang and Li{-}Shiuan Peh and Niraj K. Jha}, editor = {Ellen Sentovich}, title = {HybDTM: a coordinated hardware-software approach for dynamic thermal management}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {548--553}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147052}, doi = {10.1145/1146909.1147052}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KumarSPJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LaiR06, author = {Xiaolue Lai and Jaijeet S. Roychowdhury}, editor = {Ellen Sentovich}, title = {A multilevel technique for robust and efficient extraction of phase macromodels of digitally controlled oscillators}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1017--1022}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147166}, doi = {10.1145/1146909.1147166}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LaiR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeOMC06, author = {Hyung Gyu Lee and {\"{U}}mit Y. Ogras and Radu Marculescu and Naehyuck Chang}, editor = {Ellen Sentovich}, title = {Design space exploration and prototyping for on-chip multimedia applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {137--142}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146949}, doi = {10.1145/1146909.1146949}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeOMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeeWA06, author = {Benjamin N. Lee and Li{-}C. Wang and Magdy S. Abadir}, editor = {Ellen Sentovich}, title = {Refined statistical static timing analysis through}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {149--154}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146952}, doi = {10.1145/1146909.1146952}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeeWA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeungT06, author = {Lap{-}Fai Leung and Chi{-}Ying Tsui}, editor = {Ellen Sentovich}, title = {Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {833--838}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147122}, doi = {10.1145/1146909.1147122}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LeungT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiLP06, author = {Xin Li and Jiayong Le and Lawrence T. Pileggi}, editor = {Ellen Sentovich}, title = {Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {103--108}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146941}, doi = {10.1145/1146909.1146941}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiS06, author = {Peng Li and Weiping Shi}, editor = {Ellen Sentovich}, title = {Model order reduction of linear networks with massive ports via frequency-dependent port packing}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {267--272}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146978}, doi = {10.1145/1146909.1146978}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiZJ06, author = {Ming Li and Qing{-}An Zeng and Wen{-}Ben Jone}, editor = {Ellen Sentovich}, title = {DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {849--852}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147125}, doi = {10.1145/1146909.1147125}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiZJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinCC06, author = {Joey Y. Lin and Deming Chen and Jason Cong}, editor = {Ellen Sentovich}, title = {Optimal simultaneous mapping and clustering for {FPGA} delay optimization}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {472--477}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147035}, doi = {10.1145/1146909.1147035}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LinCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LinZ06, author = {Chuan Lin and Hai Zhou}, editor = {Ellen Sentovich}, title = {An efficient retiming algorithm under setup and hold constraints}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {945--950}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147149}, doi = {10.1145/1146909.1147149}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LinZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuCLLW06, author = {Tsu{-}Ming Liu and Ching{-}Che Chung and Chen{-}Yi Lee and Ting{-}An Lin and Sheng{-}Zen Wang}, editor = {Ellen Sentovich}, title = {Design of a 125muW, fully-scalable {MPEG-2} and {H.264/AVC} video decoder for mobile applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {288--289}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146984}, doi = {10.1145/1146909.1146984}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuCLLW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiuMM06, author = {Zhihong Liu and Bruce McGaughy and James Z. Ma}, editor = {Ellen Sentovich}, title = {Design tools for reliability analysis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {182--187}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146960}, doi = {10.1145/1146909.1146960}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiuMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LoiASLSB06, author = {Gian Luca Loi and Banit Agrawal and Navin Srivastava and Sheng{-}Chih Lin and Timothy Sherwood and Kaustav Banerjee}, editor = {Ellen Sentovich}, title = {A thermally-aware performance analysis of vertically integrated {(3-D)} processor-memory hierarchy}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {991--996}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147160}, doi = {10.1145/1146909.1147160}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/LoiASLSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuoNP06, author = {Tao Luo and David Newmark and David Z. Pan}, editor = {Ellen Sentovich}, title = {A new {LP} based incremental timing driven placement for high performance designs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1115--1120}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147190}, doi = {10.1145/1146909.1147190}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LuoNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LuoSSKC06, author = {Jianfeng Luo and Subarna Sinha and Qing Su and Jamil Kawa and Charles C. Chiang}, editor = {Ellen Sentovich}, title = {An {IC} manufacturing yield model considering intra-die variations}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {749--754}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147100}, doi = {10.1145/1146909.1147100}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LuoSSKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MacNeilS06, author = {Dean D. MacNeil and Edward H. Sargent}, editor = {Ellen Sentovich}, title = {Solution-processed infrared photovoltaic devices}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {636--638}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147072}, doi = {10.1145/1146909.1147072}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MacNeilS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MajumdarCG06, author = {Amitava Majumdar and Wei{-}Yu Chen and Jun Guo}, editor = {Ellen Sentovich}, title = {Hold time validation on silicon and the relevance of hazards in timing analysis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {326--331}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146994}, doi = {10.1145/1146909.1146994}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MajumdarCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MandrekarBSES06, author = {Rohan Mandrekar and Krishna Bharath and Krishna Srinivasan and Ege Engin and Madhavan Swaminathan}, editor = {Ellen Sentovich}, title = {System level signal and power integrity analysis methodology for system-in-package applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1009--1012}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147163}, doi = {10.1145/1146909.1147163}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MandrekarBSES06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Martin06, author = {Grant Martin}, editor = {Ellen Sentovich}, title = {Overview of the MPSoC design challenge}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {274--279}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146980}, doi = {10.1145/1146909.1146980}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Martin06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/McPherson06, author = {J. W. McPherson}, editor = {Ellen Sentovich}, title = {Reliability challenges for 45nm and beyond}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {176--181}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146959}, doi = {10.1145/1146909.1146959}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/McPherson06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MeiR06, author = {Ting Mei and Jaijeet S. Roychowdhury}, editor = {Ellen Sentovich}, title = {A robust envelope following method applicable to both non-autonomous and oscillatory circuits}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1029--1034}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147168}, doi = {10.1145/1146909.1147168}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MeiR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MengSK06, author = {Yan Meng and Timothy Sherwood and Ryan Kastner}, editor = {Ellen Sentovich}, title = {Leakage power reduction of embedded memories on FPGAs through location assignment}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {612--617}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147067}, doi = {10.1145/1146909.1147067}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/MengSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MishchenkoCB06, author = {Alan Mishchenko and Satrajit Chatterjee and Robert K. Brayton}, editor = {Ellen Sentovich}, title = {DAG-aware {AIG} rewriting a fresh look at combinational logic synthesis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {532--535}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147048}, doi = {10.1145/1146909.1147048}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MishchenkoCB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Miskov-ZivanovM06, author = {Natasa Miskov{-}Zivanov and Diana Marculescu}, editor = {Ellen Sentovich}, title = {{MARS-C:} modeling and reduction of soft errors in combinational circuits}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {767--772}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147104}, doi = {10.1145/1146909.1147104}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Miskov-ZivanovM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MochockiLCH06, author = {Bren Mochocki and Kanishka Lahiri and Srihari Cadambi and Xiaobo Sharon Hu}, editor = {Ellen Sentovich}, title = {Signature-based workload estimation for mobile 3D graphics}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {592--597}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147062}, doi = {10.1145/1146909.1147062}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MochockiLCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MoffittNMP06, author = {Michael D. Moffitt and Aaron N. Ng and Igor L. Markov and Martha E. Pollack}, editor = {Ellen Sentovich}, title = {Constraint-driven floorplan repair}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1103--1108}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147188}, doi = {10.1145/1146909.1147188}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MoffittNMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MokhoffZ06, author = {Nic Mokhoff and Yervant Zorian}, editor = {Ellen Sentovich}, title = {Tradeoffs and choices for emerging SoCs in high-end applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {273}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146911}, doi = {10.1145/1146909.1146911}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MokhoffZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MrugalskiRT06, author = {Grzegorz Mrugalski and Janusz Rajski and Jerzy Tyszer}, editor = {Ellen Sentovich}, title = {Test response compactor with programmable selector}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1089--1094}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147184}, doi = {10.1145/1146909.1147184}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MrugalskiRT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MukherjeeM06, author = {Rajarshi Mukherjee and Seda Ogrenci Memik}, editor = {Ellen Sentovich}, title = {Systematic temperature sensor allocation and placement for microprocessors}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {542--547}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147051}, doi = {10.1145/1146909.1147051}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MukherjeeM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MuraliABM06, author = {Srinivasan Murali and David Atienza and Luca Benini and Giovanni De Micheli}, editor = {Ellen Sentovich}, title = {A multi-path routing strategy with guaranteed in-order packet delivery and fault-tolerance for networks on chip}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {845--848}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147124}, doi = {10.1145/1146909.1147124}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MuraliABM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NabaaAN06, author = {Georges Nabaa and Navid Azizi and Farid N. Najm}, editor = {Ellen Sentovich}, title = {An adaptive {FPGA} architecture with process variation compensation and reduced leakage}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {624--629}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147069}, doi = {10.1145/1146909.1147069}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NabaaAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NahirZEKR06, author = {Amir Nahir and Avi Ziv and Roy Emek and Tal Keidar and Nir Ronen}, editor = {Ellen Sentovich}, title = {Scheduling-based test-case generation for verification of multimedia SoCs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {348--351}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146999}, doi = {10.1145/1146909.1146999}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NahirZEKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NakamuraTOTY06, author = {Yuichi Nakamura and Mitsuru Tagata and Takumi Okamoto and Shigeyoshi Tawada and Ko Yoshikawa}, editor = {Ellen Sentovich}, title = {Budgeting-free hierarchical design method for large scale and high-performance LSIs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {955--958}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147151}, doi = {10.1145/1146909.1147151}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NakamuraTOTY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NanshiS06, author = {Kuntal Nanshi and Fabio Somenzi}, editor = {Ellen Sentovich}, title = {Guiding simulation with increasingly refined abstract traces}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {737--742}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147097}, doi = {10.1145/1146909.1147097}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NanshiS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NassifPRSBR06, author = {Sani R. Nassif and Vijay Pitchumani and Norma Rodriguez and Dennis Sylvester and Clive Bittlestone and Riko Radojcic}, editor = {Ellen Sentovich}, title = {Variation-aware analysis: savior of the nanometer era?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {411--412}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147018}, doi = {10.1145/1146909.1147018}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/NassifPRSBR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NelsonBDB06, author = {Jeffrey E. Nelson and Jason G. Brown and Rao Desineni and R. D. (Shawn) Blanton}, editor = {Ellen Sentovich}, title = {Multiple-detect {ATPG} based on physical neighborhoods}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1099--1102}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147186}, doi = {10.1145/1146909.1147186}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NelsonBDB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NevoF06, author = {Ziv Nevo and Monica Farkash}, editor = {Ellen Sentovich}, title = {Distributed dynamic {BDD} reordering}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {223--228}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146969}, doi = {10.1145/1146909.1146969}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NevoF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NieuwoudtRM06, author = {Arthur Nieuwoudt and Tamer Ragheb and Yehia Massoud}, editor = {Ellen Sentovich}, title = {{SOC-NLNA:} synthesis and optimization for fully integrated narrow-band {CMOS} low noise amplifiers}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {879--884}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147133}, doi = {10.1145/1146909.1147133}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NieuwoudtRM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NuzzoPBPGT06, author = {Pierluigi Nuzzo and Geert Van der Plas and Fernando De Bernardinis and Liesbet Van der Perre and Bert Gyselinckx and Pierangelo Terreni}, editor = {Ellen Sentovich}, title = {A 10.6mW/0.8pJ power-scalable 1GS/s 4b {ADC} in 0.18mum {CMOS} with 5.8GHz {ERBW}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {873--878}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147132}, doi = {10.1145/1146909.1147132}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/NuzzoPBPGT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OgrasM06, author = {{\"{U}}mit Y. Ogras and Radu Marculescu}, editor = {Ellen Sentovich}, title = {Prediction-based flow control for network-on-chip traffic}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {839--844}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147123}, doi = {10.1145/1146909.1147123}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OgrasM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/OzturkCK06, author = {Ozcan Ozturk and Guilin Chen and Mahmut T. Kandemir}, editor = {Ellen Sentovich}, title = {Optimizing code parallelization through a constraint network based approach}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {863--688}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147083}, doi = {10.1145/1146909.1147083}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/OzturkCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PakbazniaFP06, author = {Ehsan Pakbaznia and Farzan Fallah and Massoud Pedram}, editor = {Ellen Sentovich}, title = {Charge recycling in {MTCMOS} circuits: concept and analysis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {97--102}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146940}, doi = {10.1145/1146909.1146940}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PakbazniaFP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PanCHCLCLLHWLLTYMCCPHCH06, author = {Jyh{-}Shin Pan and Hao{-}Cheng Chen and Bing{-}Yu Hsieh and Hong{-}Ching Chen and Roger Lee and Ching{-}Ho Chu and Yuan{-}Chin Liu and Chuan Liu and Lily Huang and Chang{-}Long Wu and Meng{-}Hsueh Lin and Chun{-}Yiu Lin and Shang{-}Nien Tsai and Jenn{-}Ning Yang and Chang{-}Po Ma and Yung Cheng and Shu{-}Hung Chou and Hsiu{-}Chen Peng and Peng{-}Chuan Huang and Benjamin Chiu and Alex Ho}, editor = {Ellen Sentovich}, title = {A {CMOS} SoC for 56/18/16 CD/DVD-dual/RAM applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {290--291}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146985}, doi = {10.1145/1146909.1146985}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PanCHCLCLLHWLLTYMCCPHCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PandeyG06, author = {Sujan Pandey and Manfred Glesner}, editor = {Ellen Sentovich}, title = {Statistical on-chip communication bus synthesis and voltage scaling under timing yield constraint}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {663--668}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147078}, doi = {10.1145/1146909.1147078}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PandeyG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PantC06, author = {Sanjay Pant and Eli Chiprout}, editor = {Ellen Sentovich}, title = {Power grid physics and implications for {CAD}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {199--204}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146964}, doi = {10.1145/1146909.1146964}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PantC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Paradiso06, author = {Joseph A. Paradiso}, editor = {Ellen Sentovich}, title = {Systems for human-powered mobile computing}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {645--650}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147074}, doi = {10.1145/1146909.1147074}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Paradiso06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Patel06, author = {Chirag S. Patel}, editor = {Ellen Sentovich}, title = {Silicon carrier for computer systems}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {857--862}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147128}, doi = {10.1145/1146909.1147128}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Patel06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PaulFOL06, author = {Bipul C. Paul and Shinobu Fujita and Masaki Okajima and Thomas Lee}, editor = {Ellen Sentovich}, title = {Modeling and analysis of circuit performance of ballistic {CNFET}}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {717--722}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147092}, doi = {10.1145/1146909.1147092}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PaulFOL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PengL06, author = {Yuantao Peng and Xun Liu}, editor = {Ellen Sentovich}, title = {Low-power repeater insertion with both delay and slew rate constraints}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {302--307}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146989}, doi = {10.1145/1146909.1146989}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PengL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PeranandamNRWKR06, author = {Prakash Mohan Peranandam and Pradeep Kumar Nalla and J{\"{u}}rgen Ruf and Roland J. Weiss and Thomas Kropf and Wolfgang Rosenstiel}, editor = {Ellen Sentovich}, title = {Fast falsification based on symbolic bounded property checking}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1077--1082}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147181}, doi = {10.1145/1146909.1147181}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PeranandamNRWKR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PillSCRBC06, author = {Ingo Pill and Simone Semprini and Roberto Cavada and Marco Roveri and Roderick Bloem and Alessandro Cimatti}, editor = {Ellen Sentovich}, title = {Formal analysis of hardware requirements}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {821--826}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147119}, doi = {10.1145/1146909.1147119}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PillSCRBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PistolLD06, author = {Constantin Pistol and Alvin R. Lebeck and Chris Dwyer}, editor = {Ellen Sentovich}, title = {Design automation for {DNA} self-assembled nanostructures}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {919--924}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147143}, doi = {10.1145/1146909.1147143}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PistolLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PomplSHNS06, author = {Thomas Pompl and Christian Schl{\"{u}}nder and Martina Hommel and Heiko Nielen and Jens Schneider}, editor = {Ellen Sentovich}, title = {Practical aspects of reliability analysis for {IC} designs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {193--198}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146962}, doi = {10.1145/1146909.1146962}, timestamp = {Fri, 18 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/PomplSHNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Prinz06, author = {Erwin J. Prinz}, editor = {Ellen Sentovich}, title = {The zen of nonvolatile memories}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {815--820}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147117}, doi = {10.1145/1146909.1147117}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Prinz06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/PsarakisGHPRR06, author = {Mihalis Psarakis and Dimitris Gizopoulos and Miltiadis Hatzimihail and Antonis M. Paschalis and Anand Raghunathan and Srivaths Ravi}, editor = {Ellen Sentovich}, title = {Systematic software-based self-test for pipelined processors}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {393--398}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147014}, doi = {10.1145/1146909.1147014}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/PsarakisGHPRR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RadT06, author = {Reza M. Rad and Mohammad Tehranipoor}, editor = {Ellen Sentovich}, title = {A new hybrid {FPGA} with nanoscale clusters and {CMOS} routing}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {727--730}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147094}, doi = {10.1145/1146909.1147094}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/RadT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RagelP06, author = {Roshan G. Ragel and Sri Parameswaran}, editor = {Ellen Sentovich}, title = {{IMPRES:} integrated monitoring for processor reliability and security}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {502--505}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147041}, doi = {10.1145/1146909.1147041}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RagelP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RaoOK06, author = {Wenjing Rao and Alex Orailoglu and Ramesh Karri}, editor = {Ellen Sentovich}, title = {Topology aware mapping of logic functions onto nanowire-based crossbar architectures}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {723--726}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147093}, doi = {10.1145/1146909.1147093}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RaoOK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RawatCKSGZS06, author = {Shishpal Rawat and Raul Camposano and Andrew B. Kahng and Joseph Sawicki and Mike Gianfagna and Naeem Zafar and Atul Sharan}, editor = {Ellen Sentovich}, title = {{DFM:} where's the proof of value?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1061--1062}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147176}, doi = {10.1145/1146909.1147176}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RawatCKSGZS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/RiccobeneSRB06, author = {Elvinia Riccobene and Patrizia Scandurra and Alberto Rosti and Sara Bocchio}, editor = {Ellen Sentovich}, title = {A model-driven design environment for embedded systems}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {915--918}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147141}, doi = {10.1145/1146909.1147141}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/RiccobeneSRB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SafarpourVBY06, author = {Sean Safarpour and Andreas G. Veneris and Gregg Baeckler and Richard Yuan}, editor = {Ellen Sentovich}, title = {Efficient SAT-based Boolean matching for {FPGA} technology mapping}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {466--471}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147034}, doi = {10.1145/1146909.1147034}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SafarpourVBY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShahGK06, author = {Saumil Shah and Puneet Gupta and Andrew B. Kahng}, editor = {Ellen Sentovich}, title = {Standard cell library optimization for leakage reduction}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {983--986}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147157}, doi = {10.1145/1146909.1147157}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ShahGK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShamYC06, author = {Chiu{-}Wing Sham and Evangeline F. Y. Young and Chris C. N. Chu}, editor = {Ellen Sentovich}, title = {Optimal cell flipping in placement and floorplanning}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1109--1114}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147189}, doi = {10.1145/1146909.1147189}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShamYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SheahanFWMM06, author = {Benjamin Sheahan and John W. Fattaruso and Jennifer Wong and Karlheinz Muth and Boris Murmann}, editor = {Ellen Sentovich}, title = {4.25 Gb/s laser driver: design challenges and {EDA} tool limitations}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {863--866}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147129}, doi = {10.1145/1146909.1147129}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SheahanFWMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShethSM06, author = {Kaushik Sheth and Egino Sarto and Joel McGrath}, editor = {Ellen Sentovich}, title = {The importance of adopting a package-aware chip design flow}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {853--856}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147127}, doi = {10.1145/1146909.1147127}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShethSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShiC06, author = {Rui Shi and Chung{-}Kuan Cheng}, editor = {Ellen Sentovich}, title = {Efficient escape routing for hexagonal array of high density I/Os}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1003--1008}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147162}, doi = {10.1145/1146909.1147162}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShiC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShiH06, author = {Kaijian Shi and David Howard}, editor = {Ellen Sentovich}, title = {Challenges in sleep transistor design and implementation in low-power designs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {113--116}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146943}, doi = {10.1145/1146909.1146943}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShiH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShiMYH06, author = {Yiyu Shi and Paul Mesa and Hao Yu and Lei He}, editor = {Ellen Sentovich}, title = {Circuit simulation based obstacle-aware Steiner routing}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {385--388}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147011}, doi = {10.1145/1146909.1147011}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShiMYH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShimizuGKOAMS06, author = {Kanna Shimizu and Sanjay Gupta and Tatsuya Koyama and Takashi Omizo and Jamee Abdulhafiz and Larry McConville and Todd Swanson}, editor = {Ellen Sentovich}, title = {Verification of the cell broadband engine\({}^{\mbox{TM}}\) processor}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {338--343}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146997}, doi = {10.1145/1146909.1146997}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ShimizuGKOAMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SingerMBHK06, author = {Gadi Singer and Philippe Magarshack and Dennis Buss and Fu{-}Chieh Hsu and Ho{-}Kyu Kang}, editor = {Ellen Sentovich}, title = {"The {IC} nanometer race -- what will it take to win?"}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {77--78}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146933}, doi = {10.1145/1146909.1146933}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SingerMBHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghMPO06, author = {Ashish Kumar Singh and Murari Mani and Ruchir Puri and Michael Orshansky}, editor = {Ellen Sentovich}, title = {Gain-based technology mapping for minimum runtime leakage under input vector uncertainty}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {522--527}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147046}, doi = {10.1145/1146909.1147046}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghMPO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SinghS06, author = {Jaskirat Singh and Sachin S. Sapatnekar}, editor = {Ellen Sentovich}, title = {Statistical timing analysis with correlated non-gaussian parameters using independent component analysis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {155--160}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146953}, doi = {10.1145/1146909.1146953}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SinghS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SingheeFMR06, author = {Amith Singhee and Claire Fang Fang and James D. Ma and Rob A. Rutenbar}, editor = {Ellen Sentovich}, title = {Probabilistic interval-valued computation: toward a practical surrogate for statistics inside {CAD} tools}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {167--172}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146955}, doi = {10.1145/1146909.1146955}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SingheeFMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SovianiHE06, author = {Cristian Soviani and Ilija Hadzic and Stephen A. Edwards}, editor = {Ellen Sentovich}, title = {Synthesis of high-performance packet processing pipelines}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {679--682}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147081}, doi = {10.1145/1146909.1147081}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SovianiHE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SrinivasanMXVS06, author = {Suresh Srinivasan and Prasanth Mangalagiri and Yuan Xie and Narayanan Vijaykrishnan and Karthik Sarpatwari}, editor = {Ellen Sentovich}, title = {{FLAW:} {FPGA} lifetime awareness}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {630--635}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147070}, doi = {10.1145/1146909.1147070}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SrinivasanMXVS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StojanovicBDW06, author = {Vladimir Stojanovic and R. Iris Bahar and Jennifer Dworak and Richard Weiss}, editor = {Ellen Sentovich}, title = {A cost-effective implementation of an ECC-protected instruction queue for out-of-order microprocessors}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {705--708}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147087}, doi = {10.1145/1146909.1147087}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/StojanovicBDW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/StuijkGB06, author = {Sander Stuijk and Marc Geilen and Twan Basten}, editor = {Ellen Sentovich}, title = {Exploring trade-offs in buffer requirements and throughput constraints for synchronous dataflow graphs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {899--904}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147138}, doi = {10.1145/1146909.1147138}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/StuijkGB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuhendraMRC06, author = {Vivy Suhendra and Tulika Mitra and Abhik Roychoudhury and Ting Chen}, editor = {Ellen Sentovich}, title = {Efficient detection and exploitation of infeasible paths for software timing analysis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {358--363}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147002}, doi = {10.1145/1146909.1147002}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuhendraMRC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SwahnH06, author = {Brian Swahn and Soha Hassoun}, editor = {Ellen Sentovich}, title = {Gate sizing: finFETs vs 32nm bulk MOSFETs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {528--531}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147047}, doi = {10.1145/1146909.1147047}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SwahnH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Swan06, author = {Stuart Swan}, editor = {Ellen Sentovich}, title = {SystemC transaction level models and {RTL} verification}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {90--92}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146937}, doi = {10.1145/1146909.1146937}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Swan06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TanimotoYNH06, author = {Tadaaki Tanimoto and Seiji Yamaguchi and Akio Nakata and Teruo Higashino}, editor = {Ellen Sentovich}, title = {A real time budgeting method for module-level-pipelined bus based system using bus scenarios}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {37--42}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146923}, doi = {10.1145/1146909.1146923}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TanimotoYNH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TiwaryTR06, author = {Saurabh K. Tiwary and Pragati K. Tiwary and Rob A. Rutenbar}, editor = {Ellen Sentovich}, title = {Generation of yield-aware Pareto surfaces for hierarchical circuit design space exploration}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {31--36}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146921}, doi = {10.1145/1146909.1146921}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TiwaryTR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VattikondaWC06, author = {Rakesh Vattikonda and Wenping Wang and Yu Cao}, editor = {Ellen Sentovich}, title = {Modeling and minimization of {PMOS} {NBTI} effect for robust nanometer design}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1047--1052}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147172}, doi = {10.1145/1146909.1147172}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VattikondaWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VermaI06, author = {Ajay Kumar Verma and Paolo Ienne}, editor = {Ellen Sentovich}, title = {Towards the automatic exploration of arithmetic-circuit architectures}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {445--450}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147027}, doi = {10.1145/1146909.1147027}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/VermaI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VianaGKBV06, author = {Pablo Viana and Ann Gordon{-}Ross and Eamonn J. Keogh and Edna Barros and Frank Vahid}, editor = {Ellen Sentovich}, title = {Configurable cache subsetting for fast cache tuning}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {695--700}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147085}, doi = {10.1145/1146909.1147085}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VianaGKBV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VimjamH06, author = {Vishnu C. Vimjam and Michael S. Hsiao}, editor = {Ellen Sentovich}, title = {Fast illegal state identification for improving SAT-based induction}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {241--246}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146972}, doi = {10.1145/1146909.1146972}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VimjamH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/VrankenGGSH06, author = {Harald P. E. Vranken and Sandeep Kumar Goel and Andreas Glowatz and J{\"{u}}rgen Schl{\"{o}}ffel and Friedrich Hapke}, editor = {Ellen Sentovich}, title = {Fault detection and diagnosis with parity trees for space compaction of test responses}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1095--1098}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147185}, doi = {10.1145/1146909.1147185}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/VrankenGGSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WaghmodeLS06, author = {Mandar Waghmode and Zhuo Li and Weiping Shi}, editor = {Ellen Sentovich}, title = {Buffer insertion in large circuits with constructive solution search techniques}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {296--301}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146988}, doi = {10.1145/1146909.1146988}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WaghmodeLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WagnerBA06, author = {Ilya Wagner and Valeria Bertacco and Todd M. Austin}, editor = {Ellen Sentovich}, title = {Shielding against design flaws with field repairable control logic}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {344--347}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146998}, doi = {10.1145/1146909.1146998}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WagnerBA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/Wang06, author = {Kuo{-}Hua Wang}, editor = {Ellen Sentovich}, title = {Exploiting K-Distance Signature for Boolean Matching and G-Symmetry Detection}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {516--521}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147045}, doi = {10.1145/1146909.1147045}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/Wang06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangDC06, author = {Kui Wang and Lian Duan and Xu Cheng}, editor = {Ellen Sentovich}, title = {ExtensiveSlackBalance: an approach to make front-end tools aware of clock skew scheduling}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {951--954}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147150}, doi = {10.1145/1146909.1147150}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangDC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangGDK06, author = {Gang Wang and Wenrui Gong and Brian DeRenzi and Ryan Kastner}, editor = {Ellen Sentovich}, title = {Design space exploration using time and resource duality with the ant colony optimization}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {451--454}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147028}, doi = {10.1145/1146909.1147028}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangGDK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangGG06, author = {Chao Wang and Aarti Gupta and Malay K. Ganai}, editor = {Ellen Sentovich}, title = {Predicate learning and selective theory deduction for a difference logic solver}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {235--240}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146971}, doi = {10.1145/1146909.1146971}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangGG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangKO06, author = {Wei{-}Shen Wang and Vladik Kreinovich and Michael Orshansky}, editor = {Ellen Sentovich}, title = {Statistical timing based on incomplete probabilistic descriptions of parameter uncertainty}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {161--166}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146954}, doi = {10.1145/1146909.1146954}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangKO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangLLYHWH06, author = {Chen{-}Hsing Wang and Chih{-}Yen Lo and Min{-}Sheng Lee and Jen{-}Chieh Yeh and Chih{-}Tsun Huang and Cheng{-}Wen Wu and Shi{-}Yu Huang}, editor = {Ellen Sentovich}, title = {A network security processor design based on an integrated {SOC} design and test platform}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {490--495}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147039}, doi = {10.1145/1146909.1147039}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangLLYHWH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangZ06, author = {Jia Wang and Hai Zhou}, editor = {Ellen Sentovich}, title = {Optimal jumper insertion for antenna avoidance under ratio upper-bound}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {761--766}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147102}, doi = {10.1145/1146909.1147102}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WangZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WeiD06, author = {Ying Wei and Alex Doboli}, editor = {Ellen Sentovich}, title = {Systematic development of nonlinear analog circuit macromodels through successive operator composition and nonlinear model decoupling}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1023--1028}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147167}, doi = {10.1145/1146909.1147167}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WeiD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WilsonZ06, author = {Ron Wilson and Yervant Zorian}, editor = {Ellen Sentovich}, title = {Decision-making for complex SoCs in consumer electronic products}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {173}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146910}, doi = {10.1145/1146909.1146910}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WilsonZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WongB06, author = {Ngai Wong and Venkataramanan Balakrishnan}, editor = {Ellen Sentovich}, title = {Multi-shift quadratic alternating direction implicit iteration for high-speed positive-real balanced truncation}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {257--260}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146976}, doi = {10.1145/1146909.1146976}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WongB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WongC06, author = {N. Wong and C. K. Chu}, editor = {Ellen Sentovich}, title = {A fast passivity test for descriptor systems via structure-preserving transformations of Skew-Hamiltonian/Hamiltonian matrix pencils}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {261--266}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146977}, doi = {10.1145/1146909.1146977}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WongC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WuH06, author = {Weixin Wu and Michael S. Hsiao}, editor = {Ellen Sentovich}, title = {Mining global constraints for improving bounded sequential equivalence checking}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {743--748}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147098}, doi = {10.1145/1146909.1147098}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WuH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WuJYLT06, author = {Wei Wu and Lingling Jin and Jun Yang and Pu Liu and Sheldon X.{-}D. Tan}, editor = {Ellen Sentovich}, title = {A systematic method for functional unit power estimation in microprocessors}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {554--557}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147053}, doi = {10.1145/1146909.1147053}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WuJYLT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WuWL06, author = {Huaizhi Wu and Martin D. F. Wong and I{-}Min Liu}, editor = {Ellen Sentovich}, title = {Timing-constrained and voltage-island-aware voltage assignment}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {429--432}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147023}, doi = {10.1145/1146909.1147023}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/WuWL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/XiongZVV06, author = {Jinjun Xiong and Vladimir Zolotov and Natesan Venkateswaran and Chandu Visweswariah}, editor = {Ellen Sentovich}, title = {Criticality computation in parameterized statistical timing}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {63--68}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146929}, doi = {10.1145/1146909.1146929}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/XiongZVV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangCBDSK06, author = {Andrew Yang and Rajit Chandra and Simon Burke and Javier A. DeLaCruz and Sribalan Santhanam and Uming Ko}, editor = {Ellen Sentovich}, title = {Entering the hot zone - can you handle the heat and be cool?}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {174--175}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146957}, doi = {10.1145/1146909.1146957}, timestamp = {Tue, 18 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/YangCBDSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangCGJ06, author = {Chuanyi Yang and Swagato Chakraborty and Dipanjan Gope and Vikram Jandhyala}, editor = {Ellen Sentovich}, title = {A parallel low-rank multilevel matrix compression algorithm for parasitic extraction of electrically large structures}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1053--1056}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147173}, doi = {10.1145/1146909.1147173}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangCGJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangCTRC06, author = {Jie Yang and Ethan Cohen and Cyrus Tabery and Norma Rodriguez and Mark Craig}, editor = {Ellen Sentovich}, title = {An up-stream design auto-fix flow for manufacturability enhancement}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {73--76}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146931}, doi = {10.1145/1146909.1146931}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangCTRC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangLD06, author = {Lei Yang and Haris Lekatsas and Robert P. Dick}, editor = {Ellen Sentovich}, title = {High-performance operating system controlled memory compression}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {701--704}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147086}, doi = {10.1145/1146909.1147086}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangLD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuL06, author = {Guo Yu and Peng Li}, editor = {Ellen Sentovich}, title = {Lookup table based simulation and statistical modeling of Sigma-Delta ADCs}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {1035--1040}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147169}, doi = {10.1145/1146909.1147169}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuSH06, author = {Hao Yu and Yiyu Shi and Lei He}, editor = {Ellen Sentovich}, title = {Fast analysis of structured power grid by triangularization based structure preserving model order reduction}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {205--210}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146965}, doi = {10.1145/1146909.1146965}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuSP06, author = {Peng Yu and Sean X. Shi and David Z. Pan}, editor = {Ellen Sentovich}, title = {Process variation aware {OPC} with variational lithography modeling}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {785--790}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147108}, doi = {10.1145/1146909.1147108}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YuhYC06, author = {Ping{-}Hung Yuh and Chia{-}Lin Yang and Yao{-}Wen Chang}, editor = {Ellen Sentovich}, title = {Placement of digital microfluidic biochips using the t-tree formulation}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {931--934}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147145}, doi = {10.1145/1146909.1147145}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YuhYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangJS06, author = {Wei Zhang and Niraj K. Jha and Li Shang}, editor = {Ellen Sentovich}, title = {{NATURE:} a hybrid nanotube/CMOS dynamically reconfigurable architecture}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {711--716}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147091}, doi = {10.1145/1146909.1147091}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhangMBC06, author = {Jin S. Zhang and Alan Mishchenko and Robert K. Brayton and Malgorzata Chrzanowska{-}Jeske}, editor = {Ellen Sentovich}, title = {Symmetry detection for large Boolean functions using circuit representation, simulation, and satisfiability}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {510--515}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147044}, doi = {10.1145/1146909.1147044}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhangMBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhaoPSYF06, author = {Min Zhao and Rajendran Panda and Savithri Sundareswaran and Shu Yan and Yuhong Fu}, editor = {Ellen Sentovich}, title = {A fast on-chip decoupling capacitance budgeting algorithm using macromodeling and linear programming}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {217--222}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146967}, doi = {10.1145/1146909.1146967}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhaoPSYF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhongWS06, author = {Lin Zhong and Bin Wei and Michael J. Sinclair}, editor = {Ellen Sentovich}, title = {{SMERT:} energy-efficient design of a multimedia messaging system for mobile devices}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {586--591}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147061}, doi = {10.1145/1146909.1147061}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhongWS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouM06, author = {Quming Zhou and Kartik Mohanram}, editor = {Ellen Sentovich}, title = {Elmore model for energy estimation in {RC} trees}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {965--970}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147154}, doi = {10.1145/1146909.1147154}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhouM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhouP06, author = {Xiangrong Zhou and Peter Petrov}, editor = {Ellen Sentovich}, title = {Rapid and low-cost context-switch through embedded processor customization for real-time and control applications}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {352--357}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147001}, doi = {10.1145/1146909.1147001}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhouP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuKKS06, author = {Qi Zhu and Nathan Kitchen and Andreas Kuehlmann and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Ellen Sentovich}, title = {{SAT} sweeping with local observability don't-cares}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {229--234}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146970}, doi = {10.1145/1146909.1146970}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhuKKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuQ06, author = {Xinping Zhu and Wei Qin}, editor = {Ellen Sentovich}, title = {Prototyping a fault-tolerant multiprocessor SoC with run-time fault recovery}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {53--56}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146926}, doi = {10.1145/1146909.1146926}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhuQ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuoCCV06, author = {Jianli Zhuo and Chaitali Chakrabarti and Naehyuck Chang and Sarma B. K. Vrudhula}, editor = {Ellen Sentovich}, title = {Extending the lifetime of fuel cell based hybrid systems}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {562--567}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147056}, doi = {10.1145/1146909.1147056}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ZhuoCCV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZouMGS06, author = {Jun Zou and Daniel Mueller and Helmut E. Graeb and Ulf Schlichtmann}, editor = {Ellen Sentovich}, title = {A {CPPLL} hierarchical optimization methodology considering jitter, power and locking time}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {19--24}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146919}, doi = {10.1145/1146909.1146919}, timestamp = {Fri, 27 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZouMGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/dac/2006, editor = {Ellen Sentovich}, title = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, publisher = {{ACM}}, year = {2006}, url = {http://dl.acm.org/citation.cfm?id=1146909}, isbn = {1-59593-381-6}, timestamp = {Wed, 30 Nov 2011 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/2006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.