Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/date/date2018.bht:"
@inproceedings{DBLP:conf/date/0001TBHKHR18, author = {Muhammad Shafique and Theocharis Theocharides and Christos{-}Savvas Bouganis and Muhammad Abdullah Hanif and Faiq Khalid and Rehan Hafiz and Semeen Rehman}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An overview of next-generation architectures for machine learning: Roadmap, opportunities and challenges in the IoT era}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {827--832}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342120}, doi = {10.23919/DATE.2018.8342120}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/0001TBHKHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/0001WHDCS18, author = {Anup Das and Yuefeng Wu and Khanh Huynh and Francesco Dell'Anna and Francky Catthoor and Siebren Schaafsma}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Mapping of local and global synapses on spiking neuromorphic hardware}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1217--1222}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342201}, doi = {10.23919/DATE.2018.8342201}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/0001WHDCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AbubakarSA18, author = {Syed Muhammad Abubakar and Wala Saadeh and Muhammad Awais Bin Altaf}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A wearable long-term single-lead {ECG} processor for early detection of cardiac arrhythmia}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {961--966}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342148}, doi = {10.23919/DATE.2018.8342148}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AbubakarSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AdiththanRS18, author = {Arun Adiththan and S. Ramesh and Soheil Samii}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Cloud-assisted control of ground vehicles using adaptive computation offloading techniques}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {589--592}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342076}, doi = {10.23919/DATE.2018.8342076}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AdiththanRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmadPS18, author = {Isaar Ahmad and Sanjog Patil and Smruti R. Sarangi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{HPXA:} {A} highly parallel {XML} parser}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {249--252}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342012}, doi = {10.23919/DATE.2018.8342012}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AhmadPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmadianTLKA18, author = {Saba Ahmadian and Farhad Taheri and Mehrshad Lotfi and Maryam Karimi and Hossein Asadi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Investigating power outage effects on reliability of solid-state drives}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {207--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342004}, doi = {10.23919/DATE.2018.8342004}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AhmadianTLKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AhmedFM18, author = {Alif Ahmed and Farimah Farahmandi and Prabhat Mishra}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Directed test generation using concolic testing on {RTL} models}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1538--1543}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342260}, doi = {10.23919/DATE.2018.8342260}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AhmedFM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AkbariKAPS18, author = {Omid Akbari and Mehdi Kamal and Ali Afzali{-}Kusha and Massoud Pedram and Muhammad Shafique}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{PX-CGRA:} Polymorphic approximate coarse-grained reconfigurable architecture}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {413--418}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342045}, doi = {10.23919/DATE.2018.8342045}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AkbariKAPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlbaqsamiHB18, author = {Ahmad Albaqsami and Maryam S. Hosseini and Nader Bagherzadeh}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{HTF-MPR:} {A} heterogeneous TensorFlow mapper targeting performance using genetic algorithms and gradient boosting regressors}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {331--336}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342031}, doi = {10.23919/DATE.2018.8342031}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AlbaqsamiHB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AlliniPFB18, author = {Elie Noumon Allini and Oto Petura and Viktor Fischer and Florent Bernard}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Optimization of the {PLL} configuration in a PLL-based {TRNG} design}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1265--1270}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342209}, doi = {10.23919/DATE.2018.8342209}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AlliniPFB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AmaruSVLMOBM18, author = {Luca Gaetano Amar{\`{u}} and Mathias Soeken and Patrick Vuillod and Jiong Luo and Alan Mishchenko and Janet Olson and Robert K. Brayton and Giovanni De Micheli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improvements to boolean resynthesis}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {755--760}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342108}, doi = {10.23919/DATE.2018.8342108}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AmaruSVLMOBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AmiriHMN18, author = {Sam Amiri and Mohammad Hosseinabady and Simon McIntosh{-}Smith and Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Multi-precision convolutional neural networks on heterogeneous hardware}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {419--424}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342046}, doi = {10.23919/DATE.2018.8342046}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AmiriHMN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AnBOK18, author = {Qi An and S{\'{e}}bastien Le Beux and Ian O'Connor and Jacques{-}Olivier Klein}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Large scale, high density integration of all spin logic}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {131--136}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341992}, doi = {10.23919/DATE.2018.8341992}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AnBOK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AndradePP18, author = {Liliana Andrade and Adrien Prost{-}Boucle and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Overview of the state of the art in embedded machine learning}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1033--1038}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342164}, doi = {10.23919/DATE.2018.8342164}, timestamp = {Wed, 25 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AndradePP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AntoniadisGES18, author = {Charalampos Antoniadis and Dimitrios Garyfallou and Nestor E. Evmorfopoulos and Georgios I. Stamoulis}, editor = {Jan Madsen and Ayse K. Coskun}, title = {EVT-based worst case delay estimation under process variation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1333--1338}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342220}, doi = {10.23919/DATE.2018.8342220}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AntoniadisGES18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AramoonCQ18, author = {Omid Aramoon and Xi Chen and Gang Qu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A reconfigurable scan network based {IC} identification for embedded devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {479--484}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342056}, doi = {10.23919/DATE.2018.8342056}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AramoonCQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AriasRTJ18, author = {Orlando Arias and Fahim Rahman and Mark M. Tehranipoor and Yier Jin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Device attestation: Past, present, and future}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {473--478}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342055}, doi = {10.23919/DATE.2018.8342055}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AriasRTJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwanSBAT18, author = {Muhammad Ali Awan and Pedro F. Souto and Konstantinos Bletsas and Benny Akesson and Eduardo Tovar}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Mixed-criticality scheduling with memory bandwidth regulation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1277--1282}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342211}, doi = {10.23919/DATE.2018.8342211}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwanSBAT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwanoS18, author = {Hiromitsu Awano and Takashi Sato}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Ising-PUF: {A} machine learning attack resistant {PUF} featuring lattice like arrangement of Arbiter-PUFs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1447--1452}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342239}, doi = {10.23919/DATE.2018.8342239}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwanoS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwekeA18, author = {Zelalem Birhanu Aweke and Todd M. Austin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {uSFI: Ultra-lightweight software fault isolation for IoT-class devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1015--1020}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342161}, doi = {10.23919/DATE.2018.8342161}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwekeA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AwekeA18a, author = {Zelalem Birhanu Aweke and Todd M. Austin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{\O}zone: Efficient execution with zero timing leakage for modern microarchitectures}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1123--1128}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342179}, doi = {10.23919/DATE.2018.8342179}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AwekeA18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AysuOT18, author = {Aydin Aysu and Michael Orshansky and Mohit Tiwari}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Binary Ring-LWE hardware with power side-channel countermeasures}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1253--1258}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342207}, doi = {10.23919/DATE.2018.8342207}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AysuOT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzadFM18, author = {Zahra Azad and Hamed Farbeh and Amir Mahdi Hosseini Monazzah}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{ORIENT:} Organized interleaved ECCs for new {STT-MRAM} caches}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1187--1190}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342194}, doi = {10.23919/DATE.2018.8342194}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/AzadFM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/AzizBCCDGHHIJMM18, author = {Ahmedullah Aziz and Evelyn T. Breyer and An Chen and Xiaoming Chen and Suman Datta and Sumeet Kumar Gupta and Michael Hoffmann and Xiaobo Sharon Hu and Adrian M. Ionescu and Matthew Jerry and Thomas Mikolajick and Halid Mulaosmanovic and Kai Ni and Michael T. Niemier and Ian O'Connor and Atanu Saha and Stefan Slesazeck and Sandeep Krishna Thirumala and Xunzhao Yin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Computing with ferroelectric FETs: Devices, models, systems, and applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1289--1298}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342213}, doi = {10.23919/DATE.2018.8342213}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/AzizBCCDGHHIJMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BachePG18, author = {Florian Bache and Christina Plump and Tim G{\"{u}}neysu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Confident leakage assessment - {A} side-channel evaluation framework based on confidence intervals}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1117--1122}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342178}, doi = {10.23919/DATE.2018.8342178}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BachePG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BalRC18, author = {Aatreyi Bal and Sanghamitra Roy and Koushik Chakraborty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Trident: {A} comprehensive timing error resilient technique against choke points at {NTC}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {355--360}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342035}, doi = {10.23919/DATE.2018.8342035}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BalRC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Balasubramanian18, author = {Mahesh Balasubramanian and Shail Dave and Aviral Shrivastava and Reiley Jeyapaul}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{LASER:} {A} hardware/software approach to accelerate complicated loops on CGRAs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1069--1074}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342170}, doi = {10.23919/DATE.2018.8342170}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Balasubramanian18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BansalHCA18, author = {Samridhi Bansal and Hsuan Hsiao and Tomasz S. Czajkowski and Jason Helge Anderson}, editor = {Jan Madsen and Ayse K. Coskun}, title = {High-level synthesis of software-customizable floating-point cores}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {37--42}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341976}, doi = {10.23919/DATE.2018.8341976}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BansalHCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BaruahSDKR18, author = {Trinayan Baruah and Yifan Sun and Shi Dong and David R. Kaeli and Norm Rubin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Airavat: Improving energy efficiency of heterogeneous applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {731--736}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342104}, doi = {10.23919/DATE.2018.8342104}, timestamp = {Mon, 09 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BaruahSDKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BenedicteHAC18, author = {Pedro Benedicte and Carles Hern{\'{a}}ndez and Jaume Abella and Francisco J. Cazorla}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design and integration of hierarchical-placement multi-level caches for real-time systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {455--460}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342052}, doi = {10.23919/DATE.2018.8342052}, timestamp = {Fri, 14 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BenedicteHAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BenzGB18, author = {Joscha Benz and Christoph Gerum and Oliver Bringmann}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Advancing source-level timing simulation using loop acceleration}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1393--1398}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342230}, doi = {10.23919/DATE.2018.8342230}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BenzGB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BhattacharjeeAC18, author = {Debjyoti Bhattacharjee and Luca G. Amar{\`{u}} and Anupam Chattopadhyay}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Technology-aware logic synthesis for ReRAM based in-memory computing}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1435--1440}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342237}, doi = {10.23919/DATE.2018.8342237}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BhattacharjeeAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BhattacharjeeWH18, author = {Sukanta Bhattacharjee and Robert Wille and Juinn{-}Dar Huang and Bhargab B. Bhattacharya}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Storage-aware sample preparation using flow-based microfluidic Labs-on-Chip}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1399--1404}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342231}, doi = {10.23919/DATE.2018.8342231}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BhattacharjeeWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BielskiSKSRTAPP18, author = {Maciej Bielski and Ilias Syrigos and Kostas Katrinis and Dimitris Syrivelis and Andrea Reale and Dimitris Theodoropoulos and Nikolaos Alachiotis and Dionisis N. Pnevmatikatos and E. H. Pap and George Zervas and Vaibhawa Mishra and Arsalan Saljoghei and Alvise Rigo and Jose Fernando Zazo and Sergio L{\'{o}}pez{-}Buedo and Mart{\'{\i}} Torrents and Ferad Zyulkyarov and Michael Enrico and {\'{O}}scar Gonz{\'{a}}lez de Dios}, editor = {Jan Madsen and Ayse K. Coskun}, title = {dReDBox: Materializing a full-stack rack-scale system prototype of a next-generation disaggregated datacenter}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1093--1098}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342174}, doi = {10.23919/DATE.2018.8342174}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BielskiSKSRTAPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BonnoitBZN18, author = {Thierry Bonnoit and Fraidy Bouesse and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Designing reliable processor cores in ultimate {CMOS} and beyond: {A} double sampling solution}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {905--908}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342137}, doi = {10.23919/DATE.2018.8342137}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BonnoitBZN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BoroumandPB18, author = {Sina Boroumand and Hadi Parandeh{-}Afshar and Philip Brisk}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Approximate quaternary addition with the fast carry chains of FPGAs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {577--580}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342073}, doi = {10.23919/DATE.2018.8342073}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BoroumandPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BreierJB18, author = {Jakub Breier and Dirmanto Jap and Shivam Bhasin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{SCADPA:} Side-channel assisted differential-plaintext attack on bit permutation based ciphers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1129--1134}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342180}, doi = {10.23919/DATE.2018.8342180}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BreierJB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BucsFLAT018, author = {Robert Lajos B{\"{u}}cs and Maximilian Fricke and Rainer Leupers and Gerd Ascheid and Stephan Tobies and Andreas Hoffmann}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{OHEX:} OS-aware hybridization techniques for accelerating MPSoC full-system simulation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {281--284}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342020}, doi = {10.23919/DATE.2018.8342020}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BucsFLAT018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BundLM18, author = {Johannes Bund and Christoph Lenzen and Moti Medina}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Optimal metastability-containing sorting networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {521--526}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342063}, doi = {10.23919/DATE.2018.8342063}, timestamp = {Thu, 24 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BundLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BurchardE018, author = {Jan Burchard and Dominik Erb and Bernd Becker}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Characterization of possibly detected faults by accurately computing their detection probability}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {385--390}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342040}, doi = {10.23919/DATE.2018.8342040}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/BurchardE018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CarbonPBSTBVPB18, author = {Alexandre Carbon and Jean{-}Marc Philippe and Olivier Bichler and Renaud Schmit and Beno{\^{\i}}t Tain and David Briand and Nicolas Ventroux and Michel Paindavoine and Olivier Brousse}, editor = {Jan Madsen and Ayse K. Coskun}, title = {PNeuro: {A} scalable energy-efficient programmable hardware accelerator for neural networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1039--1044}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342165}, doi = {10.23919/DATE.2018.8342165}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CarbonPBSTBVPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CarpentTR18, author = {Xavier Carpent and Gene Tsudik and Norrathep Rattanavipanon}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{ERASMUS:} Efficient remote attestation via self-measurement for unattended settings}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1191--1194}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342195}, doi = {10.23919/DATE.2018.8342195}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CarpentTR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Castro-GodinezE18, author = {Jorge Castro{-}God{\'{\i}}nez and Sven Esser and Muhammad Shafique and Santiago Pagani and J{\"{o}}rg Henkel}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Compiler-driven error analysis for designing approximate accelerators}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1027--1032}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342163}, doi = {10.23919/DATE.2018.8342163}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Castro-GodinezE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CeliaVC18, author = {D. Celia and Vinita Vasudevan and Nitin Chandrachoodan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Optimizing power-accuracy trade-off in approximate adders}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1488--1491}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342248}, doi = {10.23919/DATE.2018.8342248}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CeliaVC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChangRHC18, author = {Wanli Chang and Debayan Roy and Xiaobo Sharon Hu and Samarjit Chakraborty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Cache-aware task scheduling for maximizing control performance}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {694--699}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342098}, doi = {10.23919/DATE.2018.8342098}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChangRHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChatterjeeSMC18, author = {Urbi Chatterjee and Durga Prasad Sahoo and Debdeep Mukhopadhyay and Rajat Subhra Chakraborty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Trustworthy proofs for sensor data using {FPGA} based physically unclonable functions}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1504--1507}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342252}, doi = {10.23919/DATE.2018.8342252}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChatterjeeSMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChekuriKSM18, author = {Venakata Chaitanya Krishna Chekuri and Monodeep Kar and Arvind Singh and Saibal Mukhopadhyay}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Performance based tuning of an inductive integrated voltage regulator driving a digital core against process and passive variations}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {367--372}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342037}, doi = {10.23919/DATE.2018.8342037}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChekuriKSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenCGSV18, author = {Chia{-}Yu Chen and Jungwook Choi and Kailash Gopalakrishnan and Viji Srinivasan and Swagath Venkataramani}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Exploiting approximate computing for deep learning acceleration}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {821--826}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342119}, doi = {10.23919/DATE.2018.8342119}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenCGSV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenCH18, author = {Xiaoming Chen and Danny Z. Chen and Xiaobo Sharon Hu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {moDNN: Memory optimal {DNN} training on GPUs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {13--18}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341972}, doi = {10.23919/DATE.2018.8341972}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenSZYJZ18, author = {Xianzhang Chen and Edwin Hsing{-}Mean Sha and Yuansong Zeng and Chaoshu Yang and Weiwen Jiang and Qingfeng Zhuge}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Efficient wear leveling for inodes of file systems on persistent memories}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1524--1527}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342257}, doi = {10.23919/DATE.2018.8342257}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenSZYJZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenYNH18, author = {Xiaoming Chen and Xunzhao Yin and Michael T. Niemier and Xiaobo Sharon Hu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design and optimization of FeFET-based crossbars for binary convolution neural networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1205--1210}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342199}, doi = {10.23919/DATE.2018.8342199}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenYNH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChengDHHN0RT18, author = {Chih{-}Hong Cheng and Frederik Diehl and Gereon Hinz and Yassine Hamza and Georg N{\"{u}}hrenberg and Markus Rickert and Harald Ruess and Michael Truong{-}Le}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Neural networks for safety-critical applications - Challenges, experiments and perspectives}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1005--1006}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342158}, doi = {10.23919/DATE.2018.8342158}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChengDHHN0RT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChiuCL18, author = {Kun{-}Wei Chiu and Yu{-}Guang Chen and Ing{-}Chao Lin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An efficient NBTI-aware wake-up strategy for power-gated designs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {901--904}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342136}, doi = {10.23919/DATE.2018.8342136}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChiuCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChoiKH18, author = {Junchul Choi and Donghyun Kang and Soonhoi Ha}, editor = {Jan Madsen and Ayse K. Coskun}, title = {End-to-end latency analysis of cause-effect chains in an engine management system}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1195--1198}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342196}, doi = {10.23919/DATE.2018.8342196}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChoiKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CoelhoCZFV18, author = {Alexandre Coelho and Amir Charif and Nacer{-}Eddine Zergainoh and Juan A. Fraire and Raoul Velazco}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A soft-error resilient route computation unit for 3D Networks-on-Chips}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1357--1362}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342224}, doi = {10.23919/DATE.2018.8342224}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CoelhoCZFV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CruzHMB18, author = {Jonathan Cruz and Yuanwen Huang and Prabhat Mishra and Swarup Bhunia}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An automated configurable Trojan insertion framework for dynamic trust benchmarks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1598--1603}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342270}, doi = {10.23919/DATE.2018.8342270}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/CruzHMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/CuiZHWY18, author = {Jinhua Cui and Youtao Zhang and Jianhang Huang and Weiguo Wu and Jun Yang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {ShadowGC: Cooperative garbage collection with multi-level buffer for performance improvement in {NAND} flash-based SSDs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1247--1252}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342206}, doi = {10.23919/DATE.2018.8342206}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/CuiZHWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DalpassoBF18, author = {Marcello Dalpasso and Davide Bertozzi and Michele Favalli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A Boolean model for delay fault testing of emerging digital technologies based on ambipolar devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {297--300}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342024}, doi = {10.23919/DATE.2018.8342024}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DalpassoBF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DaneseBP18, author = {Alessandro Danese and Valeria Bertacco and Graziano Pravadelli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Symbolic assertion mining for security validation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1550--1555}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342262}, doi = {10.23919/DATE.2018.8342262}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DaneseBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DaveBS18, author = {Shail Dave and Mahesh Balasubramanian and Aviral Shrivastava}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{URECA:} Unified register file for CGRAs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1081--1086}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342172}, doi = {10.23919/DATE.2018.8342172}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DaveBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DebnathMJO18, author = {Krishanu Debnath and Rajeev Murgai and Mayank Jain and Janet Olson}, editor = {Jan Madsen and Ayse K. Coskun}, title = {SAT-based redundancy removal}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {315--318}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342028}, doi = {10.23919/DATE.2018.8342028}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DebnathMJO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeckerDGHLLSWW18, author = {Normann Decker and Boris Dreyer and Philip Gottschling and Christian Hochberger and Alexander Lange and Martin Leucker and Torben Scheffel and Simon Wegener and Alexander Weiss}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Online analysis of debug trace data for embedded systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {851--856}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342124}, doi = {10.23919/DATE.2018.8342124}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DeckerDGHLLSWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DijkVBCS18, author = {Jeroen P. G. van Dijk and Andrei Vladimirescu and Masoud Babaie and Edoardo Charbon and Fabio Sebastiano}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A co-design methodology for scalable quantum processors and their classical electronic interface}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {573--576}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342072}, doi = {10.23919/DATE.2018.8342072}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DijkVBCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DingCHBLBPR18, author = {Ming Ding and Guibin Chen and Pieter Harpe and Benjamin Busze and Yao{-}Hong Liu and Christian Bachmann and Kathleen Philips and Arthur H. M. van Roermund}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A circuit-design-driven tool with a hybrid automation approach for {SAR} ADCs in IoT}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {672--675}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342094}, doi = {10.23919/DATE.2018.8342094}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DingCHBLBPR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DonyanavardRMMD18, author = {Bryan Donyanavard and Amir M. Rahmani and Tiago M{\"{u}}ck and Kasra Moazemmi and Nikil D. Dutt}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Gain scheduled control for nonlinear power management in CMPs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {921--924}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342141}, doi = {10.23919/DATE.2018.8342141}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DonyanavardRMMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuanLLJ18, author = {Zhuohui Duan and Haikun Liu and Xiaofei Liao and Hai Jin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{HME:} {A} lightweight emulator for hybrid memory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1375--1380}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342227}, doi = {10.23919/DATE.2018.8342227}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DuanLLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DuttS18, author = {Shantanu Dutt and Ouwen Shi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A fast and effective lookahead and fractional search based scheduling algorithm for high-level synthesis}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {31--36}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341975}, doi = {10.23919/DATE.2018.8341975}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/DuttS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ElverBJN18, author = {Marco Elver and Christopher J. Banks and Paul B. Jackson and Vijay Nagarajan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {VerC3: {A} library for explicit state synthesis of concurrent systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1381--1386}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342228}, doi = {10.23919/DATE.2018.8342228}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ElverBJN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ErisJKMMZ18, author = {Furkan Eris and Ajay Joshi and Andrew B. Kahng and Yenai Ma and Saiful A. Mojumder and Tiansheng Zhang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Leveraging thermally-aware chiplet organization in 2.5D systems to reclaim dark silicon}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1441--1446}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342238}, doi = {10.23919/DATE.2018.8342238}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ErisJKMMZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FadihehUNMBSK18, author = {Mohammad Rahmani Fadiheh and Joakim Urdahl and Srinivasa Shashank Nuthakki and Subhasish Mitra and Clark W. Barrett and Dominik Stoffel and Wolfgang Kunz}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Symbolic quick error detection using symbolic initial state for pre-silicon verification}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {55--60}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341979}, doi = {10.23919/DATE.2018.8341979}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FadihehUNMBSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FedotovS18, author = {Alexander Fedotov and Julien Schmaltz}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Automatic generation of hardware checkers from formal micro-architectural specifications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1568--1573}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342265}, doi = {10.23919/DATE.2018.8342265}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FedotovS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FengYZL18, author = {Chaochao Feng and Daheng Yue and Zhenyu Zhao and Zhuofan Liao}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A parameterized timing-aware flip-flop merging algorithm for clock power reduction}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {881--884}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342131}, doi = {10.23919/DATE.2018.8342131}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FengYZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FickenscherSHTB18, author = {J{\"{o}}rg Fickenscher and Jens Schlumberger and Frank Hannig and J{\"{u}}rgen Teich and Mohamed Essayed Bouzouraa}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Cell-based update algorithm for occupancy grid maps and hybrid map for {ADAS} on embedded GPUs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {443--448}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342050}, doi = {10.23919/DATE.2018.8342050}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FickenscherSHTB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FilippouKMN18, author = {Filippos Filippou and Georgios Keramidas and Michail Mavropoulos and Dimitris Nikolos}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A novel fault tolerant cache architecture based on orthogonal latin squares theory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1429--1434}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342236}, doi = {10.23919/DATE.2018.8342236}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FilippouKMN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FletcherDM18, author = {Benjamin J. Fletcher and Shidhartha Das and Terrence S. T. Mak}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A high-speed design methodology for inductive coupling links in 3D-ICs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {497--502}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342059}, doi = {10.23919/DATE.2018.8342059}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/FletcherDM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FletcherDPM18, author = {Benjamin J. Fletcher and Shidhartha Das and Chi{-}Sang Poon and Terrence S. T. Mak}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Low-power 3D integration using inductive coupling links for neurotechnology applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1211--1216}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342200}, doi = {10.23919/DATE.2018.8342200}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FletcherDPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ForsbergBM18, author = {Bj{\"{o}}rn Forsberg and Luca Benini and Andrea Marongiu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {HePREM: Enabling predictable {GPU} execution on heterogeneous SoC}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {539--544}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342066}, doi = {10.23919/DATE.2018.8342066}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ForsbergBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FrohlichGD18, author = {Saman Fr{\"{o}}hlich and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Approximate hardware generation using symbolic computer algebra employing grobner basis}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {889--892}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342133}, doi = {10.23919/DATE.2018.8342133}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FrohlichGD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Fugger0NN018, author = {Matthias F{\"{u}}gger and J{\"{u}}rgen Maier and Robert Najvirt and Thomas Nowak and Ulrich Schmid}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A faithful binary circuit model with adversarial noise}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1327--1332}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342219}, doi = {10.23919/DATE.2018.8342219}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Fugger0NN018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/FusellaC18, author = {Edoardo Fusella and Alessandro Cilardo}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Understanding turn models for adaptive routing: The modular approach}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1477--1480}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342245}, doi = {10.23919/DATE.2018.8342245}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/FusellaC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GadioliNPVAPCS18, author = {Davide Gadioli and Ricardo Nobre and Pedro Pinto and Emanuele Vitali and Amir H. Ashouri and Gianluca Palermo and Jo{\~{a}}o M. P. Cardoso and Cristina Silvano}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{SOCRATES} - {A} seamless online compiler and system runtime autotuning framework for energy-aware applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1143--1146}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342183}, doi = {10.23919/DATE.2018.8342183}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GadioliNPVAPCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GauenDLPLBC18, author = {Kent Gauen and Ryan Dailey and Yung{-}Hsiang Lu and Eunbyung Park and Wei Liu and Alexander C. Berg and Yiran Chen}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Three years of low-power image recognition challenge: Introduction to special session}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {700--703}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342099}, doi = {10.23919/DATE.2018.8342099}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GauenDLPLBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GebregiorgisBT18, author = {Anteneh Gebregiorgis and Rajendra Bishnoi and Mehdi Baradaran Tahoori}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Spintronic normally-off heterogeneous system-on-chip design}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {113--118}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341989}, doi = {10.23919/DATE.2018.8341989}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GebregiorgisBT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhaemiAAF18, author = {Seyedeh Golsana Ghaemi and Iman Ahmadpour and Mehdi Ardebili and Hamed Farbeh}, editor = {Jan Madsen and Ayse K. Coskun}, title = {SMARTag: Error Correction in Cache Tag Array by Exploiting Address Locality}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1658--1663}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8467758}, doi = {10.23919/DATE.2018.8467758}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/GhaemiAAF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GhoshDGMC18, author = {Saurav Kumar Ghosh and Soumyajit Dey and Dip Goswami and Daniel Mueller{-}Gritschneder and Samarjit Chakraborty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design and validation of fault-tolerant embedded controllers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1283--1288}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342212}, doi = {10.23919/DATE.2018.8342212}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GhoshDGMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoldbergGKM18, author = {Eugene Goldberg and Matthias G{\"{u}}demann and Daniel Kroening and Rajdeep Mukherjee}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Efficient verification of multi-property designs (The benefit of wrong assumptions)}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {43--48}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341977}, doi = {10.23919/DATE.2018.8341977}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GoldbergGKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GoossensLAP18, author = {Bart Goossens and Hi{\^{e}}p Quang Luong and Jan Aelterman and Wilfried Philips}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Quasar, a high-level programming language and development environment for designing smart vision systems on embedded platforms}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1310--1315}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342216}, doi = {10.23919/DATE.2018.8342216}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GoossensLAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GraillatMRD18, author = {Amaury Graillat and Matthieu Moy and Pascal Raymond and Beno{\^{\i}}t Dupont de Dinechin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Parallel code generation of synchronous programs for a many-core architecture}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1139--1142}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342182}, doi = {10.23919/DATE.2018.8342182}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GraillatMRD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Grujic0RV18, author = {Milos Grujic and Bohan Yang and Vladimir Rozic and Ingrid Verbauwhede}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Towards inter-vendor compatibility of true random number generators for FPGAs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1520--1523}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342256}, doi = {10.23919/DATE.2018.8342256}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Grujic0RV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuimaraesLBF18, author = {Leonel Acunha Guimaraes and Thiago Ferreira de Paiva Leite and Rodrigo Possamai Bastos and Laurent Fesquet}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Non-intrusive testing technique for detection of Trojans in asynchronous circuits}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1516--1519}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342255}, doi = {10.23919/DATE.2018.8342255}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuimaraesLBF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GulveS18, author = {Rohini Gulve and Virendra Singh}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{ATPG} power guards: On limiting the test power below threshold}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {301--304}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342025}, doi = {10.23919/DATE.2018.8342025}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GulveS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/GuoHZ18, author = {Yuncheng Guo and Yu Hua and Pengfei Zuo}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{DFPC:} {A} dynamic frequent pattern compression scheme in NVM-based main memory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1622--1627}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342274}, doi = {10.23919/DATE.2018.8342274}, timestamp = {Thu, 14 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/GuoHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HaineSFB18, author = {Thomas Haine and Johan Segers and Denis Flandre and David Bol}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Gradient importance sampling: An efficient statistical extraction methodology of high-sigma {SRAM} dynamic characteristics}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {195--200}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342002}, doi = {10.23919/DATE.2018.8342002}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HaineSFB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanifH018, author = {Muhammad Abdullah Hanif and Rehan Hafiz and Muhammad Shafique}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Error resilience analysis for systematically employing approximate computing in convolutional neural networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {913--916}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342139}, doi = {10.23919/DATE.2018.8342139}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HanifH018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HasanMPB18, author = {Monowar Hasan and Sibin Mohan and Rodolfo Pellizzoni and Rakesh B. Bobba}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A design-space exploration for allocating security tasks in multicore real-time systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {225--230}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342007}, doi = {10.23919/DATE.2018.8342007}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HasanMPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HashemiTBR18, author = {Soheil Hashemi and Hokchhay Tann and Francesco Buttafuoco and Sherief Reda}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Approximate computing for biometrie security systems: {A} case study on iris scanning}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {319--324}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342029}, doi = {10.23919/DATE.2018.8342029}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HashemiTBR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HassanGLVED18, author = {Muhammad Hassan and Daniel Gro{\ss}e and Hoang M. Le and Thilo V{\"{o}}rtler and Karsten Einwich and Rolf Drechsler}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Testbench qualification for SystemC-AMS timed data flow models}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {857--860}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342125}, doi = {10.23919/DATE.2018.8342125}, timestamp = {Wed, 09 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HassanGLVED18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HeittmannN18, author = {Arne Heittmann and Tobias G. Noll}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Architecture and optimization of associative memories used for the implementation of logic functions based on nanoelectronic 1S1R cells}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1496--1499}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342250}, doi = {10.23919/DATE.2018.8342250}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HeittmannN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HerdtLGD18, author = {Vladimir Herdt and Hoang M. Le and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Towards fully automated TLM-to-RTL property refinement}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1508--1511}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342253}, doi = {10.23919/DATE.2018.8342253}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HerdtLGD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HoKDC18, author = {Kuan{-}Ting Ho and Chung{-}Ta King and Bhaskar Das and Yung{-}Ju Chang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Characterizing display QoS based on frame dropping for power management of interactive applications on smartphones}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {873--876}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342129}, doi = {10.23919/DATE.2018.8342129}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HoKDC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HongLP18, author = {Seongmin Hong and Inho Lee and Yongjun Park}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{NN} compactor: Minimizing memory and logic resources for small neural networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {581--584}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342074}, doi = {10.23919/DATE.2018.8342074}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HongLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HsiaoA18, author = {Hsuan Hsiao and Jason Helge Anderson}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Sensei: An area-reduction advisor for {FPGA} high-level synthesis}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {25--30}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341974}, doi = {10.23919/DATE.2018.8341974}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HsiaoA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HuangDWY18, author = {Tianhao Huang and Guohao Dai and Yu Wang and Huazhong Yang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {HyVE: Hybrid vertex-edge memory hierarchy for energy-efficient graph processing}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {973--978}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342150}, doi = {10.23919/DATE.2018.8342150}, timestamp = {Wed, 13 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/HuangDWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IannopolloTS18, author = {Antonio Iannopollo and Stavros Tripakis and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Specification decomposition for synthesis from libraries of {LTL} Assume/Guarantee contracts}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1574--1579}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342266}, doi = {10.23919/DATE.2018.8342266}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IannopolloTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Imana18, author = {Jos{\'{e}} Luis Ima{\~{n}}a}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Reconfigurable implementation of GF(2\({}^{\mbox{m}}\)) bit-parallel multipliers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {893--896}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342134}, doi = {10.23919/DATE.2018.8342134}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Imana18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ImaniGR18, author = {Mohsen Imani and Saransh Gupta and Tajana Rosing}, editor = {Jan Madsen and Ayse K. Coskun}, title = {GenPIM: Generalized processing in-memory to accelerate data intensive applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1155--1158}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342186}, doi = {10.23919/DATE.2018.8342186}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ImaniGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IndrusiakBN18, author = {Leandro Soares Indrusiak and Alan Burns and Borislav Nikolic}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Buffer-aware bounds to multi-point progressive blocking in priority-preemptive NoCs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {219--224}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342006}, doi = {10.23919/DATE.2018.8342006}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/IndrusiakBN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IranfarPZZKA18, author = {Arman Iranfar and Ali Pahlevan and Marina Zapater and Martin Zagar and Mario Kovac and David Atienza}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Online efficient bio-medical video transcoding on MPSoCs through content-aware workload allocation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {949--954}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342146}, doi = {10.23919/DATE.2018.8342146}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/IranfarPZZKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JacobZZBCT18, author = {Romain Jacob and Licong Zhang and Marco Zimmerling and Jan Beutel and Samarjit Chakraborty and Lothar Thiele}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{TTW:} {A} Time-Triggered Wireless design for {CPS}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {865--868}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342127}, doi = {10.23919/DATE.2018.8342127}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JacobZZBCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JainSW0R18, author = {Shubham Jain and Sachin S. Sapatnekar and Jianping Wang and Kaushik Roy and Anand Raghunathan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Computing-in-memory with spintronics}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1640--1645}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342277}, doi = {10.23919/DATE.2018.8342277}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JainSW0R18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JaiswalS18, author = {Manish Kumar Jaiswal and Hayden Kwok{-}Hay So}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Universal number posit arithmetic generator on {FPGA}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1159--1162}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342187}, doi = {10.23919/DATE.2018.8342187}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JaiswalS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiSJLC18, author = {Houxiang Ji and Linghao Song and Li Jiang and Hai Helen Li and Yiran Chen}, editor = {Jan Madsen and Ayse K. Coskun}, title = {ReCom: An efficient resistive accelerator for compressed deep neural networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {237--240}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342009}, doi = {10.23919/DATE.2018.8342009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JiSJLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiangLLH18, author = {Honglan Jiang and Leibo Liu and Fabrizio Lombardi and Jie Han}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Adaptive approximation in arithmetic circuits: {A} low-power unsigned divider design}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1411--1416}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342233}, doi = {10.23919/DATE.2018.8342233}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/JiangLLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JiaoAJ018, author = {Xun Jiao and Vahideh Akhlaghi and Yu Jiang and Rajesh K. Gupta}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Energy-efficient neural networks using approximate computation reuse}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1223--1228}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342202}, doi = {10.23919/DATE.2018.8342202}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JiaoAJ018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JoardarDP18, author = {Biresh Kumar Joardar and Karthi Duraisamy and Partha Pratim Pande}, editor = {Jan Madsen and Ayse K. Coskun}, title = {High performance collective communication-aware 3D Network-on-Chip architectures}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1351--1356}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342223}, doi = {10.23919/DATE.2018.8342223}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/JoardarDP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KangCZLHZZ18, author = {Wang Kang and Xing Chen and Daoqian Zhu and Sai Li and Yangqi Huang and Youguang Zhang and Weisheng Zhao}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Magnetic skyrmions for future potential memory and logic applications: Alternative information carriers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {119--124}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341990}, doi = {10.23919/DATE.2018.8341990}, timestamp = {Mon, 02 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KangCZLHZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KangKKYH18, author = {Duseok Kang and Donghyun Kang and Jintaek Kang and Sungjoo Yoo and Soonhoi Ha}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Joint optimization of speed, accuracy, and energy for embedded image recognition systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {715--720}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342102}, doi = {10.23919/DATE.2018.8342102}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KangKKYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KarakonstantisT18, author = {Georgios Karakonstantis and Konstantinos Tovletoglou and Lev Mukhanov and Hans Vandierendonck and Dimitrios S. Nikolopoulos and Peter Lawthers and Panos K. Koutsovasilis and Manolis Maroudas and Christos D. Antonopoulos and Christos Kalogirou and Nikolaos Bellas and Spyros Lalis and Srikumar Venugopal and Arnau Prat{-}P{\'{e}}rez and Alejandro Lampropulos and Marios Kleanthous and Andreas Diavastos and Zacharias Hadjilambrou and Panagiota Nikolaou and Yiannakis Sazeides and Pedro Trancoso and George Papadimitriou and Manolis Kaliorakis and Athanasios Chatzidimitriou and Dimitris Gizopoulos and Shidhartha Das}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An energy-efficient and error-resilient server ecosystem exceeding conservative scaling limits}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1099--1104}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342175}, doi = {10.23919/DATE.2018.8342175}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KarakonstantisT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KhairallahSSBBC18, author = {Mustafa Khairallah and Rajat Sadhukhan and Radhamanjari Samanta and Jakub Breier and Shivam Bhasin and Rajat Subhra Chakraborty and Anupam Chattopadhyay and Debdeep Mukhopadhyay}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{DFARPA:} Differential fault attack resistant physical design automation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1171--1174}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342190}, doi = {10.23919/DATE.2018.8342190}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KhairallahSSBBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHKK18, author = {Seungwon Kim and Ki Jin Han and Youngmin Kim and Seokhyeong Kang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Fast chip-package-PCB coanalysis methodology for power integrity of multi-domain high-speed memory: {A} case study}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {885--888}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342132}, doi = {10.23919/DATE.2018.8342132}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimHKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHMACS18, author = {Sung Kim and Patrick Howe and Thierry Moreau and Armin Alaghi and Luis Ceze and Visvesh Sathe}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{MATIC:} Learning around errors for efficient low-voltage neural network accelerators}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341970}, doi = {10.23919/DATE.2018.8341970}, timestamp = {Wed, 30 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimHMACS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHS18, author = {Hyukjoong Kim and Kyuhwa Han and Dongkun Shin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {StreamFTL: Stream-level address translation scheme for memory constrained flash storage}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {617--620}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342083}, doi = {10.23919/DATE.2018.8342083}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimY18, author = {Byung{-}Su Kim and Joon{-}Sung Yang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Bayesian theory based switching probability calculation method of critical timing path for on-chip timing slack monitoring}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {361--366}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342036}, doi = {10.23919/DATE.2018.8342036}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KimY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KoduriA18, author = {Jayasimha Sai Koduri and Iraklis Anagnostopoulos}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{SPA:} Simple pool architecture for application resource allocation in many-core systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1364--1368}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342225}, doi = {10.23919/DATE.2018.8342225}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KoduriA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KomalanRHSTGKFC18, author = {Manu Komalan and Oh Hyung Rock and Matthias Hartmann and Sushil Sakhare and Christian Tenllado and Jos{\'{e}} Ignacio G{\'{o}}mez and Gouri Sankar Kar and Arnaud Furn{\'{e}}mont and Francky Catthoor and Sophiane Senni and David Novo and Abdoulaye Gamati{\'{e}} and Lionel Torres}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Main memory organization trade-offs with {DRAM} and {STT-MRAM} options based on gem5-NVMain simulation frameworks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {103--108}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341987}, doi = {10.23919/DATE.2018.8341987}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KomalanRHSTGKFC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KonstantinouCM18, author = {Charalambos Konstantinou and Eduardo Chielle and Michail Maniatakos}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{PHYLAX:} Snapshot-based profiling of real-time embedded devices via {JTAG} interface}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {869--872}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342128}, doi = {10.23919/DATE.2018.8342128}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KonstantinouCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KooliCTGN18, author = {Maha Kooli and Henri{-}Pierre Charles and Cl{\'{e}}ment Touzet and Bastien Giraud and Jean{-}Philippe Noel}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Smart instruction codes for in-memory computing architectures compatible with standard {SRAM} interfaces}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1634--1639}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342276}, doi = {10.23919/DATE.2018.8342276}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KooliCTGN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KraakATHWCC18, author = {Daniel Kraak and Innocent Agbo and Mottaqiallah Taouil and Said Hamdioui and Pieter Weckx and Stefan Cosemans and Francky Catthoor}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Degradation analysis of high performance 14nm FinFET {SRAM}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {201--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342003}, doi = {10.23919/DATE.2018.8342003}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KraakATHWCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KraftSMWW018, author = {Kira Kraft and Chirag Sudarshan and Deepak M. Mathew and Christian Weis and Norbert Wehn and Matthias Jung}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improving the error behavior of {DRAM} by exploiting its Z-channel property}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1492--1495}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342249}, doi = {10.23919/DATE.2018.8342249}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KraftSMWW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KuanA18, author = {Kyle Kuan and Tosiron Adegbija}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{LARS:} Logically adaptable retention time {STT-RAM} cache for embedded systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {461--466}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342053}, doi = {10.23919/DATE.2018.8342053}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KuanA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KuentzerJA18, author = {Felipe A. Kuentzer and Leonardo Rezende Juracy and Alexandre M. Amory}, editor = {Jan Madsen and Ayse K. Coskun}, title = {On the reuse of timing resilient architecture for testing path delay faults in critical paths}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {379--384}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342039}, doi = {10.23919/DATE.2018.8342039}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KuentzerJA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Kulikov18, author = {Alexander S. Kulikov}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improving circuit size upper bounds using SAT-solvers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {305--308}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342026}, doi = {10.23919/DATE.2018.8342026}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Kulikov18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KwonIYY18, author = {Taehyun Kwon and Muhammad Imran and Jung Min You and Joon{-}Sung Yang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Heterogeneous {PCM} array architecture for reliability, performance and lifetime enhancement}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1610--1615}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342272}, doi = {10.23919/DATE.2018.8342272}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KwonIYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KyrkouPTVB18, author = {Christos Kyrkou and George Plastiras and Theocharis Theocharides and Stylianos I. Venieris and Christos{-}Savvas Bouganis}, editor = {Jan Madsen and Ayse K. Coskun}, title = {DroNet: Efficient convolutional neural network detector for real-time {UAV} applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {967--972}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342149}, doi = {10.23919/DATE.2018.8342149}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KyrkouPTVB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LaiLH18, author = {Guan{-}Ru Lai and Chun{-}Yu Lin and Tsung{-}Yi Ho}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Pump-aware flow routing algorithm for programmable microfluidic devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1405--1410}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342232}, doi = {10.23919/DATE.2018.8342232}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LaiLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LaiLWCW18, author = {Yung{-}An Lai and Chia{-}Chun Lin and Chia{-}Cheng Wu and Yung{-}Chih Chen and Chun{-}Yao Wang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Efficient synthesis of approximate threshold logic circuits with an error rate guarantee}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {773--778}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342111}, doi = {10.23919/DATE.2018.8342111}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LaiLWCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Lamichhane0F18, author = {Kamal Lamichhane and Carlos Moreno and Sebastian Fischmeister}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Non-intrusive program tracing of non-preemptive multitasking systems using power consumption}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1147--1150}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342184}, doi = {10.23919/DATE.2018.8342184}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Lamichhane0F18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LamprechtNS18, author = {Alexander Lamprecht and Swaminathan Narayanaswamy and Sebastian Steinhorst}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improving fast charging efficiency of reconfigurable battery packs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {585--588}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342075}, doi = {10.23919/DATE.2018.8342075}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LamprechtNS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeHGD18, author = {Hoang M. Le and Vladimir Herdt and Daniel Gro{\ss}e and Rolf Drechsler}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Resilience evaluation via symbolic fault injection on intermediate code}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {845--850}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342123}, doi = {10.23919/DATE.2018.8342123}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeHGD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeAC18, author = {Vincent T. Lee and Armin Alaghi and Luis Ceze}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Correlation manipulating circuits for stochastic computing}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1417--1422}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342234}, doi = {10.23919/DATE.2018.8342234}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeWLCW18, author = {Tung{-}Yuan Lee and Chia{-}Cheng Wu and Chia{-}Chun Lin and Yung{-}Chih Chen and Chun{-}Yao Wang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Logic optimization with considering boolean relations}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {761--766}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342109}, doi = {10.23919/DATE.2018.8342109}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeWLCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LefeuvreFCGKPD18, author = {Thomas Lefeuvre and Imen Fassi and Christoph Cullmann and Gernot Gebhard and Emin{-}Koray Kasnakli and Isabelle Puaut and Steven Derrien}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Using polyhedral techniques to tighten {WCET} estimates of optimized code: {A} case study with array contraction}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {925--930}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342142}, doi = {10.23919/DATE.2018.8342142}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LefeuvreFCGKPD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeviRFK18, author = {Itamar Levi and Yehuda Rudin and Alexander Fish and Osnat Keren}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Embedded randomness and data dependencies design paradigm: Advantages and challenges}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {395--400}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342042}, doi = {10.23919/DATE.2018.8342042}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LeviRFK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiCCZWCH18, author = {Liying Li and Peijin Cong and Kun Cao and Junlong Zhou and Tongquan Wei and Mingsong Chen and Xiaobo Sharon Hu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Feedback control of real-time EtherCAT networks for reliability enhancement in {CPS}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {688--693}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342097}, doi = {10.23919/DATE.2018.8342097}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiCCZWCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiJDLZJ18, author = {Zhaoying Li and Lei Ju and Hongjun Dai and Xin Li and Mengying Zhao and Zhiping Jia}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Set variation-aware shared {LLC} management for {CPU-GPU} heterogeneous architecture}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {79--84}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341983}, doi = {10.23919/DATE.2018.8341983}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiJDLZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiJMF18, author = {Xiangwei Li and Abhishek Kumar Jain and Douglas L. Maskell and Suhaib A. Fahmy}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A time-multiplexed {FPGA} overlay with linear interconnect}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1075--1080}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342171}, doi = {10.23919/DATE.2018.8342171}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiJMF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiLZC18, author = {Gang Li and Fanrong Li and Tianli Zhao and Jian Cheng}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Block convolution: Towards memory-efficient inference of large-scale CNNs on {FPGA}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1163--1166}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342188}, doi = {10.23919/DATE.2018.8342188}, timestamp = {Thu, 15 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiLZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiSCQCL18, author = {Bing Li and Linghao Song and Fan Chen and Xuehai Qian and Yiran Chen and Hai Helen Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {ReRAM-based accelerator for deep learning}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {815--820}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342118}, doi = {10.23919/DATE.2018.8342118}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiSCQCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiYLJGWL18, author = {Jiajun Li and Guihai Yan and Wenyan Lu and Shuhao Jiang and Shijun Gong and Jingya Wu and Xiaowei Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{CCR:} {A} concise convolution rule for sparse neural network accelerators}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {189--194}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342001}, doi = {10.23919/DATE.2018.8342001}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiYLJGWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiYLJGWL18a, author = {Jiajun Li and Guihai Yan and Wenyan Lu and Shuhao Jiang and Shijun Gong and Jingya Wu and Xiaowei Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {SmartShuttle: Optimizing off-chip memory accesses for deep learning accelerators}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {343--348}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342033}, doi = {10.23919/DATE.2018.8342033}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiYLJGWL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiangMKM18, author = {Lihao Liang and Paul E. McKenney and Daniel Kroening and Tom Melham}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Verification of tree-based hierarchical read-copy update in the Linux kernel}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {61--66}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341980}, doi = {10.23919/DATE.2018.8341980}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LiangMKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinH18, author = {Jai{-}Ming Lin and Chien{-}Yu Huang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {General floorplanning methodology for 3D ICs with an arbitrary bonding style}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1199--1202}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342197}, doi = {10.23919/DATE.2018.8342197}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinHY18, author = {Jai{-}Ming Lin and Chien{-}Yu Huang and Jhih{-}Ying Yang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Co-synthesis of floorplanning and powerplanning in 3D ICs for multiple supply voltage designs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1339--1344}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342221}, doi = {10.23919/DATE.2018.8342221}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinLNLDWP18, author = {Sheng Lin and Ning Liu and Mahdi Nazemi and Hongjia Li and Caiwen Ding and Yanzhi Wang and Massoud Pedram}, editor = {Jan Madsen and Ayse K. Coskun}, title = {FFT-based deep learning deployment in embedded systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1045--1050}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342166}, doi = {10.23919/DATE.2018.8342166}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/LinLNLDWP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinW18, author = {Chun{-}Xun Lin and Martin D. F. Wong}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Accelerate analytical placement with {GPU:} {A} generic approach}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1345--1350}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342222}, doi = {10.23919/DATE.2018.8342222}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LinXZSCGCCWY18, author = {Jilan Lin and Lixue Xia and Zhenhua Zhu and Hanbo Sun and Yi Cai and Hui Gao and Ming Cheng and Xiaoming Chen and Yu Wang and Huazhong Yang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Rescuing memristor-based computing with non-linear resistance levels}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {407--412}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342044}, doi = {10.23919/DATE.2018.8342044}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LinXZSCGCCWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuWLH18, author = {Yidong Liu and Yanzhi Wang and Fabrizio Lombardi and Jie Han}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An energy-efficient stochastic computational deep belief network}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1175--1178}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342191}, doi = {10.23919/DATE.2018.8342191}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuWLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LongSM18, author = {Yun Long and Xueyuan She and Saibal Mukhopadhyay}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Accelerating biophysical neural network simulation with region of interest based approximation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {159--164}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341996}, doi = {10.23919/DATE.2018.8341996}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LongSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LongWJCZM18, author = {Zijun Long and Xiaohang Wang and Yingtao Jiang and Guofeng Cui and Li Zhang and Terrence S. T. Mak}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improving the efficiency of thermal covert channels in multi-/many-core systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1459--1464}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342241}, doi = {10.23919/DATE.2018.8342241}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LongWJCZM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LoraCQF18, author = {Michele Lora and Stefano Centomo and Davide Quaglia and Franco Fummi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Automatic integration of cycle-accurate descriptions with continuous-time models for cyber-physical virtual platforms}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {676--681}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342095}, doi = {10.23919/DATE.2018.8342095}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LoraCQF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LucasLJ18, author = {Jan Lucas and Sohan Lal and Ben H. H. Juurlink}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Optimal {DC/AC} data bus inversion coding}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1063--1068}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342169}, doi = {10.23919/DATE.2018.8342169}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/LucasLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaCDH18, author = {Yue Ma and Thidapat Chantem and Robert P. Dick and Xiaobo Sharon Hu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improving reliability for real-time systems through dynamic recovery}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {515--520}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342062}, doi = {10.23919/DATE.2018.8342062}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MaCDH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MahfouziASREP18, author = {Rouhollah Mahfouzi and Amir Aminifar and Soheil Samii and Ahmed Rezine and Petru Eles and Zebo Peng}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Stability-aware integrated routing and scheduling for control applications in Ethernet networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {682--687}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342096}, doi = {10.23919/DATE.2018.8342096}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MahfouziASREP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MalossiSMGTETNF18, author = {A. Cristiano I. Malossi and Michael Schaffner and Anca Molnos and Luca Gammaitoni and Giuseppe Tagliavini and Andrew P. J. Emerson and Andr{\'{e}}s Tom{\'{a}}s and Dimitrios S. Nikolopoulos and Eric Flamand and Norbert Wehn}, editor = {Jan Madsen and Ayse K. Coskun}, title = {The transprecision computing paradigm: Concept, design, and applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1105--1110}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342176}, doi = {10.23919/DATE.2018.8342176}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MalossiSMGTETNF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MathewSRSWW018, author = {Deepak M. Mathew and Martin Schultheis and Carl Christian Rheinl{\"{a}}nder and Chirag Sudarshan and Christian Weis and Norbert Wehn and Matthias Jung}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An analysis on retention error behavior and power consumption of recent {DDR4} DRAMs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {293--296}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342023}, doi = {10.23919/DATE.2018.8342023}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MathewSRSWW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MathewSSK18, author = {Sanu Mathew and Sudhir Satpathy and Vikram B. Suresh and Ram Krishnamurthy}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Ultra-low energy circuit building blocks for security technologies}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {391--394}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342041}, doi = {10.23919/DATE.2018.8342041}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MathewSSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MaurinDCS18, author = {Thomas Maurin and Laurent{-}Frederic Ducreux and George Caraiman and Philippe Sissoko}, editor = {Jan Madsen and Ayse K. Coskun}, title = {IoT security assessment through the interfaces {P-SCAN} test bench platform}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1007--1008}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342159}, doi = {10.23919/DATE.2018.8342159}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MaurinDCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MedeirosUS18, author = {Jos{\'{e}} Edil G. de Medeiros and George Ungureanu and Ingo Sander}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An algebra for modeling continuous time systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {861--864}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342126}, doi = {10.23919/DATE.2018.8342126}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MedeirosUS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MedinaBP18, author = {Roberto Medina and Etienne Borde and Laurent Pautet}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Availability enhancement and analysis for mixed-criticality systems on multi-core}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1271--1276}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342210}, doi = {10.23919/DATE.2018.8342210}, timestamp = {Thu, 24 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MedinaBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MiorandiSFQ18, author = {Gabriele Miorandi and Francesco Stefanni and Federico Fraccaroli and Davide Quaglia}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A SystemC-based Simulator for design space exploration of smart wireless systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {666--671}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342093}, doi = {10.23919/DATE.2018.8342093}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MiorandiSFQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MispanSZH18, author = {Mohd Syafiq Mispan and Haibo Su and Mark Zwolinski and Basel Halak}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Cost-efficient design for modeling attacks resistant PUFs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {467--472}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342054}, doi = {10.23919/DATE.2018.8342054}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MispanSZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MondalC18, author = {Sudipta Mondal and Krishnendu Chakrabarty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Pre-assembly testing of interconnects in embedded multi-die interconnect bridge {(EMIB)} dies}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {373--378}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342038}, doi = {10.23919/DATE.2018.8342038}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MondalC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MoradiICS18, author = {Yasamin Moradi and Mohamed Ibrahim and Krishnendu Chakrabarty and Ulf Schlichtmann}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Fault-tolerant valve-based microfluidic routing fabric for droplet barcoding in single-cell analysis}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1484--1487}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342247}, doi = {10.23919/DATE.2018.8342247}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MoradiICS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MotamanKG18, author = {Seyedhamidreza Motaman and Mohammad Nasim Imtiaz Khan and Swaroop Ghosh}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Novel application of spintronics in computing, sensing, storage and cybersecurity}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {125--130}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341991}, doi = {10.23919/DATE.2018.8341991}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MotamanKG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Mueller-Gritschneder18, author = {Daniel Mueller{-}Gritschneder and Martin Dittrich and Josef Weinzierl and Eric Cheng and Subhasish Mitra and Ulf Schlichtmann}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{ETISS-ML:} {A} multi-level instruction set simulator with RTL-level fault injection support for the evaluation of cross-layer resiliency techniques}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {609--612}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342081}, doi = {10.23919/DATE.2018.8342081}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Mueller-Gritschneder18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MukhopadhyayWAG18, author = {Saibal Mukhopadhyay and Marilyn Wolf and Mohammed Faisal Amir and Evan Gebhardt and Jong Hwan Ko and Jaeha Kung and Burhan Ahmad Musassar}, editor = {Jan Madsen and Ayse K. Coskun}, title = {The {CAMEL} approach to stacked sensor smart cameras}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1299--1303}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342214}, doi = {10.23919/DATE.2018.8342214}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MukhopadhyayWAG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MunchBT18, author = {Christopher M{\"{u}}nch and Rajendra Bishnoi and Mehdi Baradaran Tahoori}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Multi-bit non-volatile spintronic flip-flop}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1229--1234}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342203}, doi = {10.23919/DATE.2018.8342203}, timestamp = {Sun, 20 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/MunchBT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NabavinejadZAGR18, author = {Seyed Morteza Nabavinejad and Xin Zhan and Reza Azimi and Maziar Goudarzi and Sherief Reda}, editor = {Jan Madsen and Ayse K. Coskun}, title = {QoR-aware power capping for approximate big data processing}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {253--256}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342013}, doi = {10.23919/DATE.2018.8342013}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NabavinejadZAGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NairBT18, author = {Sarath Mohanachandran Nair and Rajendra Bishnoi and Mehdi Baradaran Tahoori}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Parametric failure modeling and yield analysis for {STT-MRAM}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {265--268}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342016}, doi = {10.23919/DATE.2018.8342016}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NairBT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NavehKWB18, author = {Yehuda Naveh and Elham Kashefi and James R. Wootton and Koen Bertels}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Theoretical and practical aspects of verification of quantum computers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {721--730}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342103}, doi = {10.23919/DATE.2018.8342103}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NavehKWB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeggazYNEK18, author = {Mohamed Ayoub Neggaz and Hasan Erdem Yantir and Sma{\"{\i}}l Niar and Ahmed M. Eltawil and Fadi J. Kurdahi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Rapid in-memory matrix multiplication using associative processor}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {985--990}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342152}, doi = {10.23919/DATE.2018.8342152}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NeggazYNEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeshatpourBHS18, author = {Katayoun Neshatpour and Farnaz Behnia and Houman Homayoun and Avesta Sasan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{ICNN:} An iterative implementation of convolutional neural networks to enable energy and computational complexity aware dynamic approximation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {551--556}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342068}, doi = {10.23919/DATE.2018.8342068}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NeshatpourBHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NeubauerWSH18, author = {Kai Neubauer and Philipp Wanko and Torsten Schaub and Christian Haubelt}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Exact multi-objective design space exploration using ASPmT}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {257--260}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342014}, doi = {10.23919/DATE.2018.8342014}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NeubauerWSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NguyenOGFPR18, author = {Thanh{-}Dat Nguyen and Yassine Ouhammou and Emmanuel Grolleau and Julien Forget and Claire Pagetti and Pascal Richard}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design and analysis of semaphore precedence constraints: {A} model-based approach for deterministic communications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {231--236}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342008}, doi = {10.23919/DATE.2018.8342008}, timestamp = {Tue, 12 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NguyenOGFPR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiCCZQ18, author = {Yuanhui Ni and Weiwen Chen and Wenjuan Cui and Yuanchun Zhou and Keni Qiu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Power optimization through peripheral circuit reusing integrated with loop tiling for {RRAM} crossbar-based {CNN}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1183--1186}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342193}, doi = {10.23919/DATE.2018.8342193}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NiCCZQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NiemannWD18, author = {Philipp Niemann and Robert Wille and Rolf Drechsler}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improved synthesis of Clifford+T quantum functionality}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {597--600}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342078}, doi = {10.23919/DATE.2018.8342078}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/NiemannWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/NuzzoLFS18, author = {Pierluigi Nuzzo and Michele Lora and Yishai A. Feldman and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{CHASE:} Contract-based requirement engineering for cyber-physical system design}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {839--844}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342122}, doi = {10.23919/DATE.2018.8342122}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/NuzzoLFS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PagliariPCCMP18, author = {Daniele Jahier Pagliari and Valentino Peluso and Yukai Chen and Andrea Calimera and Enrico Macii and Massimo Poncino}, editor = {Jan Madsen and Ayse K. Coskun}, title = {All-digital embedded meters for on-line power estimation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {737--742}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342105}, doi = {10.23919/DATE.2018.8342105}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PagliariPCCMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PahlevanQZBRBA18, author = {Ali Pahlevan and Yasir Mahmood Qureshi and Marina Zapater and Andrea Bartolini and Davide Rossi and Luca Benini and David Atienza}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Energy proportionality in near-threshold computing servers and cloud data centers: Consolidating or Not?}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {147--152}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341994}, doi = {10.23919/DATE.2018.8341994}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PahlevanQZBRBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PanLYLYLW18, author = {Zhijian Pan and Miao Li and Jian Yao and Hong Lu and Zuochang Ye and Yanfeng Li and Yan Wang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Low-cost high-accuracy variation characterization for nanoscale {IC} technologies via novel learning-based techniques}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {797--802}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342115}, doi = {10.23919/DATE.2018.8342115}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PanLYLYLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PandaZGJ18, author = {Reena Panda and Xinnian Zheng and Andreas Gerstlauer and Lizy Kurian John}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{CAMP:} Accurate modeling of core and memory locality for proxy generation of big-data applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {337--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342032}, doi = {10.23919/DATE.2018.8342032}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PandaZGJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PangZLLCLJ18, author = {Pu Pang and Yixun Zhang and Tianjian Li and Sung Kyu Lim and Quan Chen and Xiaoyao Liang and Li Jiang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {In-growth test for monolithic 3D integrated {SRAM}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {569--572}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342071}, doi = {10.23919/DATE.2018.8342071}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PangZLLCLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkC18, author = {Sangyoung Park and Samarjit Chakraborty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design optimization of photovoltaic arrays on curved surfaces}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {749--754}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342107}, doi = {10.23919/DATE.2018.8342107}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParkC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkK18, author = {Heechun Park and Taewhan Kim}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Structure optimizations of neuromorphic computing architectures for deep neural network}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {183--188}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342000}, doi = {10.23919/DATE.2018.8342000}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParkK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkKYK18, author = {Junki Park and Jaeha Kung and Wooseok Yi and Jae{-}Joon Kim}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Maximizing system performance by balancing computation loads in {LSTM} accelerators}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {7--12}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341971}, doi = {10.23919/DATE.2018.8341971}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ParkKYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ParkLC18, author = {Jihoon Park and Seokjun Lee and Hojung Cha}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Accurate prediction of smartphones' skin temperature by considering exothermic components}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1500--1503}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342251}, doi = {10.23919/DATE.2018.8342251}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ParkLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PathaniaH18, author = {Anuj Pathania and J{\"{o}}rg Henkel}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Task scheduling for many-cores with {S-NUCA} caches}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {557--562}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342069}, doi = {10.23919/DATE.2018.8342069}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PathaniaH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PatnaikRKSR18, author = {Satwik Patnaik and Nikhil Rangarajan and Johann Knechtel and Ozgur Sinanoglu and Shaloo Rakheja}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Advancing hardware security using polymorphic and stochastic spin-hall effect devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {97--102}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341986}, doi = {10.23919/DATE.2018.8341986}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PatnaikRKSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Pessoa0MPH18, author = {Tiago Pessoa and Nuno Louren{\c{c}}o and Ricardo Martins and Ricardo Povoa and Nuno Horta}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Enhanced analog and {RF} {IC} sizing methodology using {PCA} and {NSGA-II} optimization kernel}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {660--665}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342092}, doi = {10.23919/DATE.2018.8342092}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Pessoa0MPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PourshiraziBZM18, author = {Bahareh Pourshirazi and Majed Valad Beigi and Zhichun Zhu and Gokhan Memik}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{WALL:} {A} writeback-aware {LLC} management for PCM-based main memory systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {449--454}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342051}, doi = {10.23919/DATE.2018.8342051}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PourshiraziBZM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PrabakaranRHUM018, author = {Bharath Srinivas Prabakaran and Semeen Rehman and Muhammad Abdullah Hanif and Salim Ullah and Ghazal Mazaheri and Akash Kumar and Muhammad Shafique}, editor = {Jan Madsen and Ayse K. Coskun}, title = {DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {917--920}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342140}, doi = {10.23919/DATE.2018.8342140}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PrabakaranRHUM018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PreusserGFB18, author = {Thomas B. Preu{\ss}er and Giulio Gambardella and Nicholas J. Fraser and Michaela Blott}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Inference of quantized neural networks on heterogeneous all-programmable devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {833--838}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342121}, doi = {10.23919/DATE.2018.8342121}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PreusserGFB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PrevilonKKR18, author = {Fritz G. Previlon and Charu Kalra and David R. Kaeli and Paolo Rech}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Evaluating the impact of execution parameters on program vulnerability in {GPU} applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {809--814}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342117}, doi = {10.23919/DATE.2018.8342117}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/PrevilonKKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ProbstlPNSC18, author = {Alma Pr{\"{o}}bstl and Sangyoung Park and Swaminathan Narayanaswamy and Sebastian Steinhorst and Samarjit Chakraborty}, editor = {Jan Madsen and Ayse K. Coskun}, title = {SOH-aware active cell balancing strategy for high power battery packs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {431--436}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342048}, doi = {10.23919/DATE.2018.8342048}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ProbstlPNSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PunyalaMKA18, author = {Srinivasa Reddy Punyala and Theodoros Marinakis and Arash Komaee and Iraklis Anagnostopoulos}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Throughput optimization and resource allocation on GPUs under multi-application execution}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {73--78}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341982}, doi = {10.23919/DATE.2018.8341982}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PunyalaMKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QianLM18, author = {Jianmin Qian and Jian Li and Ruhui Ma}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Topology-aware virtual resource management for heterogeneous multicore systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {177--182}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341999}, doi = {10.23919/DATE.2018.8341999}, timestamp = {Fri, 13 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/QianLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/QiuCXX0S18, author = {Keni Qiu and Weiwen Chen and Yuanchao Xu and Lixue Xia and Yu Wang and Zili Shao}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A peripheral circuit reuse structure integrated with a retimed data flow for low power {RRAM} crossbar-based {CNN}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1057--1062}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342168}, doi = {10.23919/DATE.2018.8342168}, timestamp = {Wed, 05 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/QiuCXX0S18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiR018, author = {Shubham Rai and Michael Raitza and Akash Kumar}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Technology mapping flow for emerging reconfigurable silicon nanowire transistors}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {767--772}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342110}, doi = {10.23919/DATE.2018.8342110}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RaiR018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RaiRWRHBTMW018, author = {Shubham Rai and Ansh Rupani and Dennis Walter and Michael Raitza and Andre Heinzig and Tim Baldauf and Jens Trommer and Christian Mayr and Walter M. Weber and Akash Kumar}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A physical synthesis flow for early technology evaluation of silicon nanowire based reconfigurable FETs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {605--608}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342080}, doi = {10.23919/DATE.2018.8342080}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RaiRWRHBTMW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RathoreCSSRLS18, author = {Vijeta Rathore and Vivek Chaturvedi and Amit Kumar Singh and Thambipillai Srikanthan and R. Rohith and Siew{-}Kei Lam and Muhammad Shafique}, editor = {Jan Madsen and Ayse K. Coskun}, title = {HiMap: {A} hierarchical mapping approach for enhancing lifetime reliability of dark silicon manycore systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {991--996}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342153}, doi = {10.23919/DATE.2018.8342153}, timestamp = {Mon, 14 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RathoreCSSRLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReddyMAS18, author = {Basireddy Karunakar Reddy and Geoff V. Merrett and Bashir M. Al{-}Hashimi and Amit Kumar Singh}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Online concurrent workload classification for multi-core energy management}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {621--624}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342084}, doi = {10.23919/DATE.2018.8342084}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ReddyMAS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RederMBBSB18, author = {Simon Reder and Leonard Masing and Harald Bucher and Timon D. ter Braak and Timo Stripf and J{\"{u}}rgen Becker}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A WCET-aware parallel programming model for predictability enhanced multi-core architectures}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {943--948}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342145}, doi = {10.23919/DATE.2018.8342145}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RederMBBSB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ReinbrechtFZS18, author = {Cezar Reinbrecht and Bruno Forlin and Andreas Zankl and Johanna Sep{\'{u}}lveda}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Earthquake - {A} NoC-based optimized differential cache-collision attack for MPSoCs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {648--653}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342090}, doi = {10.23919/DATE.2018.8342090}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ReinbrechtFZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RenBSLWT18, author = {Jiankang Ren and Ran Bi and Xiaoyan Su and Qian Liu and Guowei Wu and Guozhen Tan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Workload-aware harmonic partitioned scheduling for probabilistic real-time systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {213--218}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342005}, doi = {10.23919/DATE.2018.8342005}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RenBSLWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RestaGBALCRMG18, author = {Giovanni V. Resta and Jorge Romero Gonzalez and Yashwanth Balaji and Tarun Agarwal and Dennis Lin and Francky Catthoor and Iuliana P. Radu and Giovanni De Micheli and Pierre{-}Emmanuel Gaillardon}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Towards high-performance polarity-controllable FETs with 2D materials}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {637--641}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342088}, doi = {10.23919/DATE.2018.8342088}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RestaGBALCRMG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RezaeiSKGZ18, author = {Amin Rezaei and Yuanqi Shen and Shuyu Kong and Jie Gu and Hai Zhou}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Cyclic locking and memristor-based obfuscation against CycSAT and inside foundry attacks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {85--90}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341984}, doi = {10.23919/DATE.2018.8341984}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RezaeiSKGZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RitircBK18, author = {Daniela Ritirc and Armin Biere and Manuel Kauers}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Improving and extending the algebraic approach for verifying gate-level multipliers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1556--1561}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342263}, doi = {10.23919/DATE.2018.8342263}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RitircBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RokickiRD18, author = {Simon Rokicki and Erven Rohou and Steven Derrien}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Supporting runtime reconfigurable VLIWs cores through dynamic binary translation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1009--1014}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342160}, doi = {10.23919/DATE.2018.8342160}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RokickiRD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RoyuelaPQ18, author = {Sara Royuela and Lu{\'{\i}}s Miguel Pinho and Eduardo Qui{\~{n}}ones}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Converging safety and high-performance domains: Integrating OpenMP into Ada}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1021--1026}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342162}, doi = {10.23919/DATE.2018.8342162}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/RoyuelaPQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SadighiDKMMNRWD18, author = {Armin Sadighi and Bryan Donyanavard and Thawra Kadeed and Kasra Moazzemi and Tiago M{\"{u}}ck and Ahmed Nassar and Amir M. Rahmani and Thomas Wild and Nikil D. Dutt and Rolf Ernst and Andreas Herkersdorf and Fadi J. Kurdahi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design methodologies for enabling self-awareness in autonomous systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1532--1537}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342259}, doi = {10.23919/DATE.2018.8342259}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SadighiDKMMNRWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SamiePBH18, author = {Farzad Samie and Sebastian Paul and Lars Bauer and J{\"{o}}rg Henkel}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Highly efficient and accurate seizure prediction on constrained IoT devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {955--960}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342147}, doi = {10.23919/DATE.2018.8342147}, timestamp = {Wed, 03 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SamiePBH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SantoroCPCA18, author = {Giulia Santoro and Mario R. Casu and Valentino Peluso and Andrea Calimera and Massimo Alioto}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Energy-performance design exploration of a low-power microprogrammed deep-learning accelerator}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1151--1154}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342185}, doi = {10.23919/DATE.2018.8342185}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SantoroCPCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SantosOLACB18, author = {Paulo C. Santos and Geraldo F. Oliveira and Jo{\~{a}}o Paulo C. de Lima and Marco A. Z. Alves and Luigi Carro and Antonio C. S. Beck}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Processing in 3D memories to speed up operations on complex data structures}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {897--900}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342135}, doi = {10.23919/DATE.2018.8342135}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SantosOLACB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SarkarG18, author = {Souradip Sarkar and Manil Dev Gomony}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Quater-imaginary base for complex number arithmetic circuits}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1481--1483}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342246}, doi = {10.23919/DATE.2018.8342246}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SarkarG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SayedBOT18, author = {Nour Sayed and Rajendra Bishnoi and Fabian Oboril and Mehdi Baradaran Tahoori}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A cross-layer adaptive approach for performance and power optimization in {STT-MRAM}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {791--796}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342114}, doi = {10.23919/DATE.2018.8342114}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SayedBOT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ScarabottoloAP18, author = {Ilaria Scarabottolo and Giovanni Ansaloni and Laura Pozzi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Circuit carving: {A} methodology for the design of approximate hardware}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {545--550}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342067}, doi = {10.23919/DATE.2018.8342067}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ScarabottoloAP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Schat18, author = {Jan Schat}, editor = {Jan Madsen and Ayse K. Coskun}, title = {On-line {RF} built-in self-test using noise injection and transmitter signal modulation by phase shifter}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1003--1004}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342157}, doi = {10.23919/DATE.2018.8342157}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Schat18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchellenbergG0T18, author = {Falk Schellenberg and Dennis R. E. Gnad and Amir Moradi and Mehdi Baradaran Tahoori}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An inside job: Remote power analysis attacks on FPGAs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1111--1116}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342177}, doi = {10.23919/DATE.2018.8342177}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchellenbergG0T18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchillingUMGMB18, author = {Robert Schilling and Thomas Unterluggauer and Stefan Mangard and Frank K. G{\"{u}}rkaynak and Michael Muehlberghuber and Luca Benini}, editor = {Jan Madsen and Ayse K. Coskun}, title = {High speed {ASIC} implementations of leakage-resilient cryptography}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1259--1264}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342208}, doi = {10.23919/DATE.2018.8342208}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SchillingUMGMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchillingWM18, author = {Robert Schilling and Mario Werner and Stefan Mangard}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Securing conditional branches in the presence of fault attacks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1586--1591}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342268}, doi = {10.23919/DATE.2018.8342268}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchillingWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchmidtCD18, author = {Tim Schmidt and Zhongqi Cheng and Rainer D{\"{o}}mer}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Port call path sensitive conflict analysis for instance-aware parallel SystemC simulation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {349--354}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342034}, doi = {10.23919/DATE.2018.8342034}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchmidtCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Schoeberl18, author = {Martin Schoeberl}, editor = {Jan Madsen and Ayse K. Coskun}, title = {One-way shared memory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {269--272}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342017}, doi = {10.23919/DATE.2018.8342017}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Schoeberl18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Schoeberl18a, author = {Martin Schoeberl}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Design of a time-predictable multicore processor: The {T-CREST} project}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {909--912}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342138}, doi = {10.23919/DATE.2018.8342138}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/Schoeberl18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SchornGA18, author = {Christoph Schorn and Andre Guntoro and Gerd Ascheid}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Accurate neuron resilience prediction for a flexible reliability management in neural network accelerators}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {979--984}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342151}, doi = {10.23919/DATE.2018.8342151}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SchornGA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SeufertS18, author = {Tobias Seufert and Christoph Scholl}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Combining {PDR} and reverse {PDR} for hardware model checking}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {49--54}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341978}, doi = {10.23919/DATE.2018.8341978}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SeufertS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShahsavaniSP18, author = {Soheil Nazar Shahsavani and Alireza Shafaei and Massoud Pedram}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A placement algorithm for superconducting logic circuits based on cell grouping and super-cell placement}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1465--1468}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342242}, doi = {10.23919/DATE.2018.8342242}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShahsavaniSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShahsavaniZP18, author = {Soheil Nazar Shahsavani and Bo Zhang and Massoud Pedram}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Accurate margin calculation for single flux quantum logic cells}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {509--514}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342061}, doi = {10.23919/DATE.2018.8342061}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShahsavaniZP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShaoHLBBC18, author = {Leilai Shao and Tsung{-}Ching Huang and Ting Lei and Zhenan Bao and Raymond G. Beausoleil and Kwang{-}Ting Cheng}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Compact modeling of carbon nanotube thin film transistors for flexible circuit design}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {491--496}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342058}, doi = {10.23919/DATE.2018.8342058}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShaoHLBBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShenRZ18, author = {Yuanqi Shen and Amin Rezaei and Hai Zhou}, editor = {Jan Madsen and Ayse K. Coskun}, title = {SAT-based bit-flipping attack on logic encryptions}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {629--632}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342086}, doi = {10.23919/DATE.2018.8342086}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShenRZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShiWWXL18, author = {Xin Shi and Fei Wu and Shunzhuo Wang and Changsheng Xie and Zhonghai Lu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Program error rate-based wear leveling for {NAND} flash memory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1241--1246}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342205}, doi = {10.23919/DATE.2018.8342205}, timestamp = {Wed, 25 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ShiWWXL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SinghKMRDM18, author = {Arvind Singh and Monodeep Kar and Sanu Mathew and Anand Rajan and Vivek De and Saibal Mukhopadhyay}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Exploiting on-chip power management for side-channel security}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {401--406}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342043}, doi = {10.23919/DATE.2018.8342043}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SinghKMRDM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SivadasanSHCA18, author = {Ajith Sivadasan and Riddhi Jitendrakumar Shah and Vincent Huard and Florian Cacho and Lorena Anghel}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{NBTI} aged cell rejuvenation with back biasing and resulting critical path reordering for digital circuits in 28nm {FDSOI}}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {997--998}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342154}, doi = {10.23919/DATE.2018.8342154}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SivadasanSHCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SketopoulosSS18, author = {Nikolaos Sketopoulos and Christos P. Sotiriou and Stavros Simoglou}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Abax: 2D/3D legaliser supporting look-ahead legalisation and blockage strategies}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1469--1472}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342243}, doi = {10.23919/DATE.2018.8342243}, timestamp = {Thu, 16 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SketopoulosSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SoDKSL18, author = {Hwisoo So and Moslem Didehban and Yohan Ko and Aviral Shrivastava and Kyoungwoo Lee}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{EXPERT:} Effective and flexible error protection by redundant multithreading}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {533--538}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342065}, doi = {10.23919/DATE.2018.8342065}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SoDKSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SoekenHR18, author = {Mathias Soeken and Thomas H{\"{a}}ner and Martin Roetteler}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Programming quantum computers using design automation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {137--146}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341993}, doi = {10.23919/DATE.2018.8341993}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SoekenHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SoekenHTMABM18, author = {Mathias Soeken and Winston Haaswijk and Eleonora Testa and Alan Mishchenko and Luca Gaetano Amar{\`{u}} and Robert K. Brayton and Giovanni De Micheli}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Practical exact synthesis}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {309--314}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342027}, doi = {10.23919/DATE.2018.8342027}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SoekenHTMABM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SokolovGM18, author = {Danil Sokolov and Alessandro de Gennaro and Andrey Mokhov}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Reconfigurable asynchronous pipelines: From formal models to silicon}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1562--1567}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342264}, doi = {10.23919/DATE.2018.8342264}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SokolovGM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SongAL18, author = {Yang Song and Olivier Alavoine and Bill Lin}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Row-buffer hit harvesting in orchestrated last-level cache and {DRAM} scheduling for heterogeneous multicore systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {779--784}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342112}, doi = {10.23919/DATE.2018.8342112}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SongAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SpeicherMAWH18, author = {Fabian Speicher and Jonas Meier and Soheil Aghaie and Ralf Wunderlich and Stefan Heinen}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{AMS} verification methodology regarding supply modulation in {RF} SoCs induced by digital standard cells}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {633--636}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342087}, doi = {10.23919/DATE.2018.8342087}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SpeicherMAWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StamoulisCJM18, author = {Dimitrios Stamoulis and Ermao Cai and Da{-}Cheng Juan and Diana Marculescu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {HyperPower: Power- and memory-constrained hyper-parameter optimization for neural networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {19--24}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341973}, doi = {10.23919/DATE.2018.8341973}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StamoulisCJM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StanglLD18, author = {Jakob Stangl and Thomas Lor{\"{u}}nser and Sai Manoj Pudukotai Dinakarrao}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A fast and resource efficient {FPGA} implementation of secret sharing for storage applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {654--659}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342091}, doi = {10.23919/DATE.2018.8342091}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StanglLD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/StevensDKR18, author = {Jacob R. Stevens and Yue Du and Vivek Kozhikkott and Anand Raghunathan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{ACCLIB:} Accelerators as libraries}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {245--248}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342011}, doi = {10.23919/DATE.2018.8342011}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/StevensDKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunYPLSY18, author = {Xiaoyu Sun and Shihui Yin and Xiaochen Peng and Rui Liu and Jae{-}sun Seo and Shimeng Yu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{XNOR-RRAM:} {A} scalable and parallel resistive synaptic architecture for binary neural networks}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1423--1428}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342235}, doi = {10.23919/DATE.2018.8342235}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SunYPLSY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SwamiM18, author = {Shivam Swami and Kartik Mohanram}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{ADAM:} Architecture for write disturbance mitigation in scaled phase change memory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1235--1240}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342204}, doi = {10.23919/DATE.2018.8342204}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SwamiM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TagliaviniMRMB18, author = {Giuseppe Tagliavini and Stefan Mach and Davide Rossi and Andrea Marongiu and Luca Benini}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A transprecision floating-point platform for ultra-low power computing}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1051--1056}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342167}, doi = {10.23919/DATE.2018.8342167}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TagliaviniMRMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TahooriNBSMMTBG18, author = {Mehdi Baradaran Tahoori and Sarath Mohanachandran Nair and Rajendra Bishnoi and Sophiane Senni and Jad Mohdad and Fr{\'{e}}d{\'{e}}rick Mailly and Lionel Torres and Pascal Benoit and Abdoulaye Gamati{\'{e}} and Pascal Nouet and Frederic Ouattara and Gilles Sassatelli and Kotb Jabeur and Pierre Vanhauwaert and A. Atitoaie and I. Firastrau and Gregory di Pendina and Guillaume Prenat}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Using multifunctional standardized stack as universal spintronic technology for IoT}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {931--936}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342143}, doi = {10.23919/DATE.2018.8342143}, timestamp = {Tue, 26 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TahooriNBSMMTBG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TalatiAHWRGK18, author = {Nishil Talati and Ameer Haj Ali and Rotem Ben Hur and Nimrod Wald and Ronny Ronen and Pierre{-}Emmanuel Gaillardon and Shahar Kvatinsky}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Practical challenges in delivering the promises of real processing-in-memory machines}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1628--1633}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342275}, doi = {10.23919/DATE.2018.8342275}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TalatiAHWRGK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TanY18, author = {Jingweijia Tan and Kaige Yan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{HVSM:} Hardware-variability aware streaming processors' management policy in GPUs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {67--72}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341981}, doi = {10.23919/DATE.2018.8341981}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TanY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TeimooriHE018, author = {Mohammad Taghi Teimoori and Muhammad Abdullah Hanif and Alireza Ejlali and Muhammad Shafique}, editor = {Jan Madsen and Ayse K. Coskun}, title = {AdAM: Adaptive approximation management for the non-volatile memory hierarchies}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {785--790}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342113}, doi = {10.23919/DATE.2018.8342113}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TeimooriHE018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TianZWX18, author = {Ye Tian and Qian Zhang and Ting Wang and Qiang Xu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Lookup table allocation for approximate computing with memory under quality constraints}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {153--158}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341995}, doi = {10.23919/DATE.2018.8341995}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TianZWX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TomeSCAA18, author = {Diego G. Tom{\'{e}} and Paulo C. Santos and Luigi Carro and Eduardo C. de Almeida and Marco A. Z. Alves}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{HIPE:} {HMC} instruction predication extension applied on database processing}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {261--264}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342015}, doi = {10.23919/DATE.2018.8342015}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/TomeSCAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TonettoNB18, author = {Rafael Billig Tonetto and Gabriel L. Nazar and Antonio Carlos Schneider Beck}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Precise evaluation of the fault sensitivity of OoO superscalar processors}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {613--616}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342082}, doi = {10.23919/DATE.2018.8342082}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TonettoNB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TraubVSSH18, author = {Matthias Traub and Hans{-}J{\"{o}}rg V{\"{o}}gel and Eric Sax and Thilo Streichert and J{\'{e}}r{\^{o}}me H{\"{a}}rri}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Digitalization in automotive and industrial systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1203--1204}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342198}, doi = {10.23919/DATE.2018.8342198}, timestamp = {Thu, 06 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TraubVSSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TrevisiBFCR18, author = {Marco Trevisi and H. C. Bandala and Jorge Fern{\'{a}}ndez{-}Berni and Ricardo Carmona{-}Gal{\'{a}}n and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Concurrent focal-plane generation of compressed samples from time-encoded pixel values}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1316--1320}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342217}, doi = {10.23919/DATE.2018.8342217}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TrevisiBFCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TunaliA18, author = {Onur Tunali and Mustafa Altun}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Logic synthesis and defect tolerance for memristive crossbar arrays}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {425--430}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342047}, doi = {10.23919/DATE.2018.8342047}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TunaliA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UhligLLRDNDOKGA18, author = {Benjamin Uhlig and Jie Liang and Jaehyun Lee and Raphael Ramos and Abitha Dhavamani and Nicole Nagy and Jean Dijon and Hanako Okuno and Dipankar Kalita and Vihar P. Georgiev and Asen Asenov and Salvatore M. Amoroso and Liping Wang and Campbell Millar and F. Konemann and Bernd Gotsmann and Goncalo Goncalves and Bingan Chen and Reeturaj Pandey and R. Chen and Aida Todri{-}Sanial}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Progress on carbon nanotube {BEOL} interconnects}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {937--942}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342144}, doi = {10.23919/DATE.2018.8342144}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/UhligLLRDNDOKGA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UngureanuMS18, author = {George Ungureanu and Jos{\'{e}} Edil G. de Medeiros and Ingo Sander}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Bridging discrete and continuous time models with atoms}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {277--280}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342019}, doi = {10.23919/DATE.2018.8342019}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/UngureanuMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VasilakisPTS18, author = {Evangelos Vasilakis and Vassilis Papaefstathiou and Pedro Trancoso and Ioannis Sourdis}, editor = {Jan Madsen and Ayse K. Coskun}, title = {FusionCache: Using {LLC} tags for {DRAM} cache}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {593--596}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342077}, doi = {10.23919/DATE.2018.8342077}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VasilakisPTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VedA18, author = {Sneha N. Ved and Manu Awasthi}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Exploring non-volatile main memory architectures for handheld devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1528--1531}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342258}, doi = {10.23919/DATE.2018.8342258}, timestamp = {Tue, 19 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VedA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VegaBB18, author = {Augusto Vega and Alper Buyuktosunoglu and Pradip Bose}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Energy-secure swarm power management}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1652--1657}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342279}, doi = {10.23919/DATE.2018.8342279}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VegaBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VeiraPV18, author = {Neil Veira and Zissis Poulos and Andreas G. Veneris}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Suspect set prediction in {RTL} bug hunting}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1544--1549}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342261}, doi = {10.23919/DATE.2018.8342261}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VeiraPV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/Velasquez018, author = {Alvaro Velasquez and Sumit Kumar Jha}, editor = {Jan Madsen and Ayse K. Coskun}, title = {In-memory computing using paths-based logic and heterogeneous components}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1512--1515}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342254}, doi = {10.23919/DATE.2018.8342254}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/Velasquez018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VigJL18, author = {Saru Vig and Guiyuan Jiang and Siew{-}Kei Lam}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Dynamic skewed tree for fast memory integrity verification}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {642--647}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342089}, doi = {10.23919/DATE.2018.8342089}, timestamp = {Fri, 04 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/VigJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/VincoBPAMP18, author = {Sara Vinco and Lorenzo Bottaccioli and Edoardo Patti and Andrea Acquaviva and Enrico Macii and Massimo Poncino}, editor = {Jan Madsen and Ayse K. Coskun}, title = {GIS-based optimal photovoltaic panel floorplanning for residential installations}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {437--442}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342049}, doi = {10.23919/DATE.2018.8342049}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/VincoBPAMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WalterWGTD18, author = {Marcel Walter and Robert Wille and Daniel Gro{\ss}e and Frank Sill Torres and Rolf Drechsler}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An exact method for design exploration of quantum-dot cellular automata}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {503--508}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342060}, doi = {10.23919/DATE.2018.8342060}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WalterWGTD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangKKK18, author = {Longfei Wang and S. Karen Khatamifard and Ulya R. Karpuzcu and Sel{\c{c}}uk K{\"{o}}se}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Mitigation of {NBTI} induced performance degradation in on-chip digital LDOs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {803--808}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342116}, doi = {10.23919/DATE.2018.8342116}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangO18, author = {Ye Wang and Michael Orshansky}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Efficient helper data reduction in {SRAM} PUFs via lossy compression}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1453--1458}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342240}, doi = {10.23919/DATE.2018.8342240}, timestamp = {Wed, 29 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangQLHLL18, author = {Ying Wang and Zhenyu Quan and Jiajun Li and Yinhe Han and Huawei Li and Xiaowei Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A retrospective evaluation of energy-efficient object detection solutions on embedded devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {709--714}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342101}, doi = {10.23919/DATE.2018.8342101}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangQLHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangSHTYZ18, author = {Tao Wang and Zhangchun Shi and Junlin Huang and Huaxing Tang and Wu Yang and Junna Zhong}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A case study for using dynamic partitioning based solution in volume diagnosis}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1001--1002}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342156}, doi = {10.23919/DATE.2018.8342156}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangSHTYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangSWHFBC18, author = {Yuyang Wang and M. Ashkan Seyedi and Rui Wu and Jared Hulme and Marco Fiorentino and Raymond G. Beausoleil and Kwang{-}Ting Cheng}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Energy-efficient channel alignment of {DWDM} silicon photonic transceivers}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {601--604}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342079}, doi = {10.23919/DATE.2018.8342079}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WangSWHFBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeiSL18, author = {Ying{-}Chi Wei and Radhamanjari Samanta and Yih{-}Lang Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{LESAR:} {A} dynamic line-end spacing aware detailed router}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1473--1476}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342244}, doi = {10.23919/DATE.2018.8342244}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeiSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WeissenfeldSD18, author = {Axel Weissenfeld and Bernhard Strobl and Franz Daubner}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Contactless finger and face capturing on a secure handheld embedded device}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1321--1326}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342218}, doi = {10.23919/DATE.2018.8342218}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WeissenfeldSD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WooZH18, author = {Lai Leng Woo and Mark Zwolinski and Basel Halak}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Early detection of system-level anomalous behaviour using hardware performance counters}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {485--490}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342057}, doi = {10.23919/DATE.2018.8342057}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WooZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuBKB18, author = {Jiahao Wu and Timothy Blattner and Walid Keyrouz and Shuvra S. Bhattacharyya}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A design tool for high performance image processing on multicore platforms}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1304--1309}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342215}, doi = {10.23919/DATE.2018.8342215}, timestamp = {Fri, 17 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WuBKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuCLT18, author = {Hsin{-}I Wu and Chi{-}Kang Chen and Tsung{-}Ying Lu and Ren{-}Song Tsay}, editor = {Jan Madsen and Ayse K. Coskun}, title = {A highly efficient full-system virtual prototype based on virtualization-assisted approach}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {285--288}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342021}, doi = {10.23919/DATE.2018.8342021}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WuCLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuLC18, author = {Sung{-}Ming Wu and Kai{-}Hsiang Lin and Li{-}Pin Chang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{KVSSD:} Close integration of {LSM} trees and flash translation layer for write-efficient {KV} store}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {563--568}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342070}, doi = {10.23919/DATE.2018.8342070}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WuLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuMC18, author = {Tai{-}Chou Wu and Yu{-}ping Ma and Li{-}Pin Chang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Flash read disturb management using adaptive cell bit-density with in-place reprogramming}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {325--330}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342030}, doi = {10.23919/DATE.2018.8342030}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WuMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WuTL18, author = {Kai{-}Chiang Wu and Tien{-}Hung Tseng and Shou{-}Chun Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{MAUI:} Making aging useful, intentionally}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {527--532}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342064}, doi = {10.23919/DATE.2018.8342064}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WuTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XiaoNB18, author = {Yao Xiao and Shahin Nazarian and Paul Bogdan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Prometheus: Processing-in-memory heterogeneous architecture design from a multi-layer network theoretic strategy}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1387--1392}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342229}, doi = {10.23919/DATE.2018.8342229}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XiaoNB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XieLGHW018, author = {Mimi Xie and Shuangchen Li and Alvin Oliver Glova and Jingtong Hu and Yuangang Wang and Yuan Xie}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{AIM:} Fast and energy-efficient {AES} in-memory implementation for emerging non-volatile main memory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {625--628}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342085}, doi = {10.23919/DATE.2018.8342085}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XieLGHW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuFHTLL18, author = {Jie Xu and Dan Feng and Yu Hua and Wei Tong and Jingning Liu and Chunyan Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Extending the lifetime of NVMs with compression}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1604--1609}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342271}, doi = {10.23919/DATE.2018.8342271}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuFHTLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuFHTLLL18, author = {Jie Xu and Dan Feng and Yu Hua and Wei Tong and Jingning Liu and Chunyan Li and Zheng Li}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An efficient PCM-based main memory system via exploiting fine-grained dirtiness of cachelines}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1616--1621}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342273}, doi = {10.23919/DATE.2018.8342273}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/XuFHTLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XuJTGXT18, author = {Rui Xu and Xi Jin and Linfeng Tao and Shuaizhi Guo and Zikun Xiang and Teng Tian}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An efficient resource-optimized learning prefetcher for solid state drives}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {273--276}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342018}, doi = {10.23919/DATE.2018.8342018}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/XuJTGXT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YanCZSLC18, author = {Bonan Yan and Fan Chen and Yaojun Zhang and Chang Song and Hai Li and Yiran Chen}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Exploring the opportunity of implementing neuromorphic computing systems with spintronic devices}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {109--112}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341988}, doi = {10.23919/DATE.2018.8341988}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YanCZSLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangKDLKBNLBC18, author = {Hanchen Yang and Feiyang Kang and Caiwen Ding and Ji Li and Jaemin Kim and Donkyu Baek and Shahin Nazarian and Xue Lin and Paul Bogdan and Naehyuck Chang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Prediction-based fast thermoelectric generator reconfiguration for energy harvesting from vehicle radiators}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {877--880}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342130}, doi = {10.23919/DATE.2018.8342130}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YangKDLKBNLBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangPWWXCD018, author = {Peng Yang and Zhengbin Pang and Zhifei Wang and Zhehui Wang and Min Xie and Xuanqi Chen and Luan H. K. Duong and Jiang Xu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{RSON:} An inter/intra-chip silicon photonic network for rack-scale computing systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1369--1374}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342226}, doi = {10.23919/DATE.2018.8342226}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangPWWXCD018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuGHNQMYTLWY18, author = {Jincheng Yu and Kaiyuan Guo and Yiming Hu and Xuefei Ning and Jiantao Qiu and Huizi Mao and Song Yao and Tianqi Tang and Boxun Li and Yu Wang and Huazhong Yang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Real-time object detection towards high power efficiency}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {704--708}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342100}, doi = {10.23919/DATE.2018.8342100}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YuGHNQMYTLWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YuN0TH18, author = {Jintao Yu and Hoang Anh Du Nguyen and Lei Xie and Mottaqiallah Taouil and Said Hamdioui}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Memristive devices for computation-in-memory}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1646--1651}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342278}, doi = {10.23919/DATE.2018.8342278}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/YuN0TH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZamanSLSMR18, author = {Monir Zaman and Abhrajit Sengupta and Danqing Liu and Ozgur Sinanoglu and Yiorgos Makris and Jeyavijayan (JV) Rajendran}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Towards provably-secure performance locking}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1592--1597}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342269}, doi = {10.23919/DATE.2018.8342269}, timestamp = {Wed, 15 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZamanSLSMR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZandrahimiDCA18, author = {Mahroo Zandrahimi and Philippe Debaud and Armand Castillejo and Zaid Al{-}Ars}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Industrial evaluation of transition fault testing for cost effective offline adaptive voltage scaling}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {289--292}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342022}, doi = {10.23919/DATE.2018.8342022}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZandrahimiDCA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZandrahimiDCA18a, author = {Mahroo Zandrahimi and Philippe Debaud and Armand Castillejo and Zaid Al{-}Ars}, editor = {Jan Madsen and Ayse K. Coskun}, title = {An industrial case study of low cost adaptive voltage scaling using delay test patterns}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {999--1000}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342155}, doi = {10.23919/DATE.2018.8342155}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZandrahimiDCA18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangHATBMK18, author = {Lu Zhang and Wei Hu and Armaiti Ardeshiricham and Yu Tai and Jeremy Blackstone and Dejun Mu and Ryan Kastner}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Examining the consequences of high-level synthesis optimizations on power side-channel}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1167--1170}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342189}, doi = {10.23919/DATE.2018.8342189}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangHATBMK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangLYPS18, author = {Grace Li Zhang and Bing Li and Bei Yu and David Z. Pan and Ulf Schlichtmann}, editor = {Jan Madsen and Ayse K. Coskun}, title = {TimingCamouflage: Improving circuit security against counterfeiting by unconventional timing}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {91--96}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341985}, doi = {10.23919/DATE.2018.8341985}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ZhangLYPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhangTS18, author = {Jinghan Zhang and Hamed Tabkhi and Gunar Schirner}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{DS-DSE:} Domain-specific design space exploration for streaming applications}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {165--170}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341997}, doi = {10.23919/DATE.2018.8341997}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhangTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhaoLSKWM18, author = {Zhongyuan Zhao and Yantao Liu and Weiguang Sheng and Tushar Krishna and Qin Wang and Zhigang Mao}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Optimizing the data placement and transformation for multi-bank {CGRA} computing system}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1087--1092}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342173}, doi = {10.23919/DATE.2018.8342173}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhaoLSKWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouM18, author = {Liwei Zhou and Yiorgos Makris}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Hardware-assisted rootkit detection via on-line statistical fingerprinting of process execution}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1580--1585}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342267}, doi = {10.23919/DATE.2018.8342267}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhouM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouWCHMZY18, author = {Junlong Zhou and Tongquan Wei and Mingsong Chen and Xiaobo Sharon Hu and Yue Ma and Gongxuan Zhang and Jianming Yan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Variation-aware task allocation and scheduling for improving reliability of real-time MPSoCs}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {171--176}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8341998}, doi = {10.23919/DATE.2018.8341998}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhouWCHMZY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuJCT18, author = {Jingyang Zhu and Jingbo Jiang and Xizi Chen and Chi{-}Ying Tsui}, editor = {Jan Madsen and Ayse K. Coskun}, title = {SparseNN: An energy-efficient neural network accelerator exploiting input and output sparsity}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {241--244}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342010}, doi = {10.23919/DATE.2018.8342010}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuJCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZoniCF18, author = {Davide Zoni and Luca Cremona and William Fornaciari}, editor = {Jan Madsen and Ayse K. Coskun}, title = {PowerProbe: Run-time power modeling through automatic {RTL} instrumentation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {743--748}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342106}, doi = {10.23919/DATE.2018.8342106}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZoniCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZulehnerPW18, author = {Alwin Zulehner and Alexandru Paler and Robert Wille}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Efficient mapping of quantum circuits to the {IBM} {QX} architectures}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1135--1138}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342181}, doi = {10.23919/DATE.2018.8342181}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZulehnerPW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZulehnerW18, author = {Alwin Zulehner and Robert Wille}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Pushing the number of qubits below the "minimum": Realizing compact boolean components for quantum logic}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1179--1182}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342192}, doi = {10.23919/DATE.2018.8342192}, timestamp = {Tue, 24 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZulehnerW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/date/2018, editor = {Jan Madsen and Ayse K. Coskun}, title = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8337149/proceeding}, isbn = {978-3-9819263-0-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.