Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/glvlsi/glvlsi2008.bht:"
@inproceedings{DBLP:conf/glvlsi/AbrishamiHAP08, author = {Hamed Abrishami and Safar Hatami and Behnam Amelifard and Massoud Pedram}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {NBTI-aware flip-flop characterization and design}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {29--34}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366121}, doi = {10.1145/1366110.1366121}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AbrishamiHAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AcquavivaFPQ08, author = {Andrea Acquaviva and Franco Fummi and Giovanni Perbellini and Davide Quaglia}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {An energy-aware co-simulation framework for the design of wireless sensor networks}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {375--378}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366199}, doi = {10.1145/1366110.1366199}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/AcquavivaFPQ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AklB08, author = {Charbel J. Akl and Magdy A. Bayoumi}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Assumers for high-speed single and multi-cycle on-chip interconnect with low repeater count}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {327--332}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366187}, doi = {10.1145/1366110.1366187}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AklB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AlamIX08, author = {Syed M. Alam and Mike Ignatowski and Yuan Xie}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Technology, {CAD} tools, and designs for emerging 3D integration technology}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {1--2}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366112}, doi = {10.1145/1366110.1366112}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AlamIX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AngotziBJ08, author = {Gian Nicola Angotzi and Massimo Barbaro and Paul G. A. Jespers}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Comparison of redundant architectures for two-step ADCs}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {445--450}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366216}, doi = {10.1145/1366110.1366216}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AngotziBJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ArunachalamB08, author = {Venkatesh Arunachalam and Wayne P. Burleson}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Low-power clock distribution in a multilayer core 3d microprocessor}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {429--434}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366212}, doi = {10.1145/1366110.1366212}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ArunachalamB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/AyupovMT08, author = {Andrey Ayupov and Alexander Marchenko and Vladimir Tiourin}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {An analytical approach to placement legalization}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {167--170}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366152}, doi = {10.1145/1366110.1366152}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/AyupovMT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BangaCFH08, author = {Mainak Banga and Maheshwar Chandrasekar and Lei Fang and Michael S. Hsiao}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Guided test generation for isolation and detection of embedded trojans in ics}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {363--366}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366196}, doi = {10.1145/1366110.1366196}, timestamp = {Mon, 03 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/BangaCFH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BasuM08, author = {Kanad Basu and Prabhat Mishra}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A novel test-data compression technique using application-aware bitmask and dictionary selection methods}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {83--88}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366132}, doi = {10.1145/1366110.1366132}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/BasuM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BekiarisPP08, author = {Dimitris Bekiaris and Kiamal Z. Pekmestzi and Christos A. Papachristou}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A high-speed radix-4 multiplexer-based array multiplier}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {115--118}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366139}, doi = {10.1145/1366110.1366139}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BekiarisPP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BhattacharyaR08, author = {Koustav Bhattacharya and Nagarajan Ranganathan}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A linear programming formulation for security-aware gate sizing}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {273--278}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366176}, doi = {10.1145/1366110.1366176}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BhattacharyaR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BubnaRSM08, author = {Mayur Bubna and Sudip Roy and Naresh Shenoy and Subhra Mazumdar}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A layout-aware physical design method for constructing feasible {QCA} circuits}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {243--248}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366170}, doi = {10.1145/1366110.1366170}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BubnaRSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/BudnikJW08, author = {Mark M. Budnik and Eric W. Johnson and Joshua D. Wood}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Electrical models for vertical carbon nanotube capacitors}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {367--370}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366197}, doi = {10.1145/1366110.1366197}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/BudnikJW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ButzenRFMRR08, author = {Paulo F. Butzen and Leomar S. da Rosa Jr. and Erasmo J. D. Chiappetta Filho and Dionatan S. Moura and Andr{\'{e}} In{\'{a}}cio Reis and Renato P. Ribas}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Simple and accurate method for fast static currentestimation in cmos complex gates with interaction ofleakage mechanisms}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {407--410}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366207}, doi = {10.1145/1366110.1366207}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ButzenRFMRR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CalimeraMPB08, author = {Andrea Calimera and Enrico Macii and Massimo Poncino and R. Iris Bahar}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Temperature-insensitive synthesis using multi-vt libraries}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {5--10}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366116}, doi = {10.1145/1366110.1366116}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CalimeraMPB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CastellanosS08, author = {Ivan D. Castellanos and James E. Stine}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Compressor trees for decimal partial product reduction}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {107--110}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366137}, doi = {10.1145/1366110.1366137}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CastellanosS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Chang08, author = {Yen{-}Jen Chang}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Exploiting frequent opcode locality for power efficient instruction cache}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {399--402}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366205}, doi = {10.1145/1366110.1366205}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Chang08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChenMK08, author = {Mingsong Chen and Prabhat Mishra and Dhrubajyoti Kalita}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Coverage-driven automatic test generation for uml activity diagrams}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {139--142}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366145}, doi = {10.1145/1366110.1366145}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/ChenMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ChuMS08, author = {Ambrose Chu and Scott Miller and Mihai Sima}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Reconfigurable solutions for very-long arithmetic with applications in cryptography}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {59--64}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366127}, doi = {10.1145/1366110.1366127}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ChuMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CuiCSTYT08, author = {Jian Cui and Gengsheng Chen and Ruijing Shen and Sheldon X.{-}D. Tan and Wenjian Yu and Jiarong Tong}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Variational capacitance modeling using orthogonal polynomial method}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {23--28}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366119}, doi = {10.1145/1366110.1366119}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/CuiCSTYT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/CuiW08, author = {Zhiqiang Cui and Zhongfeng Wang}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Extended layered decoding of {LDPC} codes}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {457--462}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366218}, doi = {10.1145/1366110.1366218}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/CuiW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DattaB08, author = {Basab Datta and Wayne P. Burleson}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Collaborative sensing of on-chip wire temperatures using interconnect based ring oscillators}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {41--46}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366123}, doi = {10.1145/1366110.1366123}, timestamp = {Mon, 06 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/DattaB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/DuraisamiMP08, author = {Karthik Duraisami and Enrico Macii and Massimo Poncino}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Energy efficiency bounds of pulse-encoded buses}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {183--188}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366156}, doi = {10.1145/1366110.1366156}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/DuraisamiMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/FerriVMBH08, author = {Cesare Ferri and Amber Viescas and Tali Moreshet and R. Iris Bahar and Maurice Herlihy}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Energy efficient synchronization techniques for embedded architectures}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {435--440}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366213}, doi = {10.1145/1366110.1366213}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/FerriVMBH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GhaiMK08, author = {Dhruva Ghai and Saraju P. Mohanty and Elias Kougianos}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A process and supply variation tolerant nano-CMOS low voltage, high speed, a/d converter for system-on-chip}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {47--52}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366124}, doi = {10.1145/1366110.1366124}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GhaiMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GhoshACS08, author = {Santosh Ghosh and Monjur Alam and Dipanwita Roy Chowdhury and Indranil Sengupta}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A GF(p) elliptic curve group operator resistant against side channel attacks}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {53--58}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366126}, doi = {10.1145/1366110.1366126}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GhoshACS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GopalaniGKC08, author = {Salman Gopalani and Rajesh Garg and Sunil P. Khatri and Mosong Cheng}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A lithography-friendly structured {ASIC} design approach}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {315--320}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366185}, doi = {10.1145/1366110.1366185}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GopalaniGKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Gordon-RossLC08, author = {Ann Gordon{-}Ross and Jeremy Lau and Brad Calder}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Phase-based cache reconfiguration for a highly-configurable two-level cache hierarchy}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {379--382}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366200}, doi = {10.1145/1366110.1366200}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Gordon-RossLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GoudarziI08, author = {Maziar Goudarzi and Tohru Ishihara}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Instruction cache leakage reduction by changing register operands and using asymmetric sram cells}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {383--386}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366201}, doi = {10.1145/1366110.1366201}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GoudarziI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GreenstreetY08, author = {Mark R. Greenstreet and Suwen Yang}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Verifying start-up conditions for a ring oscillator}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {201--206}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366160}, doi = {10.1145/1366110.1366160}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GreenstreetY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GulatiK08, author = {Kanupriya Gulati and Sunil P. Khatri}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Improving {FPGA} routability using network coding}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {147--150}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366147}, doi = {10.1145/1366110.1366147}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GulatiK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GuoCZKH08, author = {Liangpeng Guo and Yici Cai and Qiang Zhou and Le Kang and Xianlong Hong}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A novel performance driven power gating based on distributed sleep transistor network}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {255--260}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366173}, doi = {10.1145/1366110.1366173}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GuoCZKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GuoPSCTC08, author = {Jin Guo and Antonis Papanikolaou and Michele Stucchi and Kristof Croes and Zsolt Tokei and Francky Catthoor}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A tool flow for predicting system level timing failures due to interconnect reliability degradation}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {291--296}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366180}, doi = {10.1145/1366110.1366180}, timestamp = {Wed, 04 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/GuoPSCTC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/GurumurthyVAN08, author = {Sankar Gurumurthy and Ramtilak Vemu and Jacob A. Abraham and Suriyaprakash Natarajan}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {On efficient generation of instruction sequences to test for delay defects in a processor}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {279--284}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366178}, doi = {10.1145/1366110.1366178}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/GurumurthyVAN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HamamotoFHMO08, author = {Koichi Hamamoto and Hiroshi Fuketa and Masanori Hashimoto and Yukio Mitsuyama and Takao Onoye}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Experimental study on body-biasing layout style-- negligible area overhead enables sufficient speed controllability --}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {387--390}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366202}, doi = {10.1145/1366110.1366202}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/HamamotoFHMO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HatamiAP08, author = {Safar Hatami and Hamed Abrishami and Massoud Pedram}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Statistical timing analysis of flip-flops considering codependent setup and hold times}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {101--106}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366135}, doi = {10.1145/1366110.1366135}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/HatamiAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HoltzNB08, author = {Matthew Seetharam A. Holtz and Seetharam Narasimhan and Swarup Bhunia}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {On-die {CMOS} voltage droop detection and dynamiccompensation}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {35--40}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366122}, doi = {10.1145/1366110.1366122}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/HoltzNB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/JiAIG08, author = {Wen Ji and Yuta Abe and Takeshi Ikenaga and Satoshi Goto}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A cost-efficient partially-parallel irregular {LDPC} decoder based on sum-delta message passing algorithm}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {207--212}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366161}, doi = {10.1145/1366110.1366161}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/JiAIG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/JooKY08, author = {Chanyang Joo and Soojae Kim and Kwangsub Yoon}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A low-power 12-bit 80MHz {CMOS} {DAC} using pseudo-segmentation}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {219--222}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366163}, doi = {10.1145/1366110.1366163}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/JooKY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/KimM08, author = {Youngsik Kim and Nazanin Mansouri}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Automated formal verification of scheduling with speculative code motions}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {95--100}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366134}, doi = {10.1145/1366110.1366134}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/KimM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/KoolivandAS08, author = {Yarallah Koolivand and Seyed Morteza Alavi and Omid Shoaei}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {New technique in design of active rf cmos mixers for low flicker noise and high conversion gain}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {127--130}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366142}, doi = {10.1145/1366110.1366142}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/KoolivandAS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/KunduC08, author = {Santanu Kundu and Santanu Chattopadhyay}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Mesh-of-tree deterministic routing for network-on-chip architecture}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {343--346}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366191}, doi = {10.1145/1366110.1366191}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/KunduC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LeeCY08, author = {Jaeyong Lee and Sungil Cho and Kwangsub Yoon}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {12bits 40mhz pipelined {ADC} with duty-correction circuit}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {441--444}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366215}, doi = {10.1145/1366110.1366215}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LeeCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LeeLLL08, author = {Mu{-}Shun Matt Lee and Chin{-}Hsun Lin and Chien{-}Nan Jimmy Liu and Shih{-}Che Lin}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Quick supply current waveform estimation at gate level using existed cell library information}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {135--138}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366144}, doi = {10.1145/1366110.1366144}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LeeLLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiZ08, author = {Hao Li and Yue Zhuo}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Criticality history guided {FPGA} placement algorithm for timing optimization}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {267--272}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366175}, doi = {10.1145/1366110.1366175}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LiZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LinKL08, author = {Sheng Lin and Yong{-}Bin Kim and Fabrizio Lombardi}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A low leakage 9t sram cell for ultra-low power operation}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {123--126}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366141}, doi = {10.1145/1366110.1366141}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/LinKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LingZB08, author = {Andrew C. Ling and Jianwen Zhu and Stephen Dean Brown}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Delay driven {AIG} restructuring using slack budget management}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {163--166}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366151}, doi = {10.1145/1366110.1366151}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LingZB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiuP08, author = {Renfei Liu and Keshab K. Parhi}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Fast composite field S-box architectures for advanced encryption standard}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {65--70}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366128}, doi = {10.1145/1366110.1366128}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LiuP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiuQW08, author = {Shaobo Liu and Qinru Qiu and Qing Wu}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Full-chip leakage current estimation based on statistical sampling techniques}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {391--394}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366203}, doi = {10.1145/1366110.1366203}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LiuQW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LiuTWT08, author = {Pu Liu and Sheldon X.{-}D. Tan and Wei Wu and Murli Tirumala}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {{FEKIS:} a fast architecture-level thermal analyzer for online thermal regulation}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {411--416}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366209}, doi = {10.1145/1366110.1366209}, timestamp = {Fri, 05 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LiuTWT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/LuOS08, author = {Yufeng Lu and Erdal Oruklu and Jafar Saniie}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Fpga-based hardware/software co-design for chirplet signal decomposition}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {347--350}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366192}, doi = {10.1145/1366110.1366192}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/LuOS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ManemPR08, author = {Harika Manem and Peter C. Paliwoda and Garrett S. Rose}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A hybrid cmos/nano fpga architecture built fromprogrammable majority logic arrays}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {249--254}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366171}, doi = {10.1145/1366110.1366171}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ManemPR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MangalagiriSYNXIK08, author = {Prasanth Mangalagiri and Karthik Sarpatwari and Aditya Yanamandra and Vijaykrishnan Narayanan and Yuan Xie and Mary Jane Irwin and Osama Awadel Karim}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A low-power phase change memory based hybrid cache architecture}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {395--398}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366204}, doi = {10.1145/1366110.1366204}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MangalagiriSYNXIK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MarrakchiMAM08, author = {Zied Marrakchi and Hayder Mrabet and Emna Amouri and Habib Mehrez}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Efficient tree topology for {FPGA} interconnect network}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {321--326}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366186}, doi = {10.1145/1366110.1366186}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/MarrakchiMAM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/MatsumuraIY08, author = {Tadayuki Matsumura and Tohru Ishihara and Hiroto Yasuura}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Simultaneous optimization of memory configuration and code allocation for low power embedded systems}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {403--406}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366206}, doi = {10.1145/1366110.1366206}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/MatsumuraIY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/NejatiRM08, author = {Hamid Nejati and Tamer Ragheb and Yehia Massoud}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {On the design of customizable low-voltage common-gate LNA-mixer pair using current and charge reusing techniques}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {195--200}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366159}, doi = {10.1145/1366110.1366159}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/NejatiRM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/NessL08, author = {Drew C. Ness and David J. Lilja}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Statistically translating low-level error probabilities to increase the accuracy and efficiency of reliability simulations in hardware description languages}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {297--302}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366181}, doi = {10.1145/1366110.1366181}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/NessL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/NieuwoudtKM08, author = {Arthur Nieuwoudt and Jamil Kawa and Yehia Massoud}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Impact of dummy filling techniques on interconnect capacitance and planarization in nano-scale process technology}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {151--154}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366148}, doi = {10.1145/1366110.1366148}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/NieuwoudtKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Ning08, author = {Tak H. Ning}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {{GLSVLSI} 2008 invited/keynote talk}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {3--4}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366114}, doi = {10.1145/1366110.1366114}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Ning08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/OhP08, author = {Daesun Oh and Keshab K. Parhi}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Nonuniformly quantized min-sum decoder architecture for low-density parity-check codes}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {451--456}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366217}, doi = {10.1145/1366110.1366217}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/OhP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Ordonez-CardenasR08, author = {Ernesto Ordo{\~{n}}ez{-}Cardenas and Ren{\'{e}} de Jes{\'{u}}s Romero{-}Troncoso}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Mlp neural network and on-line backpropagation learning implementation in a low-cost fpga}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {333--338}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366188}, doi = {10.1145/1366110.1366188}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Ordonez-CardenasR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PanitzOBBK08, author = {Philipp V. Panitz and Markus Olbrich and Erich Barke and Markus B{\"{u}}hler and J{\"{u}}rgen Koehl}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Considering possible opens in non-tree topology wire delay calculation}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {17--22}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366118}, doi = {10.1145/1366110.1366118}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PanitzOBBK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PapaM08, author = {Abu Saad Papa and Madhu Mutyam}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Power management of variation aware chip multiprocessors}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {423--428}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366211}, doi = {10.1145/1366110.1366211}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/PapaM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PatelLP08, author = {Kimish Patel and Wonbok Lee and Massoud Pedram}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {In-order pulsed charge recycling in off-chip data buses}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {371--374}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366198}, doi = {10.1145/1366110.1366198}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PatelLP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PaulGK08, author = {Suganth Paul and Rajesh Garg and Sunil P. Khatri}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Pipelined network of {PLA} based circuit design}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {213--218}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366162}, doi = {10.1145/1366110.1366162}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/PaulGK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/PradhanV08, author = {Almitra Pradhan and Ranga Vemuri}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A layout-aware analog synthesis procedure inclusive of dynamic module geometry selection}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {159--162}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366150}, doi = {10.1145/1366110.1366150}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/PradhanV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Putman08, author = {Richard Putman}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Using reiterative {LFSR} based X-masking to increase output compression in presence of unknowns}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {355--358}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366194}, doi = {10.1145/1366110.1366194}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Putman08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/QiS08, author = {Zhenyu Qi and Mircea R. Stan}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {{NBTI} resilient circuits using adaptive body biasing}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {285--290}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366179}, doi = {10.1145/1366110.1366179}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/QiS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/QiuW08, author = {Meikang Qiu and Jiande Wu}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Energy saving for memory with loop scheduling and prefetching}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {155--158}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366149}, doi = {10.1145/1366110.1366149}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/QiuW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RahamanC08, author = {Md. Sajjad Rahaman and Masud H. Chowdhury}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Improved ber performance in intra-chip rf/wireless interconnect systems}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {303--308}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366182}, doi = {10.1145/1366110.1366182}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/RahamanC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RanganathanGM08, author = {N. Ranganathan and Upavan Gupta and Venkataraman Mahalingam}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {171--176}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366154}, doi = {10.1145/1366110.1366154}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/RanganathanGM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RavindraS08, author = {J. V. R. Ravindra and M. B. Srinivas}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Generic sub-space algorithm for generating reduced order models of linear time varying vlsi circuits}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {111--114}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366138}, doi = {10.1145/1366110.1366138}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/RavindraS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/RyzhenkoV08, author = {Nikolai Ryzhenko and Oleg Venger}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A practical repeater insertion flow}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {261--266}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366174}, doi = {10.1145/1366110.1366174}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/RyzhenkoV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SathanurPBMMP08, author = {Ashoka Visweswara Sathanur and Antonio Pullini and Luca Benini and Alberto Macii and Enrico Macii and Massimo Poncino}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Optimal sleep transistor synthesis under timing and area constraints}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {177--182}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366155}, doi = {10.1145/1366110.1366155}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SathanurPBMMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ScottD08, author = {Nathan O. Scott and Gerhard W. Dueck}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Pairwise decomposition of toffoli gates in a quantum circuit}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {231--236}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366168}, doi = {10.1145/1366110.1366168}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ScottD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SharifiR08, author = {Shervin Sharifi and Tajana Simunic Rosing}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {An analytical model for the upper bound on temperature differences on a chip}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {417--422}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366210}, doi = {10.1145/1366110.1366210}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/SharifiR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ShreihS08, author = {Raghid Shreih and Maitham Shams}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Implementation of asynchronous pipeline circuits in multi-threshold {CMOS} technologies}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {189--194}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366157}, doi = {10.1145/1366110.1366157}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ShreihS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Stitt08, author = {Greg Stitt}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Hardware/software partitioning with multi-version implementation exploration}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {143--146}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366146}, doi = {10.1145/1366110.1366146}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Stitt08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/StittV08, author = {Greg Stitt and Jason R. Villarreal}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Recursion flattening}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {131--134}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366143}, doi = {10.1145/1366110.1366143}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/StittV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SulflowFBD08, author = {Andr{\'{e}} S{\"{u}}lflow and G{\"{o}}rschwin Fey and Roderick Bloem and Rolf Drechsler}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Using unsatisfiable cores to debug multiple design errors}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {77--82}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366131}, doi = {10.1145/1366110.1366131}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SulflowFBD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/TanZNYJY08, author = {Xianfang Tan and Lei Zhang and Shankar Neelkrishnan and Mei Yang and Yingtao Jiang and Yulu Yang}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Scalable and fault-tolerant network-on-chip design usingthe quartered recursive diagonal torus topology}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {309--314}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366184}, doi = {10.1145/1366110.1366184}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/TanZNYJY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Tsai08, author = {Min{-}Chun Tsai}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A formula of {STI} cmp design rule}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {11--16}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366117}, doi = {10.1145/1366110.1366117}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Tsai08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VankamamidiL08, author = {Vamsi Vankamamidi and Fabrizio Lombardi}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Design of defect tolerant tile-based {QCA} circuits}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {237--242}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366169}, doi = {10.1145/1366110.1366169}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/VankamamidiL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VaratkarNSJ08, author = {Girish Varatkar and Sriram Narayanan and Naresh R. Shanbhag and Douglas L. Jones}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Trends in energy-efficiency and robustness using stochastic sensor network-on-a-chip}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {351--354}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366193}, doi = {10.1145/1366110.1366193}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/VaratkarNSJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VenkatramanGK08, author = {Arunprasad Venkatraman and Rajesh Garg and Sunil P. Khatri}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A robust, fast pulsed flip-flop design}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {119--122}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366140}, doi = {10.1145/1366110.1366140}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/VenkatramanGK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/VianaGBV08, author = {Pablo Viana and Ann Gordon{-}Ross and Edna Barros and Frank Vahid}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {A table-based method for single-pass cache optimization}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {71--76}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366129}, doi = {10.1145/1366110.1366129}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/VianaGBV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/WeiCHX08, author = {Lun{-}Chun Wei and Hung{-}Ming Chen and Li{-}Da Huang and Sarah Songjie Xu}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Efficient and optimal post-layout double-cut via insertion by network relaxation and min-cost maximum flow}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {359--362}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366195}, doi = {10.1145/1366110.1366195}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/WeiCHX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/Wolf08, author = {Wayne H. Wolf}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {{GLSVLSI} 2008 invited/keynote talk}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {223--224}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366165}, doi = {10.1145/1366110.1366165}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/Wolf08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/XuKC08, author = {Jingye Xu and Pervez Khaled and Masud H. Chowdhury}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Fast bus waveform estimation at the presence of coupling noise}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {339--342}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366190}, doi = {10.1145/1366110.1366190}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/XuKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ZhaoBDSG08, author = {Kang Zhao and Jinian Bian and Sheqin Dong and Yang Song and Satoshi Goto}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {HyMacs: hybrid memory access optimization based on custom-instruction scheduling}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {89--94}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366133}, doi = {10.1145/1366110.1366133}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ZhaoBDSG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/ZhengHH08, author = {Yexin Zheng and Michael S. Hsiao and Chao Huang}, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {SAT-based equivalence checking of threshold logic designs for nanotechnologies}, booktitle = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, pages = {225--230}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110.1366167}, doi = {10.1145/1366110.1366167}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/ZhengHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/glvlsi/2008, editor = {Vijaykrishnan Narayanan and Zhiyuan Yan and Enrico Macii and Sanjukta Bhanja}, title = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008, Orlando, Florida, USA, May 4-6, 2008}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1366110}, doi = {10.1145/1366110}, isbn = {978-1-59593-999-9}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/2008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.