Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad1994.bht:"
@inproceedings{DBLP:conf/iccad/AftabS94, author = {Syed A. Aftab and M. A. Styblinski}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A new efficient approach to statistical delay modeling of {CMOS} digital combinational circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {200--203}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629766}, doi = {10.1109/ICCAD.1994.629766}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AftabS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AlidinaMDGP94, author = {Mazhar Alidina and Jos{\'{e}} Monteiro and Srinivas Devadas and Abhijit Ghosh and Marios C. Papaefthymiou}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Precomputation-based sequential logic optimization for low power}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {74--81}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629747}, doi = {10.1109/ICCAD.1994.629747}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AlidinaMDGP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AlpertK94, author = {Charles J. Alpert and Andrew B. Kahng}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A general framework for vertex orderings, with applications to netlist clustering}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {63--67}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629745}, doi = {10.1109/ICCAD.1994.629745}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AlpertK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AltmeyerOS94, author = {Joachim Altmeyer and Stefan Ohnsorge and Bernd Sch{\"{u}}rmann}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Reuse of design objects in {CAD} frameworks}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {754--761}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629908}, doi = {10.1109/ICCAD.1994.629908}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AltmeyerOS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ArabiKR94, author = {Karim Arabi and Bozena Kaminska and Janusz Rzeszut}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A new built-in self-test approach for digital-to-analog and analog-to-digital converters}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {491--494}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629860}, doi = {10.1109/ICCAD.1994.629860}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ArabiKR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AsharC94, author = {Pranav Ashar and Matthew Cheong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Efficient breadth-first manipulation of binary decision diagrams}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {622--627}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629886}, doi = {10.1109/ICCAD.1994.629886}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AsharC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BaharHMS94, author = {R. Iris Bahar and Gary D. Hachtel and Enrico Macii and Fabio Somenzi}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A symbolic method to reduce power consumption of circuits containing false paths}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {368--371}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629820}, doi = {10.1109/ICCAD.1994.629820}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BaharHMS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BakshiG94, author = {Smita Bakshi and Daniel D. Gajski}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Design exploration for high-performance pipelines}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {312--316}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629786}, doi = {10.1109/ICCAD.1994.629786}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BakshiG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BalarinS94, author = {Felice Balarin and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Iterative algorithms for formal verification of embedded real-time systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {450--457}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629842}, doi = {10.1109/ICCAD.1994.629842}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BalarinS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BalasaCM94, author = {Florin Balasa and Francky Catthoor and Hugo De Man}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Dataflow-driven memory allocation for multi-dimensional signal processing systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {31--34}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629739}, doi = {10.1109/ICCAD.1994.629739}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BalasaCM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BerkelaarBJ94, author = {Michel R. C. M. Berkelaar and Pim H. W. Buurman and Jochen A. G. Jess}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Computing the entire active area/power consumption versus delay trade-off curve for gate sizing with a piecewise linear simulator}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {474--480}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629853}, doi = {10.1109/ICCAD.1994.629853}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BerkelaarBJ94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BhattacharyaDB94, author = {Subhrajit Bhattacharya and Sujit Dey and Franc Brglez}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Provably correct high-level timing analysis without path sensitization}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {736--742}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629905}, doi = {10.1109/ICCAD.1994.629905}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BhattacharyaDB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BoppanaF94, author = {Vamsi Boppana and W. Kent Fuchs}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Fault dictionary compaction by output sequence removal}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {576--579}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629878}, doi = {10.1109/ICCAD.1994.629878}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BoppanaF94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BrandDKN94, author = {Daniel Brand and Anthony D. Drumm and Sandip Kundu and Prakash Narain}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Incremental synthesis}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {14--18}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629736}, doi = {10.1109/ICCAD.1994.629736}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BrandDKN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BurksS94, author = {Timothy M. Burks and Karem A. Sakallah}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Optimization of critical paths in circuits with level-sensitive latches}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {468--473}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629849}, doi = {10.1109/ICCAD.1994.629849}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BurksS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Calazans94, author = {Ney Laert Vilar Calazans}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Boolean constrained encoding: a new formulation and a case study}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {702--706}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629899}, doi = {10.1109/ICCAD.1994.629899}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Calazans94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangM94, author = {Shih{-}Chieh Chang and Malgorzata Marek{-}Sadowska}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Perturb and simplify: multi-level boolean network optimizer}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {2--5}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629734}, doi = {10.1109/ICCAD.1994.629734}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangTZW94, author = {Yao{-}Wen Chang and Shashidhar Thakur and Kai Zhu and D. F. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A new global routing algorithm for FPGAs}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {356--361}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629817}, doi = {10.1109/ICCAD.1994.629817}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangTZW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChaoW94, author = {Kai{-}Yuan Chao and D. F. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Layer assignment for high-performance multi-chip modules}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {680--685}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629895}, doi = {10.1109/ICCAD.1994.629895}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChaoW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChatterjeeA94, author = {Abhijit Chatterjee and Jacob A. Abraham}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {{RAFT191486:} a novel program for rapid-fire test and diagnosis of digital logic for marginal delays and delay faults}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {340--343}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629814}, doi = {10.1109/ICCAD.1994.629814}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChatterjeeA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Cheng94, author = {Chih{-}Liang Eric Cheng}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {{RISA:} accurate and efficient placement routability modeling}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {690--695}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629897}, doi = {10.1109/ICCAD.1994.629897}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Cheng94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiangG94, author = {Chen{-}Huan Chiang and Sandeep K. Gupta}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Random pattern testable logic synthesis}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {125--128}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629754}, doi = {10.1109/ICCAD.1994.629754}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChiangG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChouRP94, author = {Tan{-}Li Chou and Kaushik Roy and Sharat Prasad}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Estimation of circuit activity considering signal correlations and simultaneous switching}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {300--303}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629784}, doi = {10.1109/ICCAD.1994.629784}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChouRP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChuangH94, author = {Weitong Chuang and Ibrahim N. Hajj}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Delay and area optimization for compact placement by gate resizing and relocation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {145--148}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629757}, doi = {10.1109/ICCAD.1994.629757}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChuangH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChungC94, author = {Jae Chung and Chung{-}Kuan Cheng}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Skew sensitivity minimization of buffered clock tree}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {280--283}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629780}, doi = {10.1109/ICCAD.1994.629780}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChungC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CoelhoM94, author = {Claudionor Jos{\'{e}} Nunes Coelho Jr. and Giovanni De Micheli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Dynamic scheduling and synchronization synthesis of concurrent digital systems under system-level constraints}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {175--181}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629762}, doi = {10.1109/ICCAD.1994.629762}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CoelhoM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongK94, author = {Jason Cong and Cheng{-}Kok Koh}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Simultaneous driver and wire sizing for performance and power optimization}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {206--212}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629767}, doi = {10.1109/ICCAD.1994.629767}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CongLS94, author = {Jason Cong and Wilburt Labio and Narayanan Shivakumar}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Multi-way {VLSI} circuit partitioning based on dual net representation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {56--62}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629744}, doi = {10.1109/ICCAD.1994.629744}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CongLS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DemirLS94, author = {Alper Demir and Edward W. Y. Liu and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {598--603}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629882}, doi = {10.1109/ICCAD.1994.629882}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DemirLS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DevarayanadurgS94, author = {Giri Devarayanadurg and Mani Soma}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Analytical fault modeling and static test generation for analog ICs}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {44--47}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629742}, doi = {10.1109/ICCAD.1994.629742}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DevarayanadurgS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DeyP94, author = {Sujit Dey and Miodrag Potkonjak}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Non-scan design-for-testability of RT-level data paths}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {640--645}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629889}, doi = {10.1109/ICCAD.1994.629889}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DeyP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DharchoudhuryKCP94, author = {Abhijit Dharchoudhury and Sung{-}Mo Kang and Hungse Cha and Janak H. Patel}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Fast timing simulation of transient faults in digital circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {719--722}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629902}, doi = {10.1109/ICCAD.1994.629902}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DharchoudhuryKCP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DharchoudhuryKKL94, author = {Abhijit Dharchoudhury and Sung{-}Mo Kang and K. H. (Kane) Kim and S. H. Lee}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Fast and accurate timing simulation with regionwise quadratic models of {MOS} {I-V} characteristics}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {190--194}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629764}, doi = {10.1109/ICCAD.1994.629764}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DharchoudhuryKKL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EikerlingHC94, author = {Heinz{-}Josef Eikerling and Ralf Hunstock and Raul Camposano}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Optimization of hierarchical designs using partitioning and resynthesis}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {707--712}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629900}, doi = {10.1109/ICCAD.1994.629900}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/EikerlingHC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/EisenmannG94, author = {Wolfgang T. Eisenmann and Helmut E. Graeb}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Fast transient power and noise estimation for {VLSI} circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {252--257}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629775}, doi = {10.1109/ICCAD.1994.629775}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/EisenmannG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangW94, author = {Yung{-}Ming Fang and D. F. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Simultaneous functional-unit binding and floorplanning}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {317--321}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629787}, doi = {10.1109/ICCAD.1994.629787}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FeehrerJ94, author = {John R. Feehrer and Harry F. Jordan}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Timing uncertainty analysis for time-of-flight systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {728--735}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629904}, doi = {10.1109/ICCAD.1994.629904}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FeehrerJ94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FeltNS94, author = {Eric Felt and Amit Narayan and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Measurement and modeling of {MOS} transistor current mismatch in analog IC's}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {272--277}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629779}, doi = {10.1109/ICCAD.1994.629779}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FeltNS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FeltS94, author = {Eric Felt and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Testing of analog systems using behavioral models and optimal experimental design techniques}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {672--678}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629894}, doi = {10.1109/ICCAD.1994.629894}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FeltS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FreundF94, author = {Roland W. Freund and Peter Feldmann}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Efficient small-signal circuit analysis and sensitivity computations with the {PVL} algorithm}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {404--411}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629833}, doi = {10.1109/ICCAD.1994.629833}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FreundF94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GaoL94, author = {Tong Gao and C. L. Liu}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Minimum crosstalk switchbox routing}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {610--615}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629884}, doi = {10.1109/ICCAD.1994.629884}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GaoL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GielenWS94, author = {Georges G. E. Gielen and Zhihua Wang and Willy M. C. Sansen}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Fault detection and input stimulus determination for the testing of analog integrated circuits based on power-supply current monitoring}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {495--498}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629866}, doi = {10.1109/ICCAD.1994.629866}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GielenWS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GlaserVKW94, author = {Uwe Gl{\"{a}}ser and Heinrich Theodor Vierhaus and M. Kley and A. Wiederhold}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Test generation for bridging faults in {CMOS} ICs based on current monitoring versus signal propagation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {36--39}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629740}, doi = {10.1109/ICCAD.1994.629740}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GlaserVKW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GoossensBLC94, author = {Gert Goossens and Ivo Bolsens and Bill Lin and Francky Catthoor}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Design of heterogeneous ICs for mobile and personal communication systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {524--531}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629872}, doi = {10.1109/ICCAD.1994.629872}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GoossensBLC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GrodsteinLHTG94, author = {Joel Grodstein and Eric Lehman and Heather Harkness and Herv{\'{e}} J. Touati and Bill Grundmann}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Optimal latch mapping and retiming within a tree}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {242--245}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629772}, doi = {10.1109/ICCAD.1994.629772}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GrodsteinLHTG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GuptaRT94, author = {Sanjay Gupta and Janusz Rajski and Jerzy Tyszer}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Test pattern generation based on arithmetic operations}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {117--124}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629753}, doi = {10.1109/ICCAD.1994.629753}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GuptaRT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HachtelRPPS94, author = {Gary D. Hachtel and Mariano Hermida de la Rica and Abelardo Pardo and Massimo Poncino and Fabio Somenzi}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Re-encoding sequential circuits to reduce power dissipation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {70--73}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629746}, doi = {10.1109/ICCAD.1994.629746}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HachtelRPPS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HellebrandW94, author = {Sybille Hellebrand and Hans{-}Joachim Wunderlich}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {An efficient procedure for the synthesis of fast self-testable controller structures}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {110--116}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629752}, doi = {10.1109/ICCAD.1994.629752}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HellebrandW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HenkelEHB94, author = {J{\"{o}}rg Henkel and Rolf Ernst and Ulrich Holtmann and Thomas Benner}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Adaptation of partitioning and high-level synthesis in hardware/software co-synthesis}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {96--100}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629750}, doi = {10.1109/ICCAD.1994.629750}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HenkelEHB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuangD94, author = {Ing{-}Jer Huang and Alvin M. Despain}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Generating instruction sets and microarchitectures from applications}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {391--396}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629826}, doi = {10.1109/ICCAD.1994.629826}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuangD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ImanP94, author = {Sasan Iman and Massoud Pedram}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Multi-level network optimization for low power}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {372--377}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629821}, doi = {10.1109/ICCAD.1994.629821}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ImanP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ItoLP94, author = {Kazuhito Ito and Lori E. Lucke and Keshab K. Parhi}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Module selection and data format conversion for cost-optimal {DSP} synthesis}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {322--329}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629788}, doi = {10.1109/ICCAD.1994.629788}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ItoLP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IwashitaKNH94, author = {Hiroaki Iwashita and Satoshi Kowatari and Tsuneo Nakata and Fumiyasu Hirose}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Automatic test program generation for pipelined processors}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {580--583}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629879}, doi = {10.1109/ICCAD.1994.629879}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IwashitaKNH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JacomeD94, author = {Margarida F. Jacome and Stephen W. Director}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A formal basis for design process planning and management}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {516--521}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629871}, doi = {10.1109/ICCAD.1994.629871}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JacomeD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JouC94, author = {Jer{-}Min Jou and Shung{-}Chih Chen}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A fast and memory-efficient diagnostic fault simulation for sequential circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {723--726}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629903}, doi = {10.1109/ICCAD.1994.629903}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JouC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JuanCG94, author = {Hsiao{-}Ping Juan and Viraphol Chaiyakul and Daniel D. Gajski}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Condition graphs for high-quality behavioral synthesis}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {170--174}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629761}, doi = {10.1109/ICCAD.1994.629761}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JuanCG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngT94, author = {Andrew B. Kahng and Chung{-}Wen Albert Tsao}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Low-cost single-layer clock trees with exact zero Elmore delay skew}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {213--218}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629768}, doi = {10.1109/ICCAD.1994.629768}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KahngT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KarchmerR94, author = {David Karchmer and Jonathan Rose}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Definition and solution of the memory packing problem for field-programmable systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {20--26}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629737}, doi = {10.1109/ICCAD.1994.629737}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KarchmerR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KirkpatrickS94, author = {Desmond Kirkpatrick and Alberto L. Sangiovanni{-}Vincentelli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Techniques for crosstalk avoidance in the physical design of high-performance digital systems}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {616--619}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629885}, doi = {10.1109/ICCAD.1994.629885}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KirkpatrickS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KolsonND94, author = {David J. Kolson and Alexandru Nicolau and Nikil D. Dutt}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Integrating program transformations in the memory-based synthesis of image and video algorithms}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {27--30}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629738}, doi = {10.1109/ICCAD.1994.629738}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KolsonND94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KukimotoFB94, author = {Yuji Kukimoto and Masahiro Fujita and Robert K. Brayton}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A redesign technique for combinational circuits based on gate reconnections}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {632--637}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629888}, doi = {10.1109/ICCAD.1994.629888}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KukimotoFB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KungL94, author = {Chen{-}Pin Kung and Chen{-}Shang Lin}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {HyHOPE: a fast fault simulator with efficient simulation of hypertrophic faults}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {714--718}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629901}, doi = {10.1109/ICCAD.1994.629901}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KungL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KunzM94, author = {Wolfgang Kunz and Prem R. Menon}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Multi-level logic optimization by implication analysis}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {6--13}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629735}, doi = {10.1109/ICCAD.1994.629735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KunzM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeTW94, author = {Jin{-}Fuw Lee and Donald T. Tang and C. K. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A timing analysis algorithm for circuits with level-sensitive latches}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {743--748}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629906}, doi = {10.1109/ICCAD.1994.629906}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeTW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiaoD94, author = {Haifang Liao and Wayne Wei{-}Ming Dai}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Capturing time-of-flight delay for transient analysis based on scattering parameter macromodel}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {412--417}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629836}, doi = {10.1109/ICCAD.1994.629836}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiaoD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiemMP94, author = {Clifford Liem and Trevor C. May and Pierre G. Paulin}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Register assignment through resource classification for {ASIP} microcode generation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {397--402}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629828}, doi = {10.1109/ICCAD.1994.629828}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiemMP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinD94, author = {Bill Lin and Srinivas Devadas}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Synthesis of hazard-free multi-level logic under multiple-input changes from binary decision diagrams}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {542--549}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629874}, doi = {10.1109/ICCAD.1994.629874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinH94, author = {How{-}Rern Lin and TingTing Hwang}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Dynamical identification of critical paths for iterative gate sizing}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {481--484}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629855}, doi = {10.1109/ICCAD.1994.629855}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinLS94, author = {Jiing{-}Yuan Lin and Tai{-}Chien Liu and Wen{-}Zen Shen}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A cell-based power estimation in {CMOS} combinational circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {304--309}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629785}, doi = {10.1109/ICCAD.1994.629785}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinLS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinMG94, author = {Chih{-}Chang Lin and Malgorzata Marek{-}Sadowska and Duane Gatlin}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Universal logic gate for {FPGA} design}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {164--168}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629760}, doi = {10.1109/ICCAD.1994.629760}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinMG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinSW94, author = {Wei{-}Liang Lin and Majid Sarrafzadeh and Chak{-}Kuen Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {The reproducing placement problem with applications}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {686--689}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629896}, doi = {10.1109/ICCAD.1994.629896}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinSW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinV94, author = {Bill Lin and Steven Vercauteren}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Synthesis of concurrent system interface modules with automatic protocol conversion generation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {101--108}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629751}, doi = {10.1109/ICCAD.1994.629751}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinV94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinW94, author = {Shen Lin and C. K. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Process-variation-tolerant clock skew minimization}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {284--288}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629781}, doi = {10.1109/ICCAD.1994.629781}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LindermanL94, author = {Mark H. Linderman and Miriam Leeser}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Simulation of digital circuits in the presence of uncertainty}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {248--251}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629774}, doi = {10.1109/ICCAD.1994.629774}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LindermanL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiuT94, author = {Xiaolin Liu and Ioannis G. Tollis}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Improving over-the-cell channel routing in standard cell design}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {606--609}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629883}, doi = {10.1109/ICCAD.1994.629883}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiuT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MarculescuMP94, author = {Radu Marculescu and Diana Marculescu and Massoud Pedram}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Switching activity analysis considering spatiotemporal correlations}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {294--299}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629783}, doi = {10.1109/ICCAD.1994.629783}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MarculescuMP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MathurL94, author = {Anmol Mathur and C. L. Liu}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Compression-relaxation: a new approach to performance driven placement for regular architectures}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {130--136}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629755}, doi = {10.1109/ICCAD.1994.629755}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MathurL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Maurer94, author = {Peter M. Maurer}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {The Inversion Algorithm for digital simulation}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {258--261}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629776}, doi = {10.1109/ICCAD.1994.629776}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Maurer94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MedeiroFDR94, author = {Fernando Medeiro and Francisco V. Fern{\'{a}}ndez and Rafael Dom{\'{\i}}nguez{-}Castro and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A statistical optimization-based approach for automated sizing of analog cells}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {594--597}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629881}, doi = {10.1109/ICCAD.1994.629881}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MedeiroFDR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MenezesPDP94, author = {Noel Menezes and Satyamurthy Pullela and Florentin Dartu and Lawrence T. Pillage}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {{RC} interconnect synthesis-a moment fitting approach}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {418--425}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629837}, doi = {10.1109/ICCAD.1994.629837}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MenezesPDP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MirKLNC94, author = {Salvador Mir and Vladimir Kolarik and Marcelo Lubaszewski and C. Nielsen and Bernard Courtois}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Built-in self-test and fault diagnosis of fully differential analogue circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {486--490}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629857}, doi = {10.1109/ICCAD.1994.629857}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MirKLNC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Miura-MattauschFRBS94, author = {Mitiko Miura{-}Mattausch and Ute Feldmann and Alexander Rahm and Michael Bollu and Dominique Savignac}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Unified complete {MOSFET} model for analysis of digital and analog circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {264--267}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629777}, doi = {10.1109/ICCAD.1994.629777}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Miura-MattauschFRBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MohanC94, author = {Chunduri Rama Mohan and Partha Pratim Chakrabarti}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A new approach for factorizing FSM's}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {698--701}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629898}, doi = {10.1109/ICCAD.1994.629898}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MohanC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MukherjeeCR94, author = {Tamal Mukherjee and L. Richard Carley and Rob A. Rutenbar}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Synthesis of manufacturable analog circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {586--593}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629880}, doi = {10.1109/ICCAD.1994.629880}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/MukherjeeCR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NakatakeK94, author = {Shigetoshi Nakatake and Yoji Kajitani}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Channel-driven global routing with consistent placement (extended abstract)}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {350--355}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629816}, doi = {10.1109/ICCAD.1994.629816}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NakatakeK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OhmKD94, author = {Seong Yong Ohm and Fadi J. Kurdahi and Nikil D. Dutt}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Comprehensive lower bound estimation from behavioral descriptions}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {182--187}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629763}, doi = {10.1109/ICCAD.1994.629763}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OhmKD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Ono94, author = {Toshinobu Ono}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Selecting partial scan flip-flops for circuit partitioning}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {646--650}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629890}, doi = {10.1109/ICCAD.1994.629890}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Ono94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PanCG94, author = {Chen{-}Yang Pan and Kwang{-}Ting Cheng and Sandeep Gupta}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A comprehensive fault macromodel for opamps}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {344--348}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629815}, doi = {10.1109/ICCAD.1994.629815}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PanCG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PanSL94, author = {Peichen Pan and Weiping Shi and C. L. Liu}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Area minimization for hierarchical floorplans}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {436--440}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629840}, doi = {10.1109/ICCAD.1994.629840}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PanSL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PandaSP94, author = {Shipra Panda and Fabio Somenzi and Bernard Plessier}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Symmetry detection and dynamic variable ordering of decision diagrams}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {628--631}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629887}, doi = {10.1109/ICCAD.1994.629887}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PandaSP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PhillipsW94, author = {Joel R. Phillips and Jacob K. White}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A precorrected-FFT method for capacitance extraction of complicated 3-D structures}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {268--271}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629778}, doi = {10.1109/ICCAD.1994.629778}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/PhillipsW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PixleySAB94, author = {Carl Pixley and Vigyan Singhal and Adnan Aziz and Robert K. Brayton}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Multi-level synthesis for safe replaceability}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {442--449}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629841}, doi = {10.1109/ICCAD.1994.629841}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PixleySAB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PomeranzR94, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {On testing delay faults in macro-based combinational circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {332--339}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629813}, doi = {10.1109/ICCAD.1994.629813}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PomeranzR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PomeranzR94a, author = {Irith Pomeranz and Sudhakar M. Reddy}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {On error correction in macro-based circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {568--575}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629877}, doi = {10.1109/ICCAD.1994.629877}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PomeranzR94a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PotkonjakR94, author = {Miodrag Potkonjak and Jan M. Rabaey}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Algorithm selection: a quantitative computation-intensive optimization approach}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {90--95}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629749}, doi = {10.1109/ICCAD.1994.629749}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PotkonjakR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SaabSA94, author = {Daniel G. Saab and Youssef Saab and Jacob A. Abraham}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Iterative [simulation-based genetics + deterministic techniques]= complete {ATPG0}}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {40--43}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629741}, doi = {10.1109/ICCAD.1994.629741}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SaabSA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchettlerH94, author = {Olav Schettler and Susanne Heymann}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Towards support for design description languages in {EDA} framework}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {762--767}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://dl.acm.org/citation.cfm?id=191665}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SchettlerH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchuetteB94, author = {Michael A. Schuette and John R. Barr}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Embedded systems design for low energy consumption}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {534--540}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629873}, doi = {10.1109/ICCAD.1994.629873}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SchuetteB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchurmannAS94, author = {Bernd Sch{\"{u}}rmann and Joachim Altmeyer and Martin Sch{\"{u}}tze}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {On modeling top-down {VLSI} design}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {508--515}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629870}, doi = {10.1109/ICCAD.1994.629870}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SchurmannAS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SehgalCA94, author = {Naresh Sehgal and C. Y. Roger Chen and John M. Acken}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {An object-oriented cell library manager}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {750--753}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629907}, doi = {10.1109/ICCAD.1994.629907}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SehgalCA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SekiIKTFSA94, author = {Mitsuho Seki and Kenji Inoue and Kazuo Kato and Kouki Tsurusaki and Shin'ichi Fukasawa and Hitoshi Sasaki and Mutsuhito Aizawa}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A specified delay accomplishing clock router using multiple layers}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {289--292}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629782}, doi = {10.1109/ICCAD.1994.629782}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SekiIKTFSA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShaoH94, author = {Jianfeng Shao and Ramesh Harjani}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Macromodeling of analog circuits for hierarchical circuit design}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {656--663}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629892}, doi = {10.1109/ICCAD.1994.629892}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShaoH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShenoyR94, author = {Narendra V. Shenoy and Richard L. Rudell}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Efficient implementation of retiming}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {226--233}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629770}, doi = {10.1109/ICCAD.1994.629770}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShenoyR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SiegelM94, author = {Polly Siegel and Giovanni De Micheli}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Decomposition methods for library binding of speed-independent asynchronous designs}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {558--565}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629876}, doi = {10.1109/ICCAD.1994.629876}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SiegelM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SoyataF94, author = {Tolga Soyata and Eby G. Friedman}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Retiming with non-zero clock skew, variable register, and interconnect delay}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {234--241}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629771}, doi = {10.1109/ICCAD.1994.629771}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SoyataF94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunS94, author = {Wern{-}Jieh Sun and Carl Sechen}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A loosely coupled parallel algorithm for standard cell placement}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {137--144}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629756}, doi = {10.1109/ICCAD.1994.629756}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SwamyB94, author = {Gitanjali Swamy and Robert K. Brayton}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Incremental formal design verification}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {458--465}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629843}, doi = {10.1109/ICCAD.1994.629843}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SwamyB94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TakahashiNTS94, author = {Kazuhiro Takahashi and Kazuo Nakajima and Masayuki Terai and Koji Sato}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Adaptive cut line selection in min-cut placement for large scale sea-of-gates arrays}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {428--431}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629838}, doi = {10.1109/ICCAD.1994.629838}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TakahashiNTS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TamiyaMF94, author = {Yutaka Tamiya and Yusuke Matsunaga and Masahiro Fujita}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {{LP} based cell selection with constraints of timing, area, and power consumption}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {378--381}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629822}, doi = {10.1109/ICCAD.1994.629822}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TamiyaMF94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TellezS94, author = {Gustavo E. T{\'{e}}llez and Majid Sarrafzadeh}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Clock period constrained minimal buffer insertion in clock trees}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {219--223}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://dl.acm.org/citation.cfm?id=191413}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TellezS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ThanvantriS94, author = {Venkat Thanvantri and Sartaj K. Sahni}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Folding a stack of equal width components}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {432--435}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629839}, doi = {10.1109/ICCAD.1994.629839}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ThanvantriS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TiwariMW94, author = {Vivek Tiwari and Sharad Malik and Andrew Wolfe}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Power analysis of embedded software: a first step towards software power minimization}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {384--390}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629825}, doi = {10.1109/ICCAD.1994.629825}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/TiwariMW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TogawaSO94, author = {Nozomu Togawa and Masao Sato and Tatsuo Ohtsuki}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {A simultaneous technology mapping, placement, and global routing algorithm for field-programmable gate arrays}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {156--163}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629759}, doi = {10.1109/ICCAD.1994.629759}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TogawaSO94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ToubaM94, author = {Nur A. Touba and Edward J. McCluskey}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Logic synthesis techniques for reduced area implementation of multilevel circuits with concurrent error detection}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {651--654}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629891}, doi = {10.1109/ICCAD.1994.629891}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ToubaM94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TsuiPCD94, author = {Chi{-}Ying Tsui and Massoud Pedram and Chih{-}Ang Chen and Alvin M. Despain}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Low power state assignment targeting two-and multi-level logic implementations}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {82--87}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629748}, doi = {10.1109/ICCAD.1994.629748}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TsuiPCD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WolfBH94, author = {Pieter van der Wolf and K. Olav ten Bosch and Alfred van der Hoeven}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {An enhanced flow model for constraint handling in hierarchical multi-view design environments}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {500--507}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629868}, doi = {10.1109/ICCAD.1994.629868}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WolfBH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuC94, author = {Yu{-}Liang Wu and Douglas Chang}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {On the NP-completeness of regular 2-D {FPGA} routing architectures and a novel solution}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {362--366}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629819}, doi = {10.1109/ICCAD.1994.629819}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangW94, author = {Honghua Yang and D. F. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Efficient network flow based min-cut balanced partitioning}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {50--55}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629743}, doi = {10.1109/ICCAD.1994.629743}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangW94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YangW94a, author = {Hannah Honghua Yang and D. F. Wong}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Edge-map: optimal performance driven technology mapping for iterative {LUT} based {FPGA} designs}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {150--155}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629758}, doi = {10.1109/ICCAD.1994.629758}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YangW94a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuA94, author = {Meng{-}Lin Yu and Bryan D. Ackland}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {{VLSI} timing simulation with selective dynamic regionization}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {195--199}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629765}, doi = {10.1109/ICCAD.1994.629765}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuS94, author = {Qicheng Yu and Carl Sechen}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Approximate symbolic analysis of large analog integrated circuits}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {664--671}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629893}, doi = {10.1109/ICCAD.1994.629893}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YunLDD94, author = {Kenneth Y. Yun and Bill Lin and David L. Dill and Srinivas Devadas}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Performance-driven synthesis of asynchronous controllers}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {550--557}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629875}, doi = {10.1109/ICCAD.1994.629875}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YunLDD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/1994, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://ieeexplore.ieee.org/xpl/conhome/4983/proceeding}, isbn = {0-89791-690-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/1994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.