Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2006.bht:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/iscas/AabbaouiGRBLRAFRL06, author = {Hassan El Aabbaoui and B. Gorisse and Nathalie Rolland and Aziz Benlarbi{-}Dela{\"{\i}} and J.{-}F. Lampin and Paul{-}Alain Rolland and V. Allouche and N. Fel and B. Riondet and P. Leclerc}, title = {20GHz bandwidth digitizer for single shot analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693097}, doi = {10.1109/ISCAS.2006.1693097}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AabbaouiGRBLRAFRL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdallaEP06, author = {Mohamed Abdalla and George V. Eleftheriades and Khoman Phang}, title = {A differential 0.13{\(\mathrm{\mu}\)}m {CMOS} active inductor for high-frequency phase shifters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693341}, doi = {10.1109/ISCAS.2006.1693341}, timestamp = {Tue, 08 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AbdallaEP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdelhalimMM06, author = {Karim Abdelhalim and Leonard MacEachern and Samy A. Mahmoud}, title = {A nanowatt {ADC} for ultra low power applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692883}, doi = {10.1109/ISCAS.2006.1692883}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdelhalimMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdulaiK06, author = {M. Abdulai and Peter R. Kinget}, title = {A 0.5 {V} fully differential gate-input operational transconductance amplifier with intrinsic common-mode rejection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693215}, doi = {10.1109/ISCAS.2006.1693215}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AbdulaiK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbedWK06, author = {K. H. Abed and K. Y. Wong and Marian K. Kazimierczuk}, title = {{CMOS} zero cross-conduction low-power driver and power MOSFETs for integrated synchronous buck converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693192}, doi = {10.1109/ISCAS.2006.1693192}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbedWK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbedinpourBK06, author = {Siamak Abedinpour and Bertan Bakkaloglu and Sayfe Kiaei}, title = {A 65MHZ switching rate, two-stage interleaved synchronous buck converter with fully integrated output filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693833}, doi = {10.1109/ISCAS.2006.1693833}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbedinpourBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Abu-QahouqAYB06, author = {Jaber A. Abu{-}Qahouq and Wisam Al{-}Hoor and Liangbin Yao and Issa Batarseh}, title = {Drive voltage optimization controller to improve efficiency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693075}, doi = {10.1109/ISCAS.2006.1693075}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Abu-QahouqAYB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AcarAN06, author = {Emrah Acar and Kanak Agarwal and Sani R. Nassif}, title = {Characterization of total chip leakage using inverse (reciprocal) gamma distribution}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693263}, doi = {10.1109/ISCAS.2006.1693263}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AcarAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AcarF06, author = {Emrah Acar and Peter Feldmann}, title = {Simulation of {SOI} transistor circuits through non-equilibrium initial condition analysis {(NEICA)}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693145}, doi = {10.1109/ISCAS.2006.1693145}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AcarF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AcostaCJRL06, author = {Luc{\'{\i}}a Acosta and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal and Mariano Jim{\'{e}}nez{-}Fuentes and Jaime Ram{\'{\i}}rez{-}Angulo and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n}, title = {A {CMOS} transconductor with 90 dB {SFDR} and low sensitivity to mismatch}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692524}, doi = {10.1109/ISCAS.2006.1692524}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AcostaCJRL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdapaTM06, author = {Rajsekhar Adapa and Spyros Tragoudas and Maria K. Michael}, title = {Sub-faults identification for collapsing in diagnosis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692710}, doi = {10.1109/ISCAS.2006.1692710}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdapaTM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AddabboAFRV06, author = {Tommaso Addabbo and Massimo Alioto and Ada Fort and Santina Rocchi and Valerio Vignoli}, title = {A technique to design high entropy chaos-based true random bit generators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692802}, doi = {10.1109/ISCAS.2006.1692802}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AddabboAFRV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdeniranD06, author = {Olujide A. Adeniran and Andreas Demosthenous}, title = {A 19.5mW 1.5V 10-bit pipeline {ADC} for {DVB-H} systems in 0.35{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693842}, doi = {10.1109/ISCAS.2006.1693842}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdeniranD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdikariFA06, author = {A. B. B. Adikari and Warnakulasuriya Anil Chandana Fernando and Hemantha Kodikara Arachchi}, title = {A new motion and disparity vector prediction technique for {H.264} based stereoscopic video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692738}, doi = {10.1109/ISCAS.2006.1692738}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdikariFA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdrangLMTM06, author = {Habib Adrang and Reza Lotfi and Khalil Mafinejhad and Armin Tajalli and Saeed Mehrmanesh}, title = {A low-power {CMOS} Gm-C filter for wireless receiver applications with on-chip automatic tuning system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693458}, doi = {10.1109/ISCAS.2006.1693458}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AdrangLMTM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdrianGC06, author = {Victor Adrian and Bah{-}Hwee Gwee and Joseph Sylvester Chang}, title = {An acoustic noise suppression system with reduced musical artifacts}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693138}, doi = {10.1109/ISCAS.2006.1693138}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdrianGC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgarwalD06, author = {Nainesh Agarwal and Nikitas J. Dimopoulos}, title = {Power efficient rapid hardware development using CoDel and automated clock gating}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693831}, doi = {10.1109/ISCAS.2006.1693831}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AgarwalD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AghdamB06, author = {Esmaeil Najafi Aghdam and Philippe B{\'{e}}nab{\`{e}}s}, title = {A hardware efficient 3-bit second-order dynamic element matching circuit clocked at 300MHz}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693250}, doi = {10.1109/ISCAS.2006.1693250}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AghdamB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgostiniPGSB06, author = {Luciano Volcan Agostini and Roger Endrigo Carvalho Porto and Jos{\'{e}} Lu{\'{\i}}s G{\"{u}}ntzel and Ivan Saraiva Silva and Sergio Bampi}, title = {High throughput multitransform and multiparallelism {IP} for {H.264/AVC} video compression standard}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693859}, doi = {10.1109/ISCAS.2006.1693859}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AgostiniPGSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgrafiotisBC06, author = {Dimitris Agrafiotis and David R. Bull and Cedric Nishan Canagarajah}, title = {Enhanced spatial error concealment with directional entropy based interpolation switching}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692599}, doi = {10.1109/ISCAS.2006.1692599}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AgrafiotisBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgrawalHMW06, author = {Bhavna Agrawal and Jeffrey G. Hemmett and Karl K. Moody and David B. White}, title = {Techniques to address increased dimensionality of {ASIC} library design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692997}, doi = {10.1109/ISCAS.2006.1692997}, timestamp = {Thu, 26 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AgrawalHMW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmadA06, author = {Sabbir U. Ahmad and Andreas Antoniou}, title = {A genetic algorithm approach for fractional delay {FIR} filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693135}, doi = {10.1109/ISCAS.2006.1693135}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmadA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmedA06, author = {Indrajit Ahmed and Tughrul Arslan}, title = {A low energy {VLSI} design of random block interleaver for 3GPP turbo decoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692578}, doi = {10.1109/ISCAS.2006.1692578}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmedA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AignerMMMOPST06, author = {Manfred Josef Aigner and Stefan Mangard and Francesco Menichelli and Renato Menicocci and Mauro Olivieri and Thomas Popp and Giuseppe Scotti and Alessandro Trifiletti}, title = {Side channel analysis resistant design flow}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693233}, doi = {10.1109/ISCAS.2006.1693233}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AignerMMMOPST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AiguoLCJC06, author = {Bu Aiguo and Longxing Shi and Hu Chen and Li Jie and Chao Wang}, title = {Energy-optimal dynamic voltage scaling for sporadic tasks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693062}, doi = {10.1109/ISCAS.2006.1693062}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AiguoLCJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkatsuTS06, author = {Satoshi Akatsu and Hiroyuki Torikai and Toshimichi Saito}, title = {Current-mode instantaneous state setting method and its application to an H-bridge inverter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692927}, doi = {10.1109/ISCAS.2006.1692927}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkatsuTS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkgunL06, author = {Omer Can Akgun and Yusuf Leblebici}, title = {Weak inversion performance of {CMOS} and {DCVSPG} logic families in sub-300 mV range}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692819}, doi = {10.1109/ISCAS.2006.1692819}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkgunL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkitaWT06, author = {Ippei Akita and Kazuyuki Wada and Yoshiaki Tadokoro}, title = {Low-voltage {CMOS} syllabic-companding log domain filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693340}, doi = {10.1109/ISCAS.2006.1693340}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AkitaWT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkiyamaW06, author = {Shunsuke Akiyama and Takao Waho}, title = {A 6-bit low-power compact flash {ADC} using current-mode threshold logic gates}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693490}, doi = {10.1109/ISCAS.2006.1693490}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AkiyamaW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-ArajiAA06, author = {Saleh R. Al{-}Araji and Mahmoud Al{-}Qutayri and Abdallah Al{-}Zaabi}, title = {Adaptive {TDTL} with enhanced performance using sample sensing technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693929}, doi = {10.1109/ISCAS.2006.1693929}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-ArajiAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-ShyoukhT06, author = {Mohammad A. Al{-}Shyoukh and A. Teutsch}, title = {A pipelined dual-channel switched capacitor programmable gain amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693723}, doi = {10.1109/ISCAS.2006.1693723}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-ShyoukhT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlarconEMVGP06, author = {Eduard Alarc{\'{o}}n and Abdelali El Aroudi and J. Martinez{-}Artega and Gerard Villar and Francesc Guinjoan and Alberto Poveda}, title = {Predicting fast-scale instabilities in switching power converters: a ripple-based unified perspective}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693291}, doi = {10.1109/ISCAS.2006.1693291}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlarconEMVGP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AleninSI06, author = {Sergey Alenin and David Spady and Vadim Ivanov}, title = {A low ripple on-chip charge pump for bootstrapping of the noise-sensitive nodes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693834}, doi = {10.1109/ISCAS.2006.1693834}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AleninSI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlfredssonO06, author = {Jon Alfredsson and Bengt Oelmann}, title = {Capacitance selection for digital floating-gate circuits operating in subthreshold}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693590}, doi = {10.1109/ISCAS.2006.1693590}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlfredssonO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliM06, author = {Sadeka Ali and Martin Margala}, title = {A 2.4-GHz auto-calibration frequency synthesizer with on-chip built-in-self-test solution}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693667}, doi = {10.1109/ISCAS.2006.1693667}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AliM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoP06, author = {Massimo Alioto and Gaetano Palumbo}, title = {Delay uncertainty due to supply variations in static and dynamic full adders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692698}, doi = {10.1109/ISCAS.2006.1692698}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliotoP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoP06a, author = {Massimo Alioto and Gaetano Palumbo}, title = {Nanometer {MCML} gates: models and design considerations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693471}, doi = {10.1109/ISCAS.2006.1693471}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliotoP06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoPP06, author = {Massimo Alioto and Gaetano Palumbo and Massimo Poli}, title = {Efficient output transition time modeling in {CMOS} gates with ramp/exponential inputs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693786}, doi = {10.1109/ISCAS.2006.1693786}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AliotoPP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AliotoPRV06, author = {Massimo Alioto and Luca Pancioni and Santina Rocchi and Valerio Vignoli}, title = {Analysis and design of {MCML} gates with hysteresis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692822}, doi = {10.1109/ISCAS.2006.1692822}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AliotoPRV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlippiCV06, author = {Cesare Alippi and D. Cogliati and Giovanni Vanini}, title = {A statistical approach to localize passive RFIDs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692717}, doi = {10.1109/ISCAS.2006.1692717}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlippiCV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlippiG06, author = {Cesare Alippi and Cristian Galperti}, title = {An adaptive maximum power point tracker for maximising solar cell efficiency in wireless sensor nodes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693436}, doi = {10.1109/ISCAS.2006.1693436}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlippiG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlippiR06, author = {Cesare Alippi and Manuel Roveri}, title = {An adaptive CUSUM-based test for signal change detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693942}, doi = {10.1109/ISCAS.2006.1693942}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlippiR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AllamFOF06, author = {Ahmed Allam and Igor M. Filanovsky and Lu{\'{\i}}s Bica Oliveira and Jorge R. Fernandes}, title = {Synchronization of mutually coupled LC-oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693579}, doi = {10.1109/ISCAS.2006.1693579}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AllamFOF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AllanK06, author = {Gordon Allan and John Knight}, title = {A compact 190{\(\mathrm{\mu}\)}W {PLL} for clock control and distribution in ultra-large scale ICs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693121}, doi = {10.1109/ISCAS.2006.1693121}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AllanK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AllanK06a, author = {Gordon Allan and John Knight}, title = {Mixed-signal thermometer filtering for low-complexity PLLs/DLLs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693122}, doi = {10.1109/ISCAS.2006.1693122}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AllanK06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AllidinaM06, author = {K. Allidina and Shahriar Mirabbasi}, title = {A widely tunable active {RF} filter topology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692726}, doi = {10.1109/ISCAS.2006.1692726}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AllidinaM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AloulS06, author = {Fadi A. Aloul and Assim Sagahyroon}, title = {Estimation of the weighted maximum switching activity in combinational {CMOS} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693238}, doi = {10.1109/ISCAS.2006.1693238}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AloulS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlsaiariS06, author = {Uthman Alsaiari and Resve A. Saleh}, title = {Testable and self-repairable structured logic design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693910}, doi = {10.1109/ISCAS.2006.1693910}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AlsaiariS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AltetMGA06, author = {Josep Altet and Diego Mateo and Jos{\'{e}} Luis Gonz{\'{a}}lez and Eduardo Aldrete{-}Vidrio}, title = {Observation of high-frequency analog/RF electrical circuit characteristics by on-chip thermal measurements}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693368}, doi = {10.1109/ISCAS.2006.1693368}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AltetMGA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AltunB06, author = {Oktay Altun and Mark F. Bocko}, title = {Robust analog circuit design: a set theoretic approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693245}, doi = {10.1109/ISCAS.2006.1693245}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AltunB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlvesBMA06, author = {Luis Nero Alves and Luis Barbosa and E. A. L. Macedo and Rui L. Aguiar}, title = {General model for delayed feedback and its application to transimpedance amplifier's bandwidth optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692735}, doi = {10.1109/ISCAS.2006.1692735}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlvesBMA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmirabadiCRSA06, author = {Amir Amirabadi and A. Chehelcheraghi and S. H. Rasouli and A. Seyedi and Ali Afzali{-}Kusha}, title = {Low power and high performance clock delayed domino logic using saturated keeper}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693299}, doi = {10.1109/ISCAS.2006.1693299}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmirabadiCRSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ampadu06, author = {Paul Ampadu}, title = {Ultra-low voltage {VLSI:} are we there yet?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692512}, doi = {10.1109/ISCAS.2006.1692512}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ampadu06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnanthLLL06, author = {Ravi S. Ananth and Edward K. Lee and Taihu Li and Anthony Lam}, title = {Low-power, implantable sensing system for signal detection from the central or peripheral nervous system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693149}, doi = {10.1109/ISCAS.2006.1693149}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnanthLLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndersM06, author = {Jens Anders and Wolfgang Mathis}, title = {Simulation techniques for noise-analysis in the {PLL} design process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693522}, doi = {10.1109/ISCAS.2006.1693522}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndersM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnderssonDSK06, author = {Stefan Back Andersson and Jerzy J. D{\k{a}}browski and Christer Svensson and J. Konopacki}, title = {{SC} filter for {RF} down conversion with wideband image rejection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693391}, doi = {10.1109/ISCAS.2006.1693391}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnderssonDSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AndoBSBIKPL06, author = {Bruno And{\`{o}} and Salvatore Baglio and Vincenzo Sacco and Adi R. Bulsara and Visarath In and Andy Kho and Antonio Palacios and Patrick Longhini}, title = {Dynamic cooperative behavior in a coupled-core fluxgate magnetometer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693797}, doi = {10.1109/ISCAS.2006.1693797}, timestamp = {Mon, 28 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AndoBSBIKPL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AniruddhanSA06, author = {Sankaran Aniruddhan and Sudip Shekhar and David J. Allstot}, title = {A delay generation technique for fast-locking frequency synthesizers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693870}, doi = {10.1109/ISCAS.2006.1693870}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AniruddhanSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AntoniniF06, author = {Giulio Antonini and Giuseppe Ferri}, title = {A ladder network delay model for coupled interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693118}, doi = {10.1109/ISCAS.2006.1693118}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AntoniniF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Antoniou06, author = {George E. Antoniou}, title = {Minimal circuit and state space realization of generalized 3-D lattice-ladder discrete filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693012}, doi = {10.1109/ISCAS.2006.1693012}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Antoniou06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AntweilerVM06, author = {Christiane Antweiler and Peter Vary and Ercole Di Martino}, title = {Virtual time-variant model of the Eustachian tube}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693894}, doi = {10.1109/ISCAS.2006.1693894}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AntweilerVM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AomoriOTT06, author = {Hisashi Aomori and Tsuyoshi Otake and Nobuaki Takahashi and Mamoru Tanaka}, title = {Lifting-based lossless parallel image coding on discrete-time cellular neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693169}, doi = {10.1109/ISCAS.2006.1693169}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AomoriOTT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ApostolopoulosWDESZ06, author = {John G. Apostolopoulos and Susie J. Wee and Fr{\'{e}}d{\'{e}}ric Dufaux and Touradj Ebrahimi and Qibin Sun and Zhishou Zhang}, title = {The emerging {JPEG-2000} security {(JPSEC)} standard}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693476}, doi = {10.1109/ISCAS.2006.1693476}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ApostolopoulosWDESZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AragaoNN06, author = {Alexandre J. Arag{\~{a}}o and Jo{\~{a}}o Navarro Jr. and Wilhelmus A. M. Van Noije}, title = {Mismatch effect analyses in {CMOS} tapered buffers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693119}, doi = {10.1109/ISCAS.2006.1693119}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AragaoNN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArakiSMM06, author = {Shoko Araki and Hiroshi Sawada and Ryo Mukai and Shoji Makino}, title = {Underdetermined sparse source separation of convolutive mixtures with observation vector clustering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693404}, doi = {10.1109/ISCAS.2006.1693404}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArakiSMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArchambeauVAV06, author = {C{\'{e}}dric Archambeau and Maurizio Valle and Alex Assenza and Michel Verleysen}, title = {Assessment of probability density estimation methods: Parzen window and finite Gaussian mixtures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693317}, doi = {10.1109/ISCAS.2006.1693317}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArchambeauVAV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArenaFFPP06, author = {Paolo Arena and Luigi Fortuna and Mattia Frasca and Luca Patan{\'{e}} and Marco Pavone}, title = {Towards autonomous adaptive behavior in a bio-inspired CNN-controlled robot}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692549}, doi = {10.1109/ISCAS.2006.1692549}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArenaFFPP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArenaFFPP06a, author = {Paolo Arena and Luigi Fortuna and Mattia Frasca and Luca Patan{\'{e}} and Marco Pavone}, title = {Realization of a CNN-driven cockroach-inspired robot}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693168}, doi = {10.1109/ISCAS.2006.1693168}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArenaFFPP06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AroudiRM06, author = {Abdelali El Aroudi and Bruno Robert and Luis Mart{\'{\i}}nez{-}Salamero}, title = {Modelling and analysis of multicell converters using discrete time models}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693046}, doi = {10.1109/ISCAS.2006.1693046}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AroudiRM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AroutchelvameR06, author = {S. Mayilavelane Aroutchelvame and Kaamran Raahemifar}, title = {An architecture for best-basis algorithm using threshold cost function for images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693253}, doi = {10.1109/ISCAS.2006.1693253}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AroutchelvameR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArrowsmithBS06, author = {David K. Arrowsmith and Mario di Bernardo and Francesco Sorrentino}, title = {Communication models with distributed transmission rates and buffer sizes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693766}, doi = {10.1109/ISCAS.2006.1693766}, timestamp = {Thu, 14 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ArrowsmithBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArthurB06, author = {John V. Arthur and Kwabena Boahen}, title = {Silicon neurons that inhibit to synchronize}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693706}, doi = {10.1109/ISCAS.2006.1693706}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ArthurB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ArzelSLJ06, author = {Matthieu Arzel and Fabrice Seguin and Cyril Lahuec and Michel J{\'{e}}z{\'{e}}quel}, title = {Semi-iterative analog turbo decoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693396}, doi = {10.1109/ISCAS.2006.1693396}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ArzelSLJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AsadiT06, author = {Hossein Asadi and Mehdi Baradaran Tahoori}, title = {Soft error hardening for logic-level designs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693540}, doi = {10.1109/ISCAS.2006.1693540}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AsadiT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AscoliFC06, author = {Alon Ascoli and Orla Feely and Paul F. Curran}, title = {Modeling the effects of {BJT} base currents on the dynamics of a log-domain filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693762}, doi = {10.1109/ISCAS.2006.1693762}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AscoliFC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AtallaHSI06, author = {Essam Atalla and Emad Hegazi and Henrik Sj{\"{o}}land and M. Marzouk Ibrahim}, title = {An all-digital Sigma-Delta-frequency discriminator of arbitrary order}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693752}, doi = {10.1109/ISCAS.2006.1693752}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AtallaHSI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AtluriG06, author = {Suresh Atluri and Maysam Ghovanloo}, title = {A wideband power-efficient inductive wireless link for implantable microelectronic devices using multiple carriers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692789}, doi = {10.1109/ISCAS.2006.1692789}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AtluriG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AuMLS06, author = {S. Au and Shahriar Mirabbasi and Lutz H.{-}J. Lampe and Robert Schober}, title = {Per-survivor processing Viterbi decoder for Bluetooth applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693156}, doi = {10.1109/ISCAS.2006.1693156}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AuMLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AusinDDT06, author = {Jos{\'{e}} L. Aus{\'{\i}}n and Miguel Angel Dom{\'{\i}}nguez and Juan Francisco Duque{-}Carrillo and Guido Torelli}, title = {A non-uniform sampling approach for the reduction of capacitance spread in {SC} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693726}, doi = {10.1109/ISCAS.2006.1693726}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AusinDDT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AxelrodBI06, author = {Boris Axelrod and Yefim Berkovich and Adrian Ioinovici}, title = {Switched-capacitor (SC)/switched inductor {(SL)} structures for getting hybrid step-down Cuk/Sepic/Zeta converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693770}, doi = {10.1109/ISCAS.2006.1693770}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AxelrodBI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ay06, author = {Suat U. Ay}, title = {Spectral response improvement of {CMOS} {APS} pixel through lateral collection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692917}, doi = {10.1109/ISCAS.2006.1692917}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ay06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzizG06, author = {Joseph N. Y. Aziz and Roman Genov}, title = {Electro-chemical multi-channel integrated neural interface technologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693056}, doi = {10.1109/ISCAS.2006.1693056}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzizG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzizGBDC06, author = {Joseph N. Y. Aziz and Roman Genov and Berj L. Bardakjian and Miron Derchansky and Peter L. Carlen}, title = {256-channel integrated neural interface and spatio-temporal signal processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693773}, doi = {10.1109/ISCAS.2006.1693773}, timestamp = {Wed, 10 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzizGBDC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzizKGBDC06, author = {Joseph N. Y. Aziz and Rafal Karakiewicz and Roman Genov and Berj L. Bardakjian and Miron Derchansky and Peter L. Carlen}, title = {Real-time seizure monitoring and spectral analysis microsystem}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693039}, doi = {10.1109/ISCAS.2006.1693039}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzizKGBDC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzzoliniMB06, author = {Cristiano Azzolini and P. Milanesi and Andrea Boni}, title = {Accurate transient response model for automatic synthesis of high-speed operational amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693933}, doi = {10.1109/ISCAS.2006.1693933}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzzoliniMB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BackeniusSG06, author = {Erik Backenius and Erik S{\"{a}}ll and Oscar Gustafsson}, title = {Bidirectional conversion to minimum signed-digit representation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693109}, doi = {10.1109/ISCAS.2006.1693109}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BackeniusSG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BadoniGDG06, author = {Davide Badoni and Massimiliano Giulioni and Vittorio Dante and Paolo Del Giudice}, title = {An aVLSI recurrent network of spiking neurons with reconfigurable and plastic synapses}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692813}, doi = {10.1109/ISCAS.2006.1692813}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BadoniGDG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaekS06, author = {Jaehyun Baek and Myung Hoon Sunwoo}, title = {Enhanced degree computationless modified Euclid's algorithm for Reed-Solomon decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693394}, doi = {10.1109/ISCAS.2006.1693394}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaekS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaggaHSL06, author = {Sumit Bagga and Sandro A. P. Haddad and Wouter A. Serdijn and John R. Long}, title = {An {FCC} compliant pulse generator for {IR-UWB} communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692527}, doi = {10.1109/ISCAS.2006.1692527}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaggaHSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaiDHC06, author = {Hongjie Bai and Sheqin Dong and Xianlong Hong and Song Chen}, title = {Buffer planning based on block exchanging}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693906}, doi = {10.1109/ISCAS.2006.1693906}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaiDHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bajic06, author = {Ivan V. Bajic}, title = {Non-causal error control for wireless video streaming with noncoherent signaling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692679}, doi = {10.1109/ISCAS.2006.1692679}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Bajic06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BalsiCMSTTV06, author = {Marco Balsi and Francesco Centurelli and Piero Marietti and Giuseppe Scotti and Pasquale Tommasino and Alessandro Trifiletti and Giancarlo Valente}, title = {Validation of a statistical non-linear model of GaAs {HEMT} MMIC's by hypothesis testing and principal components analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693663}, doi = {10.1109/ISCAS.2006.1693663}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BalsiCMSTTV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarboniFS06, author = {Leonardo Barboni and Rafaella Fiorelli and Fernando Silveira}, title = {A tool for design exploration and power optimization of {CMOS} {RF} circuits blocks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693246}, doi = {10.1109/ISCAS.2006.1693246}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarboniFS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarrettMKSCSD06, author = {Tim Barrett and Sumit D. Mediratta and Taek{-}Jun Kwon and Ravinder Singh and Sachit Chandra and Jeff Sondeen and Jeffrey T. Draper}, title = {A double-data rate {(DDR)} processing-in-memory {(PIM)} device with wideword floating-point capability}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692989}, doi = {10.1109/ISCAS.2006.1692989}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarrettMKSCSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Barrettino06, author = {Diego Barrettino}, title = {Design considerations and recent advances in CMOS-based microsystems for point-of-care clinical diagnostics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693594}, doi = {10.1109/ISCAS.2006.1693594}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Barrettino06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BarsatanMC06, author = {R. Barsatan and Tsz Yin Man and Mansun Chan}, title = {A zero-mask one-time programmable memory array for {RFID} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692750}, doi = {10.1109/ISCAS.2006.1692750}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BarsatanMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BartzasPMACSM06, author = {Alexandros Bartzas and Miguel Pe{\'{o}}n Quir{\'{o}}s and Stylianos Mamagkakis and David Atienza and Francky Catthoor and Dimitrios Soudris and Jose Manuel Mendias}, title = {Systematic design flow for dynamic data management in visual texture decoder of {MPEG-4}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693691}, doi = {10.1109/ISCAS.2006.1693691}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BartzasPMACSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaschirottoBDMMV06, author = {Andrea Baschirotto and Fausto Borghetti and Enrico Dallago and Piero Malcovati and Marco Marchesi and Giuseppe Venchi}, title = {A {CMOS} front-end circuit for integrated fluxgate magnetic sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693605}, doi = {10.1109/ISCAS.2006.1693605}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaschirottoBDMMV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BatesGPCZC06, author = {Stephen Bates and Logan Gunthorpe and Ali Emre Pusane and Zhengang Chen and Kamil Sh. Zigangirov and Daniel J. Costello Jr.}, title = {Decoders for low-density parity-check convolutional codes with large memory}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693780}, doi = {10.1109/ISCAS.2006.1693780}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BatesGPCZC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BatesS06, author = {Stephen Bates and Ramkrishna Swamy}, title = {Parallel encoders for low-density parity-check convolutional codes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693711}, doi = {10.1109/ISCAS.2006.1693711}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BatesS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BatinaMPV06, author = {Lejla Batina and Nele Mentens and Bart Preneel and Ingrid Verbauwhede}, title = {Flexible hardware architectures for curve-based cryptography}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693714}, doi = {10.1109/ISCAS.2006.1693714}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BatinaMPV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BatraLB06, author = {Anuj Batra and Srinivas Lingam and Jaiganesh Balakrishnan}, title = {Multi-band {OFDM:} a cognitive radio for {UWB}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693529}, doi = {10.1109/ISCAS.2006.1693529}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BatraLB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BedeschiBBKPRT06, author = {Ferdinando Bedeschi and Chiara Boffino and Edoardo Bonizzoni and Osama Khouri and Giorgio Pollaccia and Claudio Resta and Guido Torelli}, title = {A low-ripple voltage tripler}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693194}, doi = {10.1109/ISCAS.2006.1693194}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BedeschiBBKPRT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BedeschiBBRTZ06, author = {Ferdinando Bedeschi and C. Boffmo and Edoardo Bonizzoni and Claudio Resta and Guido Torelli and Daniele Zella}, title = {Set-sweep programming pulse for phase-change memories}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692748}, doi = {10.1109/ISCAS.2006.1692748}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BedeschiBBRTZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BeilleauKA06, author = {Nicolas Beilleau and Abla Kammoun and Hassan Aboushady}, title = {Systematic design method for {LC} bandpass Sigma Delta modulators with feedback FIRDACs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692980}, doi = {10.1109/ISCAS.2006.1692980}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BeilleauKA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bellanger06, author = {Maurice G. Bellanger}, title = {Coefficient bias in constant modulus adaptive filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692776}, doi = {10.1109/ISCAS.2006.1692776}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Bellanger06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BelostotskiHV06, author = {Leonid Belostotski and James W. Haslett and B. Veidt}, title = {Wide-band {CMOS} low noise amplifier for applications in radio astronomy}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692843}, doi = {10.1109/ISCAS.2006.1692843}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BelostotskiHV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BenetosKK06, author = {Emmanouil Benetos and Margarita Kotti and Constantine Kotropoulos}, title = {Musical instrument classification using non-negative matrix factorization algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692967}, doi = {10.1109/ISCAS.2006.1692967}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BenetosKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerishaKS06, author = {Visar Berisha and Homin Kwon and Andreas Spanias}, title = {Real-time acoustic monitoring using wireless sensor motes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692718}, doi = {10.1109/ISCAS.2006.1692718}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerishaKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhattiDD06, author = {Rashed Zafar Bhatti and Monty Denneau and Jeff Draper}, title = {Phase measurement and adjustment of digital signals using random sampling technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693477}, doi = {10.1109/ISCAS.2006.1693477}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhattiDD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhuiyanAS06, author = {Mohammed Imamul Hassan Bhuiyan and M. Omair Ahmad and M. N. S. Swamy}, title = {Wavelet-based spatially adaptive method for despeckling {SAR} images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692936}, doi = {10.1109/ISCAS.2006.1692936}, timestamp = {Fri, 30 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhuiyanAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BienHMKGL06, author = {Franklin Bien and Youngsik Hur and Moonkyun Maeng and Hyoungsoo Kim and Edward Gebara and Joy Laskar}, title = {A reconfigurable fully-integrated 0.18{\(\mathrm{\mu}\)}m {CMOS} feed forward equalizer {IC} for 10-Gb/sec backplane links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693035}, doi = {10.1109/ISCAS.2006.1693035}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BienHMKGL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BilginM06, author = {Ali Bilgin and Michael W. Marcellin}, title = {{JPEG2000} for digital cinema}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693475}, doi = {10.1109/ISCAS.2006.1693475}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BilginM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiolekBD06, author = {Dalibor Biolek and Viera Biolkov{\'{a}} and Josef Dobes}, title = {Modeling of switched {DC-DC} converters by mixed s-z description}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692714}, doi = {10.1109/ISCAS.2006.1692714}, timestamp = {Thu, 01 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BiolekBD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bistritz06, author = {Yuval Bistritz}, title = {Testing a polynomial for zeros inside the unit-circle over the ring of Gaussian integers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693569}, doi = {10.1109/ISCAS.2006.1693569}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Bistritz06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BizzarriCS06, author = {Federico Bizzarri and L. Caruso and Marco Storace}, title = {Bifurcation analysis of a second-order impact model for forest fire prediction through a 1D-map}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693187}, doi = {10.1109/ISCAS.2006.1693187}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BizzarriCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BizzarriSS06, author = {Federico Bizzarri and Daniele Stellardo and Marco Storace}, title = {Experimental validation of the bifurcation analysis of a hysteresis oscillator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693551}, doi = {10.1109/ISCAS.2006.1693551}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BizzarriSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlaauwZ06, author = {David T. Blaauw and Bo Zhai}, title = {Energy efficient design for subthreshold supply voltage operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692514}, doi = {10.1109/ISCAS.2006.1692514}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlaauwZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlackH06, author = {Daniel J. Black and Reid R. Harrison}, title = {Power, clock, and data recovery in a wireless neural recording device}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693775}, doi = {10.1109/ISCAS.2006.1693775}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlackH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlakiewiczC06, author = {Grzegorz Blakiewicz and Malgorzata Chrzanowska{-}Jeske}, title = {Estimation of supply current spectrum for early noise evaluation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693543}, doi = {10.1109/ISCAS.2006.1693543}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlakiewiczC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BonninCCG06, author = {Michele Bonnin and Fernando Corinto and Pier Paolo Civalleri and Marco Gilli}, title = {Information and image processing through bio-inspired oscillatory cellular nonlinear networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692551}, doi = {10.1109/ISCAS.2006.1692551}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BonninCCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoonchuS06, author = {Boonchai Boonchu and Wanlop Surakampontorn}, title = {{CMOS} voltage-mode analog multiplier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693003}, doi = {10.1109/ISCAS.2006.1693003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoonchuS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BorkowskiK06, author = {Maciej Borkowski and Juha Kostamovaara}, title = {On randomization of digital delta-sigma modulators with {DC} inputs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693448}, doi = {10.1109/ISCAS.2006.1693448}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BorkowskiK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BosOFV06, author = {Chris van den Bos and Lu{\'{\i}}s Bica Oliveira and Jorge R. Fernandes and Chris J. M. Verhoeven}, title = {A 5-GHz combined oscillator/mixer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692528}, doi = {10.1109/ISCAS.2006.1692528}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BosOFV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoshraADT06, author = {Samy M. Boshra and Hazem M. Abbas and Ahmed M. Darwish and Ihab E. Talkhan}, title = {Performance and routability improvements for routability-driven {FPGA} routers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692641}, doi = {10.1109/ISCAS.2006.1692641}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoshraADT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BougasTASP06, author = {Paul Bougas and Andreas Tsirikos and Kostas Anagnostopoulos and Isidoros Sideris and Kiamal Z. Pekmestzi}, title = {Segmentation based design of serial parallel multipliers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692878}, doi = {10.1109/ISCAS.2006.1692878}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BougasTASP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouguezelAS06, author = {Saad Bouguezel and M. Omair Ahmad and M. N. S. Swamy}, title = {An efficient algorithm for the computation of the reverse jacket transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692780}, doi = {10.1109/ISCAS.2006.1692780}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouguezelAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BouguezelAS06a, author = {Saad Bouguezel and M. Omair Ahmad and M. N. S. Swamy}, title = {Design of a multidimensional split vector-radix decimation-in-frequency {FFT} algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693733}, doi = {10.1109/ISCAS.2006.1693733}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BouguezelAS06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BourdiBK06, author = {Taoufik Bourdi and Assaad Borjak and Izzet Kale}, title = {A modeling platform for efficient characterization of phase-locked loop Delta Sigma frequency synthesizers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693311}, doi = {10.1109/ISCAS.2006.1693311}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BourdiBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrahmbhattZQW06, author = {Avnish R. Brahmbhatt and Jingyi Zhang and Qinru Qiu and Qing Wu}, title = {Adaptive low-power bus encoding based on weighted code mapping}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692941}, doi = {10.1109/ISCAS.2006.1692941}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BrahmbhattZQW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BranasAC06, author = {Christian Bra{\~{n}}as and Francisco J. Azcondo and Rosario Casanueva}, title = {A generalized study of multiphase parallel resonant inverters for high-power applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693072}, doi = {10.1109/ISCAS.2006.1693072}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BranasAC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrannockWR06, author = {Evelyn Brannock and Michael Weeks and V. Rehder}, title = {Detecting filopodia with wavelets}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693517}, doi = {10.1109/ISCAS.2006.1693517}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrannockWR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrauerHBL06, author = {Elizabeth J. Brauer and Ilhan Hatirnaz and St{\'{e}}phane Badel and Yusuf Leblebici}, title = {Via-programmable expanded universal logic gate in {MCML} for structured {ASIC} applications: circuit design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693229}, doi = {10.1109/ISCAS.2006.1693229}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrauerHBL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BregovicSYL06, author = {Robert Bregovic and Tapio Saram{\"{a}}ki and Ya Jun Yu and Yong Ching Lim}, title = {An efficient implementation of linear-phase {FIR} filters for a rational sampling rate conversion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693853}, doi = {10.1109/ISCAS.2006.1693853}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BregovicSYL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BucciGLST06, author = {Marco Bucci and Luca Giancane and Raimondo Luzzi and Giuseppe Scotti and Alessandro Trifiletti}, title = {Enhancing power analysis attacks against cryptographic devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693232}, doi = {10.1109/ISCAS.2006.1693232}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BucciGLST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BucciGLVT06, author = {Marco Bucci and Luca Giancane and Raimondo Luzzi and Mario Varanonuovo and Alessandro Trifiletti}, title = {A novel concept for stateless random bit generators in cryptographic applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692586}, doi = {10.1109/ISCAS.2006.1692586}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BucciGLVT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuciuNP06, author = {Ioan Buciu and Nikos Nikolaidis and Ioannis Pitas}, title = {On the initialization of the {DNMF} algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693672}, doi = {10.1109/ISCAS.2006.1693672}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BuciuNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BurgHPLFF06, author = {Andreas Burg and Simon Haene and David Perels and Peter Luethi and Norbert Felber and Wolfgang Fichtner}, title = {Algorithm and {VLSI} architecture for linear {MMSE} detection in {MIMO-OFDM} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693531}, doi = {10.1109/ISCAS.2006.1693531}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BurgHPLFF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CabriniGT06, author = {Alessandro Cabrini and Laura Gobbi and Guido Torelli}, title = {Theoretical and experimental analysis of Dickson charge pump output resistance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693193}, doi = {10.1109/ISCAS.2006.1693193}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CabriniGT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CabriniMRS06, author = {Alessandro Cabrini and Franco Maloberti and Riccardo Rovatti and Gianluca Setti}, title = {On-line calibration of offset and gain mismatch in time-interleaved {ADC} using a sampled-data chaotic bit-stream}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693355}, doi = {10.1109/ISCAS.2006.1693355}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CabriniMRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CafagnaG06, author = {Donato Cafagna and Giuseppe Grassi}, title = {Hyperchaotic 3D-scroll attractors via Hermite polynomials: the Adomian decomposition approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692684}, doi = {10.1109/ISCAS.2006.1692684}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CafagnaG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiB06, author = {Xin Cai and Martin A. Brooke}, title = {A compact {CPU} architecture for sensor signal processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692518}, doi = {10.1109/ISCAS.2006.1692518}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiHSC06, author = {Zhaohui Cai and Jianzhong Hao and Sumei Sun and Francois Poshin Chin}, title = {A high-speed Reed-Solomon decoder for correction of both errors and erasures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692577}, doi = {10.1109/ISCAS.2006.1692577}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiHSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CalomardeMR06, author = {Antonio Calomarde and Diego Mateo and Antonio Rubio}, title = {High level spectral-based analysis of power consumption in DSPs systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693063}, doi = {10.1109/ISCAS.2006.1693063}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CalomardeMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CalvoCMS06, author = {Bel{\'{e}}n Calvo and Santiago Celma and Pedro A. Mart{\'{\i}}nez and Maria Teresa Sanz}, title = {1.8 {V-100} MHz {CMOS} programmable gain amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692895}, doi = {10.1109/ISCAS.2006.1692895}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CalvoCMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CameronMC06, author = {Katherine L. Cameron and Alan F. Murray and Steve Collins}, title = {Spike timing dependent adaptation for mismatch compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692812}, doi = {10.1109/ISCAS.2006.1692812}, timestamp = {Fri, 21 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CameronMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CampiZMBDDV06, author = {Fabio Campi and Paolo Zoffoli and Claudio Mucci and Massimo Bocchi and Antonio Deledda and Mario de Dominicis and Arseni Vitkovski}, title = {A stream register file unit for reconfigurable processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693425}, doi = {10.1109/ISCAS.2006.1693425}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CampiZMBDDV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CannilloTL06, author = {Francesco Cannillo and Chris Toumazou and Tor Sverre Lande}, title = {Bit stream processing for Delta-Sigma FM-to-digital converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693729}, doi = {10.1109/ISCAS.2006.1693729}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CannilloTL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CantoniW06, author = {Antonio Cantoni and Jacqueline Walker}, title = {Characterization of a metastability measurement system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693539}, doi = {10.1109/ISCAS.2006.1693539}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CantoniW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaoZL06, author = {Rui Cao and Yuanjin Zheng and Yong Lian}, title = {A {CFAR} synchronization scheme for impulse based {UWB} receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693685}, doi = {10.1109/ISCAS.2006.1693685}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaoZL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarbognaniBFKF06, author = {Flavio Carbognani and Felix B{\"{u}}rgin and Norbert Felber and Hubert Kaeslin and Wolfgang Fichtner}, title = {42{\%} power savings through glitch-reducing clocking strategy in a hearing aid application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693241}, doi = {10.1109/ISCAS.2006.1693241}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CarbognaniBFKF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CardarilliPRS06, author = {Gian Carlo Cardarilli and Salvatore Pontarelli and Marco Re and Adelio Salsano}, title = {Concurrent error detection in Reed Solomon decoders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692869}, doi = {10.1109/ISCAS.2006.1692869}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CardarilliPRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CardarilliPRS06a, author = {Gian Carlo Cardarilli and Salvatore Pontarelli and Marco Re and Adelio Salsano}, title = {Fault tolerant design of signed digit based {FIR} filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693208}, doi = {10.1109/ISCAS.2006.1693208}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CardarilliPRS06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CardarilliRRS06, author = {Gian Carlo Cardarilli and Andrea Del Re and Marco Re and Lorenzo Simone}, title = {Optimized {QPSK} modulator for {DVB-S} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692899}, doi = {10.1109/ISCAS.2006.1692899}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CardarilliRRS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarlosenaCBK06, author = {Alfonso Carlosena and Wing{-}Yee Chu and Bertan Bakkaloglu and Sayfe Kiaei}, title = {Randomized carrier {PWM} with exponential frequency mapping}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693769}, doi = {10.1109/ISCAS.2006.1693769}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CarlosenaCBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarnesM06, author = {Josh Carnes and Un{-}Ku Moon}, title = {The effect of switch resistance on pipelined {ADC} {MDAC} settling time}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693817}, doi = {10.1109/ISCAS.2006.1693817}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CarnesM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CarranzaLVCLRR06, author = {L. Carranza and R. Laviana and Sonia Vargas{-}Sierra and Jorge Cuadri and Gustavo Li{\~{n}}{\'{a}}n and Elisenda Roca and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Locust-inspired vision system on chip architecture for collision detection in automotive applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692994}, doi = {10.1109/ISCAS.2006.1692994}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CarranzaLVCLRR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CastaldoF06, author = {F. C. Castaldo and C. A. Reis Filho}, title = {Transversal noise current in split-drain transistors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693798}, doi = {10.1109/ISCAS.2006.1693798}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CastaldoF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CastelanMP06, author = {Eug{\^{e}}nio B. Castelan and Ubirajara F. Moreno and Edson R. de Pieri}, title = {Absolute stabilization of discrete-time systems with a sector bounded nonlinearity under control saturations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693282}, doi = {10.1109/ISCAS.2006.1693282}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CastelanMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CastonguayS06, author = {Ami Castonguay and Yvon Savaria}, title = {Architecture of a hypertransport tunnel}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693515}, doi = {10.1109/ISCAS.2006.1693515}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CastonguayS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CenkeramaddiY06, author = {Linga Reddy Cenkeramaddi and Trond Ytterdal}, title = {Jitter analysis of general charge sampling amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693821}, doi = {10.1109/ISCAS.2006.1693821}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CenkeramaddiY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CenturelliMT06, author = {Francesco Centurelli and Pietro Monsurr{\`{o}} and Alessandro Trifiletti}, title = {A model for the distortion due to switch on-resistance in sample-and-hold circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693701}, doi = {10.1109/ISCAS.2006.1693701}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CenturelliMT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CetinKM06, author = {Ediz {\c{C}}etin and Izzet Kale and Richard C. S. Morling}, title = {Efficient low-power design and implementation of IQ-imbalance compensator using early termination}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693753}, doi = {10.1109/ISCAS.2006.1693753}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CetinKM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaivipasMO06, author = {Win Chaivipas and Akira Matsuzawa and Philipus Chandra Oh}, title = {Feedforward compensation technique for all digital phase locked loop based synthesizers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693308}, doi = {10.1109/ISCAS.2006.1693308}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaivipasMO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChajiN06, author = {G. Reza Chaji and Arokia Nathan}, title = {High-precision, fast current source for large-area current-programmed a-Si flat panels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692642}, doi = {10.1109/ISCAS.2006.1692642}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChajiN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chakrabartty06, author = {Shantanu Chakrabartty}, title = {{CMOS} analog iterative decoders using margin propagation circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693755}, doi = {10.1109/ISCAS.2006.1693755}, timestamp = {Fri, 06 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Chakrabartty06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChakrabortyDSSMMP06, author = {Ashutosh Chakraborty and Karthik Duraisami and Ashoka Visweswara Sathanur and Prassanna Sithambaram and Alberto Macii and Enrico Macii and Massimo Poncino}, title = {Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692515}, doi = {10.1109/ISCAS.2006.1692515}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChakrabortyDSSMMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanC06, author = {Cheng{-}Ta Chan and Oscal T.{-}C. Chen}, title = {Inductor-less 10Gb/s {CMOS} transimpedance amplifier using source-follower regulated cascode and double three-order active feedback}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693876}, doi = {10.1109/ISCAS.2006.1693876}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanCCP06, author = {Chi{-}Hong Chan and Cheong{-}Fat Chan and Oliver Chiu{-}sing Choy and Kong{-}Pang Pun}, title = {A 6-digit {CMOS} current-mode analog-to-quaternary converter with {RSD} error correction algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693697}, doi = {10.1109/ISCAS.2006.1693697}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanCCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanLL06, author = {S. C. Chan and W. Y. Lau and Cheung H. Leung}, title = {A new recursive algorithm for estimating the adaptive function coefficients autoregressive {(AFAR)} models in impulsive noise environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693568}, doi = {10.1109/ISCAS.2006.1693568}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChanLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanS06, author = {Siu{-}Ping Chan and Ming{-}Ting Sun}, title = {Network condition detection for video transport over wireless Internet}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693279}, doi = {10.1109/ISCAS.2006.1693279}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanSL06, author = {Vincent Chan and Andr{\'{e}} van Schaik and Shih{-}Chii Liu}, title = {Spike response properties of an {AER} {EAR}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692721}, doi = {10.1109/ISCAS.2006.1692721}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanT06, author = {Shing{-}Chow Chan and Kai Man Tsui}, title = {The wordlength determination problem of linear time invariant systems with multiple outputs - a geometric programming approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693807}, doi = {10.1109/ISCAS.2006.1693807}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanZ06, author = {S. C. Chan and S. H. Zhao}, title = {Transmit/receive beamformer design and power control in {MIMO} {MC-CDMA} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692898}, doi = {10.1109/ISCAS.2006.1692898}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChanZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanZ06a, author = {S. C. Chan and Yi Zhou}, title = {Improved generalized-proportionate stepsize {LMS} algorithms and performance analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693087}, doi = {10.1109/ISCAS.2006.1693087}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChanZ06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanZZ06, author = {S. C. Chan and Z. G. Zhang and Yi Zhou}, title = {A new adaptive Kalman filter-based subspace tracking algorithm and its application to {DOA} estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692539}, doi = {10.1109/ISCAS.2006.1692539}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChanZZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chang06, author = {Chun{-}Ming Chang}, title = {Analytical synthesis of the digitally programmable voltage-mode {OTA-C} universal biquad}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693337}, doi = {10.1109/ISCAS.2006.1693337}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chang06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangC06, author = {Meng{-}chou Chang and Jung{-}Shan Chien}, title = {An adaptive search algorithm based on block classification for fast block motion estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693501}, doi = {10.1109/ISCAS.2006.1693501}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCV06, author = {Chip{-}Hong Chang and Jiajia Chen and A. Prasad Vinod}, title = {Maximum likelihood disjunctive decomposition to reduced multirooted {DAG} for {FIR} filter design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692660}, doi = {10.1109/ISCAS.2006.1692660}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCW06, author = {G. W. Chang and Cheng{-}Yi Chen and Meng{-}Chi Wu}, title = {Measuring harmonics by an improved FFT-based algorithm with considering frequency variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692807}, doi = {10.1109/ISCAS.2006.1692807}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangCW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangG06, author = {Kok{-}Leong Chang and Bah{-}Hwee Gwee}, title = {A low-energy low-voltage asynchronous 8051 microcontroller core}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693301}, doi = {10.1109/ISCAS.2006.1693301}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangYCY06, author = {Cheng{-}Yu Chang and You{-}Sheng Yeh and Pau{-}Choo Chung and Jar{-}Ferr Yang}, title = {A real-time vision-interactive guiding system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693104}, doi = {10.1109/ISCAS.2006.1693104}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangYCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanodiaV06, author = {Itisha Chanodia and Dimitrios Velenis}, title = {Effects of crosstalk noise on H-tree clock distribution networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693911}, doi = {10.1109/ISCAS.2006.1693911}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanodiaV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaoCCG06, author = {Kuo{-}Chuan Chao and Kuan{-}Hung Chen and Yuan{-}Sun Chu and Jiun{-}In Guo}, title = {Low-power mechanism with power block management}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693064}, doi = {10.1109/ISCAS.2006.1693064}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaoCCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaoH06, author = {Tzu{-}Chiang Chao and Wei Hwang}, title = {A 1.7mW all digital phase-locked loop with new gain generator and low power {DCO}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693721}, doi = {10.1109/ISCAS.2006.1693721}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaoH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaoM06, author = {Hio Leong Chao and Dongsheng Ma}, title = {{CMOS} variable-gain wide-bandwidth CMFB-free differential current feedback amplifier for ultrasound diagnostic applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692669}, doi = {10.1109/ISCAS.2006.1692669}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaoM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CharkhkarAL06, author = {Hamid Charkhkar and Alireza Asadi and Reza Lotfi}, title = {A 1.8V, 10-bit, 40MS/s MOSFET-only pipeline analog-to-digital converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693845}, doi = {10.1109/ISCAS.2006.1693845}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CharkhkarAL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CharlesA06, author = {Cameron T. Charles and David J. Allstot}, title = {A 2-GHz integrated {CMOS} reflective-type phase shifter with 675{\textdegree} control range}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692602}, doi = {10.1109/ISCAS.2006.1692602}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CharlesA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CharlesA06a, author = {Cameron T. Charles and David J. Allstot}, title = {A 2-GHz {CMOS} variable gain amplifier optimized for low noise}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693082}, doi = {10.1109/ISCAS.2006.1693082}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CharlesA06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CharlesA06b, author = {Cameron T. Charles and David J. Allstot}, title = {A variable-offset phase detector for phased-array applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693363}, doi = {10.1109/ISCAS.2006.1693363}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CharlesA06b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CheccoBVK06, author = {Paolo Checco and Mario Biey and G{\'{a}}bor Vattay and Ljupco Kocarev}, title = {Complex network topologies and synchronization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693166}, doi = {10.1109/ISCAS.2006.1693166}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CheccoBVK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CheccoC06, author = {Paolo Checco and Fernando Corinto}, title = {CNN-based algorithm for drusen identification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693051}, doi = {10.1109/ISCAS.2006.1693051}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CheccoC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenAHZ06, author = {Yan Chen and Oscar C. Au and Chi{-}Wang Ho and Jiantao Zhou}, title = {Spatio-temporal boundary matching algorithm for temporal error concealment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692678}, doi = {10.1109/ISCAS.2006.1692678}, timestamp = {Tue, 18 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenAHZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenAL06, author = {Yi Chen and Michael D. Adams and Wu{-}Sheng Lu}, title = {Design of optimal quincunx filter banks for image coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693016}, doi = {10.1109/ISCAS.2006.1693016}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenAL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC06, author = {Po{-}An Chen and Tzi{-}Dar Chiueh}, title = {Design of a low power mixed-signal {RAKE} receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693204}, doi = {10.1109/ISCAS.2006.1693204}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC06a, author = {Heng{-}Chou Chen and Oscal T.{-}C. Chen}, title = {Population fitness probability for effectively terminating the evolution operations of a genetic algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693447}, doi = {10.1109/ISCAS.2006.1693447}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCCC06, author = {Ching{-}Yeh Chen and Yi{-}Hau Chen and Chih{-}Chi Cheng and Liang{-}Gee Chen}, title = {Frame-level data reuse for motion-compensated temporal filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693897}, doi = {10.1109/ISCAS.2006.1693897}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCFC06, author = {Chun{-}Chia Chen and Yu{-}Wei Chang and Hung{-}Chi Fang and Liang{-}Gee Chen}, title = {Analysis of scalable architecture for the embedded block coding in {JPEG} 2000}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693158}, doi = {10.1109/ISCAS.2006.1693158}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCFC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCK06, author = {Zi{-}Ping Chen and Che{-}Hao Chuang and Ming{-}Dou Ker}, title = {Design on new tracking circuit of {I/O} buffer in 0.13{\(\mathrm{\mu}\)}m cell library for mixed-voltage application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693059}, doi = {10.1109/ISCAS.2006.1693059}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCL06, author = {Ke{-}Zhao Chen and Yao{-}Jen Chang and Chia{-}Wen Lin}, title = {Video-based face authentication using appearance models and HMMs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692635}, doi = {10.1109/ISCAS.2006.1692635}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCL06a, author = {Chih{-}Ming Chen and Yung{-}Chang Chen and Chia{-}Wen Lin}, title = {Error-resilience transcoding using content-aware intra-refresh based on profit tracing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693825}, doi = {10.1109/ISCAS.2006.1693825}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCL06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCTC06, author = {Tung{-}Chien Chen and Yu{-}Han Chen and Chuan{-}Yung Tsai and Liang{-}Gee Chen}, title = {Low power and power aware fractional motion estimation of {H.264/AVC} for mobile applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693837}, doi = {10.1109/ISCAS.2006.1693837}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCWG06, author = {Jia{-}Wei Chen and Kuan{-}Hung Chen and Jinn{-}Shyan Wang and Jiun{-}In Guo}, title = {A performance-aware {IP} core design for multimode transform coding using scalable-DA algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692982}, doi = {10.1109/ISCAS.2006.1692982}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCWG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenCY06, author = {R. Chen Jr. and Po{-}Lin Chiu and Hua{-}Lung Yang}, title = {Design and performance analysis of {DS-UWB} rake receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693683}, doi = {10.1109/ISCAS.2006.1693683}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenF06, author = {Guoqing Chen and Eby G. Friedman}, title = {Effective capacitance of {RLC} loads for estimating short-circuit power}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693022}, doi = {10.1109/ISCAS.2006.1693022}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenH06, author = {Wei{-}Zen Chen and Guan{-}Sheng Huang}, title = {A low power programmable {PRBS} generator and a clock multiplier unit for 10 Gbps serdes applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693324}, doi = {10.1109/ISCAS.2006.1693324}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHC06, author = {Hou{-}Ming Chen and Chih{-}Liang Huang and Robert Chen{-}Hao Chang}, title = {A new temperature-compensated {CMOS} bandgap reference circuit for portable applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692651}, doi = {10.1109/ISCAS.2006.1692651}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHH06, author = {Cheng{-}Liang Chen and Meng{-}Fen Ho and Chung{-}Lin Huang}, title = {Adaptive rate control for {H.264/AVC} using Kalman filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693172}, doi = {10.1109/ISCAS.2006.1693172}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenJCC06, author = {Zih{-}Heng Chen and Ming{-}Haw Jing and Jian{-}Hong Chen and Yaotsu Chang}, title = {New viewpoint of bit-serial/parallel normal basis multipliers using irreducible all-one polynomial}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692881}, doi = {10.1109/ISCAS.2006.1692881}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenJCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenKK06, author = {Fangjiong Chen and Sam Kwong and Chi{-}Wah Kok}, title = {Two-dimensional angle and polarization estimation using {ESPRIT} without pairing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692772}, doi = {10.1109/ISCAS.2006.1692772}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenL06, author = {Siyue Chen and Henry Leung}, title = {Concurrent data transmission through {PSTN} by {CDMA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693256}, doi = {10.1109/ISCAS.2006.1693256}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenL06a, author = {Jie Chen and Hua Li}, title = {Design methodology for hardware-efficient fault-tolerant nanoscale circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693386}, doi = {10.1109/ISCAS.2006.1693386}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenL06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLL06, author = {Rong{-}Jian Chen and Yi{-}Te Lai and Jui{-}Lin Lai}, title = {Architecture design and {VLSI} hardware implementation of image encryption/decryption system using re-configurable 2D Von Neumann cellular automata}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692545}, doi = {10.1109/ISCAS.2006.1692545}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLXHP06, author = {Du Chen and Yuan Li and Dongming Xu and John G. Harris and Jos{\'{e}} Carlos Pr{\'{\i}}ncipe}, title = {Asynchronous biphasic pulse signal coding and its {CMOS} realization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693079}, doi = {10.1109/ISCAS.2006.1693079}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLXHP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenN06, author = {Zhenzhong Chen and King Ngi Ngan}, title = {Towards rate-distortion tradeoff in real-time color video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693381}, doi = {10.1109/ISCAS.2006.1693381}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenNGZW06, author = {Zhibo Chen and Zhengang Nie and Xiaodong Gu and Lihua Zhu and Charles Wang}, title = {Fast global motion estimation based on iteration least-square estimation with sustained symmetrical structure}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693678}, doi = {10.1109/ISCAS.2006.1693678}, timestamp = {Wed, 24 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenNGZW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenPSS06, author = {Jianfeng Chen and Koksoon Phua and Ying Song and Louis Shue}, title = {A portable phonocardiographic fetal heart rate monitor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693041}, doi = {10.1109/ISCAS.2006.1693041}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenPSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenSC06, author = {Jason C. Chen and Chun{-}Fu Shen and Shao{-}Yi Chien}, title = {{CRISP:} coarse-grain reconfigurable image signal processor for digital still cameras}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693599}, doi = {10.1109/ISCAS.2006.1693599}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenSLCJC06, author = {Hsien{-}Ku Chen and J. R. Sha and Sung{-}Huang Lee and Da{-}Chiang Chang and Ying{-}Zong Juang and Chin{-}Fong Chin}, title = {A novel LNA-mixer design with on-chip balun}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693747}, doi = {10.1109/ISCAS.2006.1693747}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenSLCJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenTC06, author = {Yu{-}Jen Chen and Chen{-}Han Tsai and Liang{-}Gee Chen}, title = {Architecture design of area-efficient SRAM-based multi-symbol arithmetic encoder in {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693161}, doi = {10.1109/ISCAS.2006.1693161}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenV06, author = {Chun{-}Yang Chen and P. P. Vaidyanathan}, title = {Precoded {V-BLAST} for {ISI} {MIMO} channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692792}, doi = {10.1109/ISCAS.2006.1692792}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenW06, author = {Shuangching Chen and Shugang Wei}, title = {Weighted-to-residue and residue-to-weighted converters with three-moduli (2\({}^{\mbox{n}}\)-1, 2\({}^{\mbox{n}}\), 2\({}^{\mbox{n}}\)+1) signed-digit architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693347}, doi = {10.1109/ISCAS.2006.1693347}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWZW06, author = {Luonan Chen and Ruiqi Wang and Xiabo Zhou and S. Wong}, title = {Designing synthetic biological networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693595}, doi = {10.1109/ISCAS.2006.1693595}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWZW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenXY06, author = {Yuan Chen and Fei Xia and Alexandre Yakovlev}, title = {Virtual self-timed blocks for systems-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692998}, doi = {10.1109/ISCAS.2006.1692998}, timestamp = {Thu, 28 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenXY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZ06, author = {Wu{-}Hua Chen and Wei Xing Zheng}, title = {A study of complete stability for delayed cellular neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693318}, doi = {10.1109/ISCAS.2006.1693318}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZ06a, author = {Wu{-}Hua Chen and Wei Xing Zheng}, title = {Stability analysis for Cohen-Grossberg neural networks with time-varying delays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693413}, doi = {10.1109/ISCAS.2006.1693413}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZ06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZG06, author = {Sizhong Chen and Tong Zhang and Manish Goel}, title = {Relaxed tree search {MIMO} signal detection algorithm design and {VLSI} implementation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692793}, doi = {10.1109/ISCAS.2006.1692793}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengCCC06, author = {Chih{-}Chi Cheng and Ching{-}Yeh Chen and Yi{-}Hau Chen and Liang{-}Gee Chen}, title = {Analysis and {VLSI} architecture of update step in motion-compensated temporal filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693840}, doi = {10.1109/ISCAS.2006.1693840}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengCL06, author = {Wei{-}Hsiang Cheng and Chin{-}Lung Chuang and Chien{-}Nan Jimmy Liu}, title = {An efficient mechanism to provide full visibility for hardware debugging}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692709}, doi = {10.1109/ISCAS.2006.1692709}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengCYLT06, author = {Kuo{-}Hsing Cheng and Kai{-}Fei Chang and Yu{-}Lung Lo and Ching{-}Wen Lai and Yuh{-}Kuang Tseng}, title = {A 100MHz-1GHz adaptive bandwidth phase-locked loop in 90nm process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693307}, doi = {10.1109/ISCAS.2006.1693307}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengCYLT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengF06, author = {Jierong Cheng and Say Wei Foo}, title = {Markovian level set for echocardiographic image segmentation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693896}, doi = {10.1109/ISCAS.2006.1693896}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHJ06, author = {Kuo{-}Hsing Cheng and Chan{-}Wei Huang and Shu{-}Yu Jiang}, title = {Self-sampled vernier delay line for built-in clock jitter measurement}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692904}, doi = {10.1109/ISCAS.2006.1692904}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengKC06, author = {Chao{-}Chung Cheng and Chun{-}Wei Ku and Tian{-}Sheuan Chang}, title = {A 1280{\texttimes}720 pixels 30 frames/s {H.264/MPEG-4} {AVC} intra encoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693838}, doi = {10.1109/ISCAS.2006.1693838}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengKI06, author = {Hui Cheng and Arkady Kopansky and Michael A. Isnardi}, title = {Reduced resolution residual coding for H.264-based compression system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693377}, doi = {10.1109/ISCAS.2006.1693377}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengKI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengL06, author = {Chih{-}Jen Cheng and Shuenn{-}Yuh Lee}, title = {A low-voltage adaptive switched-current {SDM} for bio-acquisition microsystems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692592}, doi = {10.1109/ISCAS.2006.1692592}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengLS06, author = {Kin{-}On Cheng and Ngai{-}Fong Law and Wan{-}Chi Siu}, title = {Co-occurrence features of multi-scale directional filter bank for texture characterization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693879}, doi = {10.1109/ISCAS.2006.1693879}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengMHN06, author = {Michael K. Cheng and Bruce E. Moision and Jon Hamkins and Michael A. Nakashima}, title = {An interleaver implementation for the serially concatenated pulse-position modulation decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693566}, doi = {10.1109/ISCAS.2006.1693566}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengMHN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengZC06, author = {H. Cheng and Z. G. Zhang and S. C. Chan}, title = {Robust channel estimation and multiuser detection for {MC-CDMA} systems under narrowband interference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692897}, doi = {10.1109/ISCAS.2006.1692897}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChengZC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiMCCGE06, author = {Yu M. Chi and Udayan Mallik and Edward Choi and Matthew A. Clapp and G. Gauwenberghs and Ralph Etienne{-}Cummings}, title = {{CMOS} pixel-level {ADC} with change detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692918}, doi = {10.1109/ISCAS.2006.1692918}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiMCCGE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiSW06, author = {Baoyong Chi and Bingxue Shi and Zhihua Wang}, title = {A {CMOS} down-conversion micromixer for {IEEE} 802.11b {WLAN} transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693446}, doi = {10.1109/ISCAS.2006.1693446}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiSW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiYHXLW06, author = {Baoyong Chi and Jinke Yao and Shuguang Han and Xiang Xie and Guolin Li and Zhihua Wang}, title = {A 2.4GHz low power wireless transceiver analog front-end for endoscopy capsule system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693731}, doi = {10.1109/ISCAS.2006.1693731}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiYHXLW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangD06, author = {Tsung{-}Hsi Chiang and Lan{-}Rong Dung}, title = {System-level verification on high-level synthesis of dataflow graph}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692708}, doi = {10.1109/ISCAS.2006.1692708}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangHLC06, author = {Jen{-}Shiun Chiang and Chang{-}Yo Hsieh and Jin{-}Chan Liu and Cheng{-}Chih Chien}, title = {Concurrent bit-plane coding architecture for {EBCOT} in {JPEG2000}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693653}, doi = {10.1109/ISCAS.2006.1693653}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangHLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangLC06, author = {Jen{-}Shiun Chiang and Yi{-}Tsung Li and Hsin{-}Liang Chen}, title = {A 20-MS/s sigma delta modulator for 802.11a applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692978}, doi = {10.1109/ISCAS.2006.1692978}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiccaLDID06, author = {Elisabetta Chicca and Patrick Lichtsteiner and Tobi Delbr{\"{u}}ck and Giacomo Indiveri and Rodney J. Douglas}, title = {Modeling orientation selectivity using a neuromorphic multi-chip system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692815}, doi = {10.1109/ISCAS.2006.1692815}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiccaLDID06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChidambaramLQHH06, author = {Ramesh Chidambaram and Rene van Leuken and Marc Quax and Ingolf Held and Jos Huisken}, title = {A multistandard {FFT} processor for wireless system-on-chip implementations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692781}, doi = {10.1109/ISCAS.2006.1692781}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChidambaramLQHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChienKA06, author = {Wei{-}Jung Chien and Lina J. Karam and Glen P. Abousleman}, title = {Distributed video coding with 3D recursive search block matching}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693858}, doi = {10.1109/ISCAS.2006.1693858}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChienKA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChienLSG06, author = {Chih{-}Da Chien and Keng{-}Po Lu and Yi{-}Hung Shih and Jiun{-}In Guo}, title = {A high performance {CAVLC} encoder design for {MPEG-4} {AVC/H.264} video coding applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693465}, doi = {10.1109/ISCAS.2006.1693465}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChienLSG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChioUM06, author = {Kin{-}Sang Chio and Seng{-}Pan U. and Rui Paulo Martins}, title = {A dual-mode low-distortion sigma-delta modulator with relaxing comparator accuracy}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692979}, doi = {10.1109/ISCAS.2006.1692979}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChioUM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuS06, author = {Man{-}Yau Chiu and Wan{-}Chi Siu}, title = {New results on exhaustive search algorithm for motion estimation using adaptive partial distortion search and successive elimination algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693500}, doi = {10.1109/ISCAS.2006.1693500}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiuS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoCS06, author = {Junho Cho and Hoseok Chang and Wonyong Sung}, title = {An {FPGA} based {SIMD} processor with a vector memory unit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692638}, doi = {10.1109/ISCAS.2006.1692638}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoYK06, author = {Seunghyun Cho and Chang{-}Hyo Yu and Lee{-}Sup Kim}, title = {An efficient texture cache for programmable vertex shaders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693464}, doi = {10.1109/ISCAS.2006.1693464}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoYK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiGGA06, author = {Edward Choi and Zhiyong Gu and David H. Gracias and Andreas G. Andreou}, title = {Chip-scale magnetic sensing and control of nanoparticles and nanorods}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692836}, doi = {10.1109/ISCAS.2006.1692836}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChoiGGA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiKP06, author = {Hye{-}Mi Choi and Ji{-}Hoon Kim and In{-}Cheol Park}, title = {Low-power hybrid turbo decoding based on reverse calculation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693019}, doi = {10.1109/ISCAS.2006.1693019}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiLL06, author = {Joongho Choi and Jinup Lim and Cheng{-}Chew Lim}, title = {A low-voltage operational amplifier with high slew-rate for sigma-delta modulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692732}, doi = {10.1109/ISCAS.2006.1692732}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiLSA06, author = {Edward Choi and Yingkai Liu and Elisabeth Smela and Andreas G. Andreou}, title = {System for deposition and characterization of polypyrrole/gold bilayer hinges}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693382}, doi = {10.1109/ISCAS.2006.1693382}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiLSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouL06, author = {Chun{-}Hsien Chou and Kuo{-}Cheng Liu}, title = {A perceptually optimized watermarking scheme for color visual information}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693106}, doi = {10.1109/ISCAS.2006.1693106}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouTDHWC06, author = {Mei{-}Fen Chou and Wen{-}An Tsou and R. H. Dunn and Hsiang{-}Lin Huang and Kuei{-}Ann Wen and Chun{-}Yen Chang}, title = {A {CMOS} distributed amplifier with current reuse optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693275}, doi = {10.1109/ISCAS.2006.1693275}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouTDHWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChristenA06, author = {Jennifer Blain Christen and Andreas G. Andreou}, title = {Hybrid silicon/silicone (polydimethylsiloxane) microsystem for cell culture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692790}, doi = {10.1109/ISCAS.2006.1692790}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChristenA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuHCLLGC06, author = {Jui{-}Chin Chu and Chih{-}Wen Huang and He{-}Chun Chen and Keng{-}Po Lu and Ming{-}Shuan Lee and Jiun{-}In Guo and Tien{-}Fu Chen}, title = {Design of customized functional units for the VLIW-based multi-threading processor core targeted at multimedia applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693103}, doi = {10.1109/ISCAS.2006.1693103}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuHCLLGC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuLF06, author = {Chia{-}Chi Chu and Ming{-}Hong Lai and Wu{-}Shiung Feng}, title = {The global Lanczos method for {MIMO} interconnect order reductions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692782}, doi = {10.1109/ISCAS.2006.1692782}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuLF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuSLC06, author = {Yuan{-}Sun Chu and Hui{-}Kai Su and Po{-}Feng Lin and Ming{-}Jen Chen}, title = {High speed routing lookup {IC} design for IPv6}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692964}, doi = {10.1109/ISCAS.2006.1692964}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuSLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungK06, author = {B. Chung and J. B. Kuo}, title = {Gate-level dual-threshold static power optimization methodology {(GDSPOM)} for designing high-speed low-power {SOC} applications using 90nm {MTCMOS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693418}, doi = {10.1109/ISCAS.2006.1693418}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungP06, author = {Yuan{-}Hwui Chung and See{-}May Phoong}, title = {Linearly precoded {ST-OFDM} systems in the presence of {ISI}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692850}, doi = {10.1109/ISCAS.2006.1692850}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungYK06, author = {Kyusik Chung and Chang{-}Hyo Yu and Lee{-}Sup Kim}, title = {Vertex cache of programmable geometry processor for mobile multimedia application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692983}, doi = {10.1109/ISCAS.2006.1692983}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungYK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CiordasGRB06, author = {Calin Ciordas and Kees Goossens and Andrei Radulescu and Twan Basten}, title = {NoC monitoring: impact on the design flow}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693001}, doi = {10.1109/ISCAS.2006.1693001}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CiordasGRB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ClarkeGCC06, author = {Jonathan A. Clarke and Altaf Abdul Gaffar and George A. Constantinides and Peter Y. K. Cheung}, title = {Fast word-level power models for synthesis of FPGA-based arithmetic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692831}, doi = {10.1109/ISCAS.2006.1692831}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ClarkeGCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CodecasaDM06, author = {Lorenzo Codecasa and Dario D'Amore and Paolo Maffezzoni}, title = {Parametric compact models by directional moment matching}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692784}, doi = {10.1109/ISCAS.2006.1692784}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CodecasaDM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CohenP06, author = {Aaron E. Cohen and Keshab K. Parhi}, title = {Faster elliptic curve point multiplication based on a novel greedy base-2, 3 method}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693349}, doi = {10.1109/ISCAS.2006.1693349}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CohenP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConstandinouDT06, author = {Timothy G. Constandinou and Patrick Degenaar and Christofer Toumazou}, title = {An adaptable foveating vision chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693397}, doi = {10.1109/ISCAS.2006.1693397}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ConstandinouDT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConstandinouT06, author = {Timothy G. Constandinou and Chris Toumazou}, title = {A micropower vision processor for parallel object positioning and sizing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692742}, doi = {10.1109/ISCAS.2006.1692742}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ConstandinouT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConstantinescuGINID06, author = {Florin Constantinescu and Alexandru Gheorghe and C. D. Ioan and Miruna Nitescu and Mihai Iordache and Lucia Dumitriu}, title = {A new approach to the computation of reduced order models for one-port and two-port {RC} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693506}, doi = {10.1109/ISCAS.2006.1693506}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ConstantinescuGINID06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorsonelloPM06, author = {Pasquale Corsonello and Stefania Perri and Martin Margala}, title = {An integrated countermeasure against differential power analysis for secure smart-cards}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693907}, doi = {10.1109/ISCAS.2006.1693907}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CorsonelloPM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Costa-CastelloF06, author = {Ramon Costa{-}Castell{\'{o}} and Enric Fossas}, title = {On discretizing linear passive controllers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692715}, doi = {10.1109/ISCAS.2006.1692715}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Costa-CastelloF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cruz-BlasL06, author = {Carlos Aristoteles De la Cruz{-}Blas and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n}, title = {Compact power-efficient {CMOS} exponential voltage-to-voltage converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692891}, doi = {10.1109/ISCAS.2006.1692891}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cruz-BlasL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cruz-BlasLCHS06, author = {Carlos Aristoteles De la Cruz{-}Blas and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Alfonso Carlosena and Luis Hern{\'{a}}ndez and Arturo Sarmiento{-}Reyes}, title = {1.5-V square-root domain first-order filter with multiple operating points}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693002}, doi = {10.1109/ISCAS.2006.1693002}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Cruz-BlasLCHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiC06, author = {Aijiao Cui and Chip{-}Hong Chang}, title = {Stego-signature at logic synthesis level for digital design {IP} protection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693657}, doi = {10.1109/ISCAS.2006.1693657}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiW06, author = {Zhiqiang Cui and Zhongfeng Wang}, title = {A 170 Mbps (8176, 7156) quasi-cyclic {LDPC} decoder implementation with {FPGA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693778}, doi = {10.1109/ISCAS.2006.1693778}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiW06a, author = {Zhiqiang Cui and Zhongfeng Wang}, title = {Area-efficient parallel decoder architecture for high rate {QC-LDPC} codes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693781}, doi = {10.1109/ISCAS.2006.1693781}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiW06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CulurcielloA06, author = {Eugenio Culurciello and Andreas G. Andreou}, title = {3D integrated sensors in silicon-on-sapphire {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693744}, doi = {10.1109/ISCAS.2006.1693744}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CulurcielloA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CulurcielloPA06, author = {Eugenio Culurciello and Philippe O. Pouliquen and Andreas G. Andreou}, title = {Digital phase-shift modulation for an isolation buffer in silicon-on-sapphire {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693433}, doi = {10.1109/ISCAS.2006.1693433}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CulurcielloPA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CulurcielloS06, author = {Eugenio Culurciello and Andreas Savvides}, title = {Address-event image sensor network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692745}, doi = {10.1109/ISCAS.2006.1692745}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CulurcielloS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaiCG06, author = {Xin Dai and Degang Chen and Randall L. Geiger}, title = {Explicit characterization of bandgap references}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692650}, doi = {10.1109/ISCAS.2006.1692650}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaiCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaphtaryS06, author = {Milan Daphtary and Sameer Sonkusale}, title = {Broadband capacitive sensor {CMOS} interface circuit for dielectric spectroscopy}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693576}, doi = {10.1109/ISCAS.2006.1693576}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DaphtaryS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DarabihaCK06, author = {Ahmad Darabiha and Anthony Chan Carusone and Frank R. Kschischang}, title = {A bit-serial approximate min-sum {LDPC} decoder and {FPGA} implementation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692544}, doi = {10.1109/ISCAS.2006.1692544}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DarabihaCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasG06, author = {Ashok Kumar Das and S. K. Ghosh}, title = {A bidirectional linear semi-systolic architecture for DCT-domain image resizing processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692985}, doi = {10.1109/ISCAS.2006.1692985}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasM06, author = {Tejasvi Das and Ponnathpur R. Mukund}, title = {Self-calibration of gain and output match in LNAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693750}, doi = {10.1109/ISCAS.2006.1693750}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DavoudiJ06, author = {Ali Davoudi and Juri Jatskevich}, title = {State-space averaging of switched-inductor-cell for {PWM} dc-dc converters considering conduction losses in both operational modes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692713}, doi = {10.1109/ISCAS.2006.1692713}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DavoudiJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeeseN06, author = {Anthony S. Deese and Chika O. Nwankpa}, title = {Emulation of power system load dynamic behavior through reconfigurable analog circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692929}, doi = {10.1109/ISCAS.2006.1692929}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeeseN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DelbruckL06, author = {Tobi Delbr{\"{u}}ck and Patrick Lichtsteiner}, title = {Fully programmable bias current generator with 24 bit resolution per bias}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693218}, doi = {10.1109/ISCAS.2006.1693218}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DelbruckL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DengHS06, author = {Shihong Deng and Yamu Hu and Mohamad Sawan}, title = {A high data rate {QPSK} demodulator for inductively powered electronics implants}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693150}, doi = {10.1109/ISCAS.2006.1693150}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DengHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeogunSN06, author = {Harmander Deogun and Dennis Sylvester and Kevin J. Nowka}, title = {Fine grained multi-threshold {CMOS} for enhanced leakage reduction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693468}, doi = {10.1109/ISCAS.2006.1693468}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeogunSN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DeparisLRR06, author = {Nicolas Deparis and Christophe Loyez and Nathalie Rolland and Paul{-}Alain Rolland}, title = {Pulse generator for {UWB} communication and radar applications with {PPM} and time hopping possibilities}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692672}, doi = {10.1109/ISCAS.2006.1692672}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DeparisLRR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DesiletsSB06, author = {T. Desilets and Mohamad Sawan and Fran{\c{c}}ois Bellemare}, title = {Wireless esophageal catheter dedicated to respiratory diseases diagnostic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693151}, doi = {10.1109/ISCAS.2006.1693151}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DesiletsSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DewasurendraBP06, author = {Duminda A. Dewasurendra and Peter H. Bauer and Kamal Premaratne}, title = {Distributed evidence filtering: the recursive case}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693687}, doi = {10.1109/ISCAS.2006.1693687}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DewasurendraBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiduckLAM06, author = {Quentin Diduck and John C. Liobe and Sadeka Ali and Martin Margala}, title = {Process tolerant calibration circuit for {PLL} applications with {BIST}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693125}, doi = {10.1109/ISCAS.2006.1693125}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DiduckLAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DimitrakopoulosMGN06, author = {Giorgos Dimitrakopoulos and Christos Mavrokefalidis and Costas Galanopoulos and Dimitris Nikolos}, title = {Fast bit permutation unit for media enhanced microprocessors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692519}, doi = {10.1109/ISCAS.2006.1692519}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DimitrakopoulosMGN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DimitroulakosGG06, author = {Grigoris Dimitroulakos and Michalis D. Galanis and Constantinos E. Goutis}, title = {Resource constrained modulo scheduling for coarse-grained reconfigurable arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693231}, doi = {10.1109/ISCAS.2006.1693231}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DimitroulakosGG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DineCAH06, author = {H. Dine and S. Chuang and Phillip E. Allen and Paul E. Hasler}, title = {A rail to rail, slew-boosted pre-charge buffer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692523}, doi = {10.1109/ISCAS.2006.1692523}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DineCAH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingCC06, author = {Zih{-}Yin Ding and Chi{-}Yun Chen and Tzi{-}Dar Chiueh}, title = {Design of a {MIMO-OFDM} baseband receiver for next-generation wireless {LAN}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693917}, doi = {10.1109/ISCAS.2006.1693917}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DinizBW06, author = {Paulo S. R. Diniz and Rozalvo P. Braga and Stefan Werner}, title = {Set-membership affine projection algorithm for echo cancellation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692608}, doi = {10.1109/ISCAS.2006.1692608}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DinizBW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DinizNOS06, author = {Filipe C. C. Beltrao Diniz and Sergio L. Netto and Paulo M. T. de Oliveira and M{\'{a}}rcio N. de Souza}, title = {On the wavelet-based elimination of stimulus artifacts in click-evoked otoacoustic emissions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692907}, doi = {10.1109/ISCAS.2006.1692907}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DinizNOS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DiviW06, author = {Vijay Divi and Gregory W. Wornell}, title = {Scalable blind calibration of timing skew in high-resolution time-interleaved ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693353}, doi = {10.1109/ISCAS.2006.1693353}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DiviW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DjuricBF06, author = {Petar M. Djuric and Miroslav Begovic and Joshua Perkel}, title = {Prediction of power equipment failures based on chronological failure records}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692808}, doi = {10.1109/ISCAS.2006.1692808}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DjuricBF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DominguezADT06, author = {Miguel Angel Dom{\'{\i}}nguez and Jos{\'{e}} L. Aus{\'{\i}}n and J. Francisco Duque{-}Carrillo and Guido Torelli}, title = {A high-quality sine-wave oscillator for analog built-in self-testing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693369}, doi = {10.1109/ISCAS.2006.1693369}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DominguezADT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DonHHL06, author = {Jie Don and Yu Hu and Yinhe Han and Xiaowei Li}, title = {An on-chip combinational decompressor for reducing test data volume}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692871}, doi = {10.1109/ISCAS.2006.1692871}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DonHHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongHW06, author = {Chen Dong and S. Haruehanroengra and Wei Wang}, title = {Exploring carbon nanotubes and NiSi nanowires as on-chip interconnections}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693383}, doi = {10.1109/ISCAS.2006.1693383}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DongZH06, author = {Sheqin Dong and Shuyi Zheng and Xianlong Hong}, title = {Floorplanning for 2.5-D system integration using multi-layer-BSG structure}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693902}, doi = {10.1109/ISCAS.2006.1693902}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DongZH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanGK06, author = {Chunjie Duan and Kanupriya Gulati and Sunil P. Khatri}, title = {Memory-based crosstalk canceling CODECs for on-chip buses}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692786}, doi = {10.1109/ISCAS.2006.1692786}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanGK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanK06, author = {Chunjie Duan and Sunil P. Khatri}, title = {Computing during supply voltage switching in {DVS} enabled real-time processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693783}, doi = {10.1109/ISCAS.2006.1693783}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanZZM06, author = {Zhisheng Duan and Jingxin Zhang and Cishen Zhang and Edoardo Mosca}, title = {A simple design method of H\({}_{\mbox{infinity}}\) reduced-order filters for stochastic systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693130}, doi = {10.1109/ISCAS.2006.1693130}, timestamp = {Wed, 15 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanZZM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuanZZM06a, author = {Zhisheng Duan and Jingxin Zhang and Cishen Zhang and Edoardo Mosca}, title = {Reduced-order H\({}_{\mbox{infinity}}\) and H\({}_{\mbox{2}}\) design of multirate filter banks using {PDLF} method}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693856}, doi = {10.1109/ISCAS.2006.1693856}, timestamp = {Wed, 15 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuanZZM06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuboulozRRDO06, author = {Samuel Dubouloz and Alberto Rabbachin and S{\'{e}}bastien de Rivaz and Beno{\^{\i}}t Denis and Laurent Ouvry}, title = {Performance analysis of low complexity solutions for {UWB} low data rate impulse radio}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693115}, doi = {10.1109/ISCAS.2006.1693115}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuboulozRRDO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DufauxBFN06, author = {Fr{\'{e}}d{\'{e}}ric Dufaux and Giuseppe Baruffa and Fabrizio Frescura and Didier Nicholson}, title = {{JPWL} - an extension of {JPEG} 2000 for wireless imaging}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693473}, doi = {10.1109/ISCAS.2006.1693473}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DufauxBFN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuignanC06, author = {Roisin Duignan and Paul F. Curran}, title = {An investigation on the stability of n-D Lur'e systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693189}, doi = {10.1109/ISCAS.2006.1693189}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuignanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DulgerFMFBF06, author = {Fikret D{\"{u}}lger and Sher Jiun Fang and Ahmed Nader Mohieldin and Paul Fontaine and Abdellatif Bellaouar and Michel Frechette}, title = {A quad-band receiver for {GSM/GPRS/EDGE} in 90 nm digital {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693270}, doi = {10.1109/ISCAS.2006.1693270}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DulgerFMFBF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DumortierGS06, author = {C. Dumortier and Benoit Gosselin and Mohamad Sawan}, title = {Wavelet transforms dedicated to compress recorded ENGs from multichannel implants: comparative architectural study}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693038}, doi = {10.1109/ISCAS.2006.1693038}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DumortierGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuongPKL06, author = {Quoc{-}Hoang Duong and T.{-}J. Park and E.{-}J. Kim and Sang{-}Gug Lee}, title = {An all {CMOS} 743 MHz variable gain amplifier for {UWB} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692676}, doi = {10.1109/ISCAS.2006.1692676}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DuongPKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DupontRC06, author = {Louis Dupont and S{\'{e}}bastien Roy and Jean{-}Yves Chouinard}, title = {A {FPGA} implementation of an elliptic curve cryptosystem}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692640}, doi = {10.1109/ISCAS.2006.1692640}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DupontRC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DupretVD06, author = {Antoine Dupret and Marius Vasiliu and Francis Devos}, title = {Performance and power analysis on asynchronous reading of binary arrays}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693935}, doi = {10.1109/ISCAS.2006.1693935}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DupretVD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EbendtD06, author = {R{\"{u}}diger Ebendt and Rolf Drechsler}, title = {On the sensitivity of BDDs with respect to path-related objective functions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693784}, doi = {10.1109/ISCAS.2006.1693784}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EbendtD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Economakos06, author = {George Economakos}, title = {Behavioral synthesis with SystemC and {PSL} assertions for interface specification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692711}, doi = {10.1109/ISCAS.2006.1692711}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Economakos06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EconomakosA06, author = {George Economakos and Kostas Anagnostopoulos}, title = {Bit level architectural exploration technique for the design of low power multipliers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692877}, doi = {10.1109/ISCAS.2006.1692877}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EconomakosA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Efthivoulidis06, author = {G. Efthivoulidis}, title = {Linear switched-capacitor circuit theorems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693092}, doi = {10.1109/ISCAS.2006.1693092}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Efthivoulidis06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EgizianoFGPSV06, author = {Luigi Egiziano and Nicola Femia and D. Granozio and Giovanni Petrone and Giovanni Spagnuolo and Massimo Vitelli}, title = {Photovoltaic inverters with Perturb{\&}Observe {MPPT} technique and one-cycle control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693435}, doi = {10.1109/ISCAS.2006.1693435}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EgizianoFGPSV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EkekweEK06, author = {Ndubuisi Ekekwe and Ralph Etienne{-}Cummings and Peter Kazanzides}, title = {A configurable {VLSI} chip for {DC} motor control for compact, low-current robotic systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693080}, doi = {10.1109/ISCAS.2006.1693080}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EkekweEK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-GharnitiKB06, author = {Ouail El{-}Gharniti and Eric Kerherve and Jean{-}Baptiste B{\'{e}}gueret}, title = {Design and modeling of on-chip monolithic transformers with patterned ground shield}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693084}, doi = {10.1109/ISCAS.2006.1693084}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-GharnitiKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-Maleh06, author = {Aiman El{-}Maleh}, title = {An efficient test vector compression technique based on block merging}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692868}, doi = {10.1109/ISCAS.2006.1692868}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-Maleh06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-MalehSK06, author = {Aiman H. El{-}Maleh and Sadiq M. Sait and F. Nawaz Khan}, title = {Finite state machine state assignment for area and power minimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693830}, doi = {10.1109/ISCAS.2006.1693830}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-MalehSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/El-MoursyF06, author = {Magdy A. El{-}Moursy and Eby G. Friedman}, title = {Optimum wire tapering for minimum power dissipation in {RLC} interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692628}, doi = {10.1109/ISCAS.2006.1692628}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/El-MoursyF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Eloranta06, author = {P. Eloranta}, title = {A 14-bit D/A-converter with digital calibration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692534}, doi = {10.1109/ISCAS.2006.1692534}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Eloranta06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElshazlyS06, author = {Amr Elshazly and Khaled M. Sharaf}, title = {2 GHz 1V sub-mW, fully integrated {PLL} for clock recovery applications using self-skewing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693309}, doi = {10.1109/ISCAS.2006.1693309}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElshazlyS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ErikssonLSSJS06, author = {Henrik Eriksson and Per Larsson{-}Edefors and Mary Sheeran and Magnus Sj{\"{a}}lander and Daniel Johansson and Martin Scholin}, title = {Multiplier reduction tree with logarithmic logic depth and regular connectivity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692508}, doi = {10.1109/ISCAS.2006.1692508}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ErikssonLSSJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ErturkC06, author = {Sarp Ert{\"{u}}rk and Tae{-}Gyu Chang}, title = {Wavelet domain one-bit transform for low-complexity motion estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693498}, doi = {10.1109/ISCAS.2006.1693498}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ErturkC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EscovarOS06, author = {Rafael Escovar and Salvador Ortiz and Roberto Suaya}, title = {Mutual inductance between intentional inductors: closed form expressions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693117}, doi = {10.1109/ISCAS.2006.1693117}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EscovarOS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EsmaeilzadehMELNF06, author = {Hadi Esmaeilzadeh and A. Moghimi and Eiman Ebrahimi and Caro Lucas and Zainalabedin Navabi and A. M. Fakhraie}, title = {DCim++: a {C++} library for object oriented hardware design and distributed simulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692827}, doi = {10.1109/ISCAS.2006.1692827}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/EsmaeilzadehMELNF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EsmaeilzadehSALF06, author = {Hadi Esmaeilzadeh and Pooya Saeedi and Babak Nadjar Araabi and Caro Lucas and Seid Mehdi Fakhraie}, title = {Neural network stream processing core (NnSP) for embedded systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693199}, doi = {10.1109/ISCAS.2006.1693199}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/EsmaeilzadehSALF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EvansFVC06, author = {A. Evans and Miriam Fern{\'{a}}ndez and David Vallet and Pablo Castells}, title = {Adaptive multimedia access: from user needs to semantic personalisation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693030}, doi = {10.1109/ISCAS.2006.1693030}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EvansFVC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FabrisCB06, author = {Eric E. Fabris and Luigi Carro and Sergio Bampi}, title = {Reconfigurable analog interface for mixed signal {SOC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693371}, doi = {10.1109/ISCAS.2006.1693371}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FabrisCB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FaisalBZ06, author = {Md. Ibrahim Faisal and Magdy A. Bayoumi and Peiyi Zhao}, title = {A low-power clock frequency multiplier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692880}, doi = {10.1109/ISCAS.2006.1692880}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FaisalBZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalconiG06, author = {Christian Falconi and Gianluca Giustolisi}, title = {Analysis of power supply gain of {CMOS} bandgap references}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692647}, doi = {10.1109/ISCAS.2006.1692647}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalconiG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalconiZPMDDSF06, author = {Christian Falconi and Emiliano Zampetti and S. Pantalei and Eugenio Martinelli and Corrado Di Natale and Arnaldo D'Amico and Vincenzo Stornelli and Giuseppe Ferri}, title = {Temperature and flow velocity control for quartz crystal microbalances}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693604}, doi = {10.1109/ISCAS.2006.1693604}, timestamp = {Mon, 01 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FalconiZPMDDSF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FalkowskiLR06, author = {Bogdan J. Falkowski and Cicilia C. Lozano and Susanto Rahardja}, title = {Efficient computation of fixed polarity arithmetic expansions for ternary functions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693108}, doi = {10.1109/ISCAS.2006.1693108}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FalkowskiLR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FanZYWZ06, author = {Yibo Fan and Xiaoyang Zeng and Yu Yu and Gang Wang and Qianling Zhang}, title = {A modified high-radix scalable Montgomery multiplier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693351}, doi = {10.1109/ISCAS.2006.1693351}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FanZYWZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fang06, author = {Wai{-}Chi Fang}, title = {Lossless data compression core design for integrated space data and communication system-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692581}, doi = {10.1109/ISCAS.2006.1692581}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fang06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FangC06, author = {Ding{-}Yu Fang and Long{-}Wen Chang}, title = {Data hiding for digital video with phase of motion vector}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692862}, doi = {10.1109/ISCAS.2006.1692862}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FangC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FarquharGH06, author = {Ethan Farquhar and Christal Gordon and Paul E. Hasler}, title = {A field programmable neural array}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693534}, doi = {10.1109/ISCAS.2006.1693534}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FarquharGH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FattahZA06, author = {Shaikh Anowarul Fattah and Wei{-}Ping Zhu and M. Omair Ahmad}, title = {A blind identification technique for noisy {ARMA} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693891}, doi = {10.1109/ISCAS.2006.1693891}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FattahZA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FemiaPSV06, author = {Nicola Femia and Giovanni Petrone and Giovanni Spagnuolo and Massimo Vitelli}, title = {One-cycle control of converters operating in {DCM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692716}, doi = {10.1109/ISCAS.2006.1692716}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FemiaPSV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengX06, author = {Jiuchao Feng and Shengli Xie}, title = {An unscented-transform-based filtering algorithm for noisy contaminated chaotic signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693067}, doi = {10.1109/ISCAS.2006.1693067}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FengX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengZ06, author = {Da{-}Zheng Feng and Wei Xing Zheng}, title = {An adaptive algorithm for fast identification of {FIR} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693089}, doi = {10.1109/ISCAS.2006.1693089}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FengZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengZ06a, author = {Da{-}Zheng Feng and Wei Xing Zheng}, title = {An efficient algorithm for blind separation of multiple independent sources}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693556}, doi = {10.1109/ISCAS.2006.1693556}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FengZ06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengZL06, author = {Bin Feng and Guangxi Zhu and Wenyu Liu}, title = {Fast adaptive inter-prediction mode decision method for {H.264} based on spatial correlation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692957}, doi = {10.1109/ISCAS.2006.1692957}, timestamp = {Wed, 11 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FengZL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengZR06, author = {Xingle Feng and Shihua Zhu and Pinyi Ren}, title = {Hybrid order detection algorithm for {V-BLAST} system employing adaptive modulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692605}, doi = {10.1109/ISCAS.2006.1692605}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FengZR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerraginaGM06, author = {Vincenzo Ferragina and Nicola Ghittori and Franco Maloberti}, title = {Low-power 6-bit flash {ADC} for high-speed data converters architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693488}, doi = {10.1109/ISCAS.2006.1693488}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerraginaGM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FikosNS06, author = {George Fikos and Lazaros Nalpantidis and Stilianos Siskos}, title = {A low-voltage, analog power-law function generator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693460}, doi = {10.1109/ISCAS.2006.1693460}, timestamp = {Fri, 22 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FikosNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FilanovskyAOF06, author = {Igor M. Filanovsky and Ahmed Allam and Lu{\'{\i}}s Bica Oliveira and Jorge R. Fernandes}, title = {Quadrature Van der Pol oscillators using second harmonic coupling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692922}, doi = {10.1109/ISCAS.2006.1692922}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FilanovskyAOF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FilhoSJC06, author = {Eddie B. L. Filho and Eduardo A. B. da Silva and Waldir S. S. Junior and Murilo B. de Carvalho}, title = {{ECG} compression using multiscale recurrent patterns with period normalization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692908}, doi = {10.1109/ISCAS.2006.1692908}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FilhoSJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FortiGNP06, author = {Mauro Forti and Massimo Grazzini and Paolo Nistri and Luca Pancioni}, title = {A result on global convergence in finite time for nonsmooth neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692696}, doi = {10.1109/ISCAS.2006.1692696}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FortiGNP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreitasCADMR06, author = {Henrique C. Freitas and Milene Barbosa Carvalho and Alexandre Marques Amaral and Amanda R. M. Diniz and Carlos Augusto Paiva da Silva Martins and Luiz Eduardo da Silva Ramos}, title = {Reconfigurable crossbar switch architecture for network processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693516}, doi = {10.1109/ISCAS.2006.1693516}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FreitasCADMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FresseBA06, author = {Virginie Fresse and Nathalie Bochard and Alain Aubert}, title = {System on chip {FPGA} designs of a parameterized particle image velocimetry algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693305}, doi = {10.1109/ISCAS.2006.1693305}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FresseBA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FreyL06, author = {Matthias Frey and Hans{-}Andrea Loeliger}, title = {On flash A/D-converters with low-precision comparators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693487}, doi = {10.1109/ISCAS.2006.1693487}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FreyL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FrustaciCPC06, author = {Fabio Frustaci and Pasquale Corsonello and Stefania Perri and Giuseppe Cocorullo}, title = {Leakage energy reduction techniques in deep submicron cache memories: a comparative study}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693467}, doi = {10.1109/ISCAS.2006.1693467}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FrustaciCPC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuA06, author = {Bo Fu and Paul Ampadu}, title = {Techniques for robust energy efficient subthreshold domino {CMOS} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692818}, doi = {10.1109/ISCAS.2006.1692818}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuC06, author = {Zhengming Fu and Eugenio Culurciello}, title = {An ultra-low power silicon-on-sapphire {ADC} for energy-scavenging sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692884}, doi = {10.1109/ISCAS.2006.1692884}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuHH06, author = {Chih{-}Ming Fu and Wen{-}Liang Hwang and Chung{-}Lin Huang}, title = {Error concealment protection for loss resilient bitplane-coded video communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692841}, doi = {10.1109/ISCAS.2006.1692841}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujishimaS06, author = {Minoru Fujishima and Masahiro Shimura}, title = {On-chip high-speed solver of inverse problems based on quantum-computing principle}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693170}, doi = {10.1109/ISCAS.2006.1693170}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FujishimaS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FukuharaY06, author = {Masaaki Fukuhara and Masahiro Yoshida}, title = {Power consumption of a Hamming distance search {CAM} using neuron {MOS} transistors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693591}, doi = {10.1109/ISCAS.2006.1693591}, timestamp = {Tue, 19 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FukuharaY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FungKK06, author = {Carrson C. Fung and Man{-}Wai Kwan and Chi{-}Wah Kok}, title = {{HOS} based minimal transmit redundancy space-time {FIR} precoder-blind equalizer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693552}, doi = {10.1109/ISCAS.2006.1693552}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FungKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FurberTB06, author = {Stephen B. Furber and Steve Temple and Andrew D. Brown}, title = {On-chip and inter-chip networks for modeling large-scale neural systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692992}, doi = {10.1109/ISCAS.2006.1692992}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FurberTB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalanisDG06, author = {Michalis D. Galanis and Grigoris Dimitroulakos and Constantinos E. Goutis}, title = {Mapping {DSP} applications on processor/coarse-grain reconfigurable array architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693422}, doi = {10.1109/ISCAS.2006.1693422}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalanisDG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaldinoAC06, author = {Juraci Ferreira Galdino and J. A. Apolin{\'{a}}rio Jr. and Marcello L. R. de Campos}, title = {A set-membership {NLMS} algorithm with time-varying error bound}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692576}, doi = {10.1109/ISCAS.2006.1692576}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaldinoAC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalhardoGP06, author = {Acacio Galhardo and Jo{\~{a}}o Goes and Nuno F. Paulino}, title = {Novel linearization technique for low-distortion high-swing {CMOS} switches with improved reliability}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693006}, doi = {10.1109/ISCAS.2006.1693006}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalhardoGP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Galias06, author = {Zbigniew Galias}, title = {Short periodic orbits and topological entropy for the Chua's circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692923}, doi = {10.1109/ISCAS.2006.1692923}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Galias06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Galias06a, author = {Zbigniew Galias}, title = {Finite switching frequency effects in the sliding mode control of the double integrator system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693042}, doi = {10.1109/ISCAS.2006.1693042}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Galias06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GammelGK06, author = {Berndt M. Gammel and Rainer G{\"{o}}ttfert and O. Kniffler}, title = {An NLFSR-based stream cipher}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693235}, doi = {10.1109/ISCAS.2006.1693235}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GammelGK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GangasaniK06, author = {G. Reddy Gangasani and Peter R. Kinget}, title = {Injection-lock dynamics in non-harmonic oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692925}, doi = {10.1109/ISCAS.2006.1692925}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GangasaniK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoKWD06, author = {Pei Gao and Li Chin Khor and Wai Lok Woo and Satnam Singh Dlay}, title = {Two-stage series-based neural network approach to nonlinear independent component analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693644}, doi = {10.1109/ISCAS.2006.1693644}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoKWD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoYYM06, author = {Zhiqiang Gao and Mingyan Yu and Yizheng Ye and Jianguo Ma}, title = {A {CMOS} bandpass filter with wide-tuning range for wireless applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692723}, doi = {10.1109/ISCAS.2006.1692723}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoYYM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GargK06, author = {Rajesh Garg and Sunil P. Khatri}, title = {Generalized buffering of {PTL} logic stages using Boolean division}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693908}, doi = {10.1109/ISCAS.2006.1693908}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GargK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarridoPMM06, author = {Josep Soler Garrido and Robert J. Piechocki and Koushik Maharatna and Darren P. McNamara}, title = {{MIMO} detection in analog {VLSI}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693728}, doi = {10.1109/ISCAS.2006.1693728}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GarridoPMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarsteckiLS06, author = {Pawel Garstecki and Adam Luczak and Marta Stepniewska}, title = {A bit-serial implementation of mode decision algorithm for {AVC} encoders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693466}, doi = {10.1109/ISCAS.2006.1693466}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GarsteckiLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GarudaI06, author = {Chetty Garuda and Mohammed Ismail}, title = {A multiband {CMOS} {RF} front-end for 4G WiMAX and {WLAN} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693268}, doi = {10.1109/ISCAS.2006.1693268}, timestamp = {Sun, 08 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GarudaI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GastonRH06, author = {James Gaston and Kaamran Raahemifar and Peter Hiscocks}, title = {A cooperative network of reconfigurable stair-climbing robots}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693144}, doi = {10.1109/ISCAS.2006.1693144}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GastonRH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeCS06, author = {Tong Ge and Joseph Sylvester Chang and Wei Shu}, title = {Modeling and analysis of {PSRR} in analog {PWM} class {D} amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692853}, doi = {10.1109/ISCAS.2006.1692853}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgiouAP06, author = {Julius Georgiou and Andreas G. Andreou and Philippe O. Pouliquen}, title = {A mixed analog/digital asynchronous processor for cortical computations in 3D {SOI-CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693743}, doi = {10.1109/ISCAS.2006.1693743}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgiouAP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GeorgiouT06, author = {Pantelis Georgiou and Christofer Toumazou}, title = {Towards an ultra low power chemically inspired electronic beta cell for diabetes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692550}, doi = {10.1109/ISCAS.2006.1692550}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GeorgiouT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GerfersOS06, author = {Friedel Gerfers and Maurits Ortmanns and P. Schmitz}, title = {A transistor-based clock jitter insensitive {DAC} architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693010}, doi = {10.1109/ISCAS.2006.1693010}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GerfersOS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GerosaBNX06, author = {Andrea Gerosa and Andrea Bevilacqua and Andrea Neviani and Andrea Xotta}, title = {An optimal architecture for a multimode ADC, based on the cascade of a Sigma Delta modulator and a flash converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692653}, doi = {10.1109/ISCAS.2006.1692653}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GerosaBNX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhallabB06, author = {Yehya H. Ghallab and Wael M. Badawy}, title = {A single {CMOS} chip for biocell trapping, levitation, detection and characterization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693343}, doi = {10.1109/ISCAS.2006.1693343}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhallabB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GharpureyHV06, author = {Ranjit Gharpurey and Junghwan Han and S. Venkataraman}, title = {A low-power signal-recycling mixer and baseband amplifier with current reuse}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693748}, doi = {10.1109/ISCAS.2006.1693748}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GharpureyHV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhittoriVMDB06, author = {Nicola Ghittori and Andrea Vigna and Piero Malcovati and Stefano D'Amico and Andrea Baschirotto}, title = {Analog baseband channel for GSM/UMTS/WLAN/Bluetooth reconfigurable multistandard terminals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693580}, doi = {10.1109/ISCAS.2006.1693580}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhittoriVMDB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhoneimaIKD06, author = {Maged Ghoneima and Yehea I. Ismail and Muhammad M. Khellah and Vivek De}, title = {Reducing the data switching activity of serialized datastreams}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692760}, doi = {10.1109/ISCAS.2006.1692760}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhoneimaIKD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhouwayelLP06, author = {Ali Chamas Al Ghouwayel and Yves Lou{\"{e}}t and Jacques Palicot}, title = {A reconfigurable architecture for the {FFT} operator in a software radio context}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692552}, doi = {10.1109/ISCAS.2006.1692552}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhouwayelLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ghovanloo06, author = {Maysam Ghovanloo}, title = {Switched-capacitor based implantable low-power wireless microstimulating systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693055}, doi = {10.1109/ISCAS.2006.1693055}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ghovanloo06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiancolaDB06, author = {Guerino Giancola and Daniele Domenicali and Maria{-}Gabriella Di Benedetto}, title = {Application of fluid time hopping coding to multiple access in ultra wide band sensor networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693114}, doi = {10.1109/ISCAS.2006.1693114}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GiancolaDB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GianniniCCCDB06, author = {Vito Giannini and Jan Craninckx and J. Compiet and Boris Come and Stefano D'Amico and Andrea Baschirotto}, title = {Fully reconfigurable active-Gm-RC biquadratic cells for software defined radio applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692768}, doi = {10.1109/ISCAS.2006.1692768}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GianniniCCCDB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiannopoulosP06, author = {Theodoros Giannopoulos and Vassilis Paliouras}, title = {A novel technique for low-power {D/A} conversion based on {PAPR} reduction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693754}, doi = {10.1109/ISCAS.2006.1693754}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GiannopoulosP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GinesPR06, author = {Antonio J. Gin{\'{e}}s and Eduardo J. Peral{\'{\i}}as and Adoraci{\'{o}}n Rueda}, title = {Statistical analysis of a background correlation-based technique for full calibration of pipeline ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693819}, doi = {10.1109/ISCAS.2006.1693819}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GinesPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GirardiCB06, author = {Alessandro Girardi and Fernando da Rocha Paix{\~{a}}o Cortes and Sergio Bampi}, title = {A tool for automatic design of analog circuits based on gm/I\({}_{\mbox{D}}\) methodology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693665}, doi = {10.1109/ISCAS.2006.1693665}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GirardiCB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GobbiCT06, author = {Laura Gobbi and Alessandro Cabrini and Guido Torelli}, title = {Impact of parasitic elements on {CMOS} charge pumps: a numerical analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693287}, doi = {10.1109/ISCAS.2006.1693287}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GobbiCT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GolikeriNW06, author = {Adarsh Golikeri and Panos Nasiopoulos and Z. Jane Wang}, title = {An improved scalar quantization-based digital video watermarking scheme for {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692865}, doi = {10.1109/ISCAS.2006.1692865}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GolikeriNW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GolonekGR06, author = {Tomasz Golonek and Damian Grzechca and Jerzy Rutkowski}, title = {Application of genetic programming to edge detector design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693675}, doi = {10.1109/ISCAS.2006.1693675}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GolonekGR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gomez-RodriguezPLRMVJC06, author = {Francisco Gomez{-}Rodriguez and Rafael Paz and Alejandro Linares{-}Barranco and Manuel Rivas and Lourdes Miro{-}Amarante and Saturnino Vicente and Gabriel Jim{\'{e}}nez and Ant{\'{o}}n Civit}, title = {{AER} tools for communications and debugging}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693319}, doi = {10.1109/ISCAS.2006.1693319}, timestamp = {Thu, 14 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Gomez-RodriguezPLRMVJC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Gomez-VilardeboPL06, author = {Jes{\'{u}}s G{\'{o}}mez{-}Vilardeb{\'{o}} and Ana I. P{\'{e}}rez{-}Neira and Miguel Angel Lagunas}, title = {Average rate behavior for cooperative diversity in wireless networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693854}, doi = {10.1109/ISCAS.2006.1693854}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Gomez-VilardeboPL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GoodB06, author = {Tim Good and Mohammed Benaissa}, title = {{AES} as stream cipher on a small {FPGA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692639}, doi = {10.1109/ISCAS.2006.1692639}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GoodB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GordonPBBH06, author = {Christal Gordon and Amanda Preyer and Karolyn Babalola and Robert J. Butera and Paul E. Hasler}, title = {An artificial synapse for interfacing to biological neurons}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692787}, doi = {10.1109/ISCAS.2006.1692787}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GordonPBBH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GosselinAS06, author = {Benoit Gosselin and Amer E. Ayoub and Mohamad Sawan}, title = {A low-power bioamplifier with a new active {DC} rejection scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693052}, doi = {10.1109/ISCAS.2006.1693052}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GosselinAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GouraryRUZM06, author = {Mark M. Gourary and Sergey G. Rusakov and Sergey L. Ulyanov and Michael M. Zharov and Brian J. Mulvaney}, title = {Spice-oriented iterative technique for distortion analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693503}, doi = {10.1109/ISCAS.2006.1693503}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GouraryRUZM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrabowskiGB06, author = {Darius Grabowski and Christoph Grimm and Erich Barke}, title = {Semi-symbolic modeling and simulation of circuits and systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692752}, doi = {10.1109/ISCAS.2006.1692752}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrabowskiGB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GradS06, author = {Johannes Grad and James E. Stine}, title = {Low power binary addition using carry increment adders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692511}, doi = {10.1109/ISCAS.2006.1692511}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GradS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GranhaugAL06, author = {Kristian Granhaug and Snorre Aunet and Tor Sverre Lande}, title = {Body-bias regulator for ultra low power multifunction {CMOS} gates}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692820}, doi = {10.1109/ISCAS.2006.1692820}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GranhaugAL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrassiMB06, author = {Marco Grassi and Piero Malcovati and Andrea Baschirotto}, title = {Wide-range integrated gas sensor interface based on a resistance-to-number converter technique with the oscillator decoupled from the input device}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693603}, doi = {10.1109/ISCAS.2006.1693603}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrassiMB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrassoPP06, author = {Alfio Dario Grasso and Gaetano Palumbo and Salvatore Pennisi}, title = {Active reversed nested Miller compensation for three-stage amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692734}, doi = {10.1109/ISCAS.2006.1692734}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrassoPP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GruevSE06, author = {Viktor Gruev and Jan Van der Spiegel and Nader Engheta}, title = {Image sensor with focal plane extraction of polarimetric information}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692560}, doi = {10.1109/ISCAS.2006.1692560}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GruevSE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GruevSPE06, author = {Viktor Gruev and Jan Van der Spiegel and Ralf M. Philipp and Ralph Etienne{-}Cummings}, title = {Image sensor with general spatial processing in a 3D integrated circuit technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693745}, doi = {10.1109/ISCAS.2006.1693745}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GruevSPE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GruevWSE06, author = {Viktor Gruev and Kejia Wu and Jan Van der Spiegel and Nader Engheta}, title = {Fabrication of a thin film micro polarization array}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692559}, doi = {10.1109/ISCAS.2006.1692559}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GruevWSE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrybosISM06, author = {Pawel Grybos and Marek Idzik and Krzysztof Swientek and Piotr Maj}, title = {Integrated charge sensitive amplifier with pole-zero cancellation circuit for high rates}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693005}, doi = {10.1109/ISCAS.2006.1693005}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrybosISM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GrzechcaGR06, author = {Damian Grzechca and Tomasz Golonek and Jerzy Rutkowski}, title = {Analog fault {AC} dictionary creation - the fuzzy set approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693940}, doi = {10.1109/ISCAS.2006.1693940}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GrzechcaGR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuanWITWZ06, author = {Xiaokang Guan and Albert Z. Wang and Akira Ishikawa and Satoru Tamura and Kaoru Takasuka and Zhihua Wang and Chun Zhang}, title = {A 3V 110{\(\mathrm{\mu}\)}W 3.1 ppm/{\textdegree}C curvature-compensated {CMOS} bandgap reference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693221}, doi = {10.1109/ISCAS.2006.1693221}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuanWITWZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuiJH06, author = {Guofu Gui and Ling{-}ge Jiang and Chen He}, title = {A new watermarking system for joint ownership verification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693943}, doi = {10.1109/ISCAS.2006.1693943}, timestamp = {Thu, 05 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuiJH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Guillou06, author = {Y. Le Guillou}, title = {The effects of quantizer metastability on the {SNR} of continuous-time Sigma Delta modulators with return-to-zero switched current {DAC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693007}, doi = {10.1109/ISCAS.2006.1693007}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Guillou06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GulatiJK06, author = {Kanupriya Gulati and Nikhil Jayakumar and Sunil P. Khatri}, title = {A probabilistic method to determine the minimum leakage vector for combinational designs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693066}, doi = {10.1109/ISCAS.2006.1693066}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GulatiJK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GulatiLK06, author = {Kanupriya Gulati and M. Lovell and Sunil P. Khatri}, title = {Efficient don't care computation for hierarchical designs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693265}, doi = {10.1109/ISCAS.2006.1693265}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GulatiLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GulluUE06, author = {M. Kemal G{\"{u}}ll{\"{u}} and Oguzhan Urhan and Sarp Ert{\"{u}}rk}, title = {Scratch detection via temporal coherency analysis and removal using edge priority based interpolation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693652}, doi = {10.1109/ISCAS.2006.1693652}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GulluUE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GunaratneB06, author = {Thushara K. Gunaratne and Leonard T. Bruton}, title = {Tracking broadband plane waves using 2D adaptive {FIR} fan filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693735}, doi = {10.1109/ISCAS.2006.1693735}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GunaratneB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GundersenB06, author = {Henning Gundersen and Yngvar Berg}, title = {A novel ternary more, less and equality circuit using recharged semi-floating gate devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693298}, doi = {10.1109/ISCAS.2006.1693298}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GundersenB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoLWG06, author = {Xun Guo and Yan Lu and Feng Wu and Wen Gao}, title = {Distributed video coding using wavelet}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693861}, doi = {10.1109/ISCAS.2006.1693861}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuoLWG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaSKKP06, author = {A. K. Gupta and Edgar S{\'{a}}nchez{-}Sinencio and S. Karthikeyan and Wern Ming Koe and Yong{-}In Park}, title = {Second order dynamic element matching technique for low oversampling delta sigma {ADC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693249}, doi = {10.1109/ISCAS.2006.1693249}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuptaSKKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaSP06, author = {Nikhil Gupta and M. N. S. Swamy and Eugene I. Plotkin}, title = {Video noise reduction in the wavelet domain using temporal decorrelation and adaptive thresholding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693655}, doi = {10.1109/ISCAS.2006.1693655}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuptaSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GurijalaDJ06, author = {Aparna Gurijala and John R. Deller Jr. and Dale Joachim}, title = {Robustness optimization of parametric speech watermarking}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692575}, doi = {10.1109/ISCAS.2006.1692575}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GurijalaDJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuzGY06, author = {{\"{U}}mit G{\"{u}}z and Hakan G{\"{u}}rkan and B. Siddik Yarman}, title = {A new speech modeling method: {SYMPES}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692971}, doi = {10.1109/ISCAS.2006.1692971}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuzGY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaK06, author = {Kyung{-}Soo Ha and Lee{-}Sup Kim}, title = {Charge-pump reducing current mismatch in DLLs and PLLs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693061}, doi = {10.1109/ISCAS.2006.1693061}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaddadiGL06, author = {Kamel Haddadi and David Glay and Tuami Lasri}, title = {Homodyne dual six-port network analyzer and associated calibration technique for millimeter wave measurements}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693756}, doi = {10.1109/ISCAS.2006.1693756}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaddadiGL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaeneBPLFF06, author = {Simon Haene and Andreas Burg and David Perels and Peter Luethi and Norbert Felber and Wolfgang Fichtner}, title = {Silicon implementation of an MMSE-based soft demapper for {MIMO-BICM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693155}, doi = {10.1109/ISCAS.2006.1693155}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaeneBPLFF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaftbaradaranM06, author = {Afshin Haftbaradaran and Kenneth W. Martin}, title = {Mismatch compensation techniques using random data for time-interleaved {A/D} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693356}, doi = {10.1109/ISCAS.2006.1693356}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaftbaradaranM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HagaMK06, author = {Yasutaka Haga and Richard C. S. Morling and Izzet Kale}, title = {A new bulk-driven input stage design for sub 1-volt {CMOS} op-amps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692893}, doi = {10.1109/ISCAS.2006.1692893}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HagaMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaiderOGH06, author = {Samiran Halder and Sabbir A. Osmany and Hans Gustat and Bernd Heinemann}, title = {A 10GS/s 2V\({}_{\mbox{pp}}\) emitter follower only track and hold amplifier in SiGe BiCMOS technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693698}, doi = {10.1109/ISCAS.2006.1693698}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HaiderOGH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Haigh06, author = {David G. Haigh}, title = {Analytic approach to or transformations for {FET} circuit synthesis. Part I. Nullator-norator tree transformations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693812}, doi = {10.1109/ISCAS.2006.1693812}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Haigh06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Haigh06a, author = {David G. Haigh}, title = {Analytic approach to or transformations for {FET} circuit synthesis. Part {II.} Nullator-norator re-pairing and cloning}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693813}, doi = {10.1109/ISCAS.2006.1693813}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Haigh06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaighCR06, author = {David G. Haigh and Thomas J. W. Clarke and Paul M. Radmore}, title = {A mathematical framework for active circuits based on port equivalence using limit variables}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693243}, doi = {10.1109/ISCAS.2006.1693243}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HaighCR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamCCPNLB06, author = {Jeroen Van Ham and Wim Claes and Michel De Cooman and Robert Puers and I. Naertcu and Carl Van Lierde and L. Beckers}, title = {Design and integration of a remotely programmable dental monitoring device}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693147}, doi = {10.1109/ISCAS.2006.1693147}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamCCPNLB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamLJLYCCLH06, author = {Seogheon Ham and Yonghee Lee and Wunki Jung and Seunghyun Lim and Kwisung Yoo and Youngcheol Chae and Jihyun Cho and Dongmyung Lee and Gunhee Han}, title = {{CMOS} image sensor with analog gamma correction using nonlinear single-slope {ADC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693400}, doi = {10.1109/ISCAS.2006.1693400}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamLJLYCCLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamiltonJS06, author = {Tara Julia Hamilton and Craig T. Jin and Andr{\'{e}} van Schaik}, title = {An analysis of matching in the Tau cell log-domain filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692612}, doi = {10.1109/ISCAS.2006.1692612}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HamiltonJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanC06, author = {Pyung{-}Su Han and Woo{-}Young Choi}, title = {1.25/2.5-Gb/s burst-mode clock recovery circuit with a novel dual bit-rate structure in 0.18{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693273}, doi = {10.1109/ISCAS.2006.1693273}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HanCCP06, author = {Wei Han and Cheong{-}Fat Chan and Oliver Chiu{-}sing Choy and Kong{-}Pang Pun}, title = {An efficient {MFCC} extraction method in speech recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692543}, doi = {10.1109/ISCAS.2006.1692543}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HanCCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HardmanO06, author = {Lynda Hardman and Jacco van Ossenbruggen}, title = {Creating meaningful multimedia presentations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693031}, doi = {10.1109/ISCAS.2006.1693031}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HardmanO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HarpeZHR06, author = {Pieter Harpe and Athon Zanikopoulos and Hans Hegt and Arthur H. M. van Roermund}, title = {Digital post-correction of front-end track-and-hold circuits in ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692882}, doi = {10.1109/ISCAS.2006.1692882}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HarpeZHR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hasan06, author = {Mohammed A. Hasan}, title = {Higher order convergent algorithms with applications to polynomials and matrices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693430}, doi = {10.1109/ISCAS.2006.1693430}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hasan06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hasan06a, author = {Mohammed A. Hasan}, title = {Differential and geometric properties of Rayleigh quotients with applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693559}, doi = {10.1109/ISCAS.2006.1693559}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hasan06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HashemiSS06, author = {Saeid Hashemi and Mohamad Sawan and Yvon Savaria}, title = {A power planning model for implantable stimulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693237}, doi = {10.1109/ISCAS.2006.1693237}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HashemiSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HatzopoulosSGS06, author = {Alkis A. Hatzopoulos and Stefanos Stefanou and Georges G. E. Gielen and Dominique Schreurs}, title = {Assessment of parameter extraction methods for integrated inductor design and model validation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692662}, doi = {10.1109/ISCAS.2006.1692662}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HatzopoulosSGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HayasakaM06, author = {Noboru Hayasaka and Yoshikazu Miyanaga}, title = {Spectrum filtering with {FRM} for robust speech recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693327}, doi = {10.1109/ISCAS.2006.1693327}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HayasakaM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HayashiH06, author = {Kazuma Hayashi and Takashi Hisakado}, title = {Signal expression based on equivalence of time resolution and quantization level}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693374}, doi = {10.1109/ISCAS.2006.1693374}, timestamp = {Tue, 15 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HayashiH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeC06, author = {Yajuan He and Chip{-}Hong Chang}, title = {A low-power, high-speed RB-to-NB converter for fast redundant binary multiplier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693107}, doi = {10.1109/ISCAS.2006.1693107}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HePCC06, author = {Xiao{-}Yong He and Kong{-}Pang Pun and Oliver Chiu{-}sing Choy and Cheong{-}Fat Chan}, title = {A 0.5V fully differential {OTA} with local common feedback}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692896}, doi = {10.1109/ISCAS.2006.1692896}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HePCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeRF06, author = {Zhiyong He and S{\'{e}}bastien Roy and Paul Fortier}, title = {Encoder architecture with throughput over 10 Gbit/sec for quasi-cyclic {LDPC} codes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693323}, doi = {10.1109/ISCAS.2006.1693323}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeRF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeZ06, author = {Yejun He and Guangxi Zhu}, title = {On the performance of TPC-based {STBC} coded {MIMO-OFDM} system over {IMT2000} channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693646}, doi = {10.1109/ISCAS.2006.1693646}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HekmatMH06, author = {Mohammad Hekmat and Shahriar Mirabbasi and Majid Hashemi}, title = {On the behaviour of passive guard-rings in lightly doped substrates}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692826}, doi = {10.1109/ISCAS.2006.1692826}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HekmatMH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HellfeldKS06, author = {Marcus Hellfeld and J{\"{o}}rg Krupar and Wolfgang M. Schwarz}, title = {An improved {PDS} calculation procedure for hybrid systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693283}, doi = {10.1109/ISCAS.2006.1693283}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HellfeldKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HernandezPR06, author = {Luis Hern{\'{a}}ndez and Enrique Prefasi and Pieter Rombouts}, title = {A continuous-time band-pass Sigma Delta modulator implemented in 0.35{\(\mathrm{\mu}\)}m BiCMOS using transmission lines}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693608}, doi = {10.1109/ISCAS.2006.1693608}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HernandezPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HernandezPW06, author = {Luis Hern{\'{a}}ndez and Susana Pat{\'{o}}n and Andreas Wiesbauer}, title = {Spectral shaping of clock jitter errors for continuous time sigma-delta modulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693009}, doi = {10.1109/ISCAS.2006.1693009}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HernandezPW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HillC06, author = {David J. Hill and Guanrong Chen}, title = {Power systems as dynamic networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692687}, doi = {10.1109/ISCAS.2006.1692687}, timestamp = {Mon, 13 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HillC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HinamotoOL06, author = {Takao Hinamoto and Osemekhian I. Omoifo and Wu{-}Sheng Lu}, title = {Realization of {MIMO} linear discrete-time systems with minimum L\({}_{\mbox{2}}\)-sensitivity and no overflow oscillations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693808}, doi = {10.1109/ISCAS.2006.1693808}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HinamotoOL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HiratsukaGI06, author = {Seiichiro Hiratsuka and Satoshi Goto and Takeshi Ikenaga}, title = {An ultra-low complexity motion estimation algorithm and its implementation of specific processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693677}, doi = {10.1109/ISCAS.2006.1693677}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HiratsukaGI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoACWY06, author = {Chi{-}Wang Ho and Oscar C. Au and S.{-}H. Gary Chan and Hoi{-}Ming Wong and Shu{-}Kei Yip}, title = {Improved refinement search for {H.263} to {H.264/AVC} transcoding based on the minimum cost tendency search}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693823}, doi = {10.1109/ISCAS.2006.1693823}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoACWY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoIKIN06, author = {Derek Ho and Kris Iniewski and Soraya Kasnavi and A. Ivanov and S. Natarajan}, title = {Ultra-low power 90nm 6T {SRAM} cell for wireless sensor network applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693538}, doi = {10.1109/ISCAS.2006.1693538}, timestamp = {Sun, 08 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoIKIN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoL06, author = {Ka{-}yau Ho and Shu{-}hung Leung}, title = {Generalized semi-blind channel estimator for {TCM-OFDM} system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692554}, doi = {10.1109/ISCAS.2006.1692554}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoangNHLH06, author = {Viet{-}Hoang Le and Trung{-}Kien Nguyen and Seok{-}Kyun Han and Sang{-}Gug Lee and S. B. Hyun}, title = {Low power high linearity transmitter front-end for 900 MHz Zigbee applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692912}, doi = {10.1109/ISCAS.2006.1692912}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HoangNHLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HobsonK06, author = {Paola Hobson and Yiannis Kompatsiaris}, title = {Advances in semantic multimedia analysis for personalised content access}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693027}, doi = {10.1109/ISCAS.2006.1693027}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HobsonK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HollisM06, author = {Simon Hollis and Simon W. Moore}, title = {An area-efficient, pulse-based interconnect}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693120}, doi = {10.1109/ISCAS.2006.1693120}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HollisM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HonarmandJSA06, author = {Nima Honarmand and M. Reza Javaheri and Naser Sedaghati{-}Mokhtari and Ali Afzali{-}Kusha}, title = {Power efficient sequential multiplication using pre-computation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693183}, doi = {10.1109/ISCAS.2006.1693183}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HonarmandJSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HondaFK06, author = {Kazutaka Honda and Masanori Furuta and Shoji Kawahito}, title = {A 1V 10b 125MSample/s {A/D} Converter Using Cascade Amp-Sharing and Capacitance Coupling Techniues}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, pages = {1031--1034}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692764}, doi = {10.1109/ISCAS.2006.1692764}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HondaFK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongHK06, author = {Ju{-}Pyo Hong and Kyung{-}Soo Ha and Lee{-}Sup Kim}, title = {A 0.18{\(\mathrm{\mu}\)}m {CMOS} 10Gb/s 1: 4 {DEMUX} using replica-bias circuits for optical receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693931}, doi = {10.1109/ISCAS.2006.1693931}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HongHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HopkinsM06, author = {Andrew B. T. Hopkins and Klaus D. McDonald{-}Maier}, title = {Debug support for embedded processor reuse}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692870}, doi = {10.1109/ISCAS.2006.1692870}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HopkinsM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoranG06, author = {David M. Horan and Richard A. Guinee}, title = {A novel pseudorandom binary sequence generator for keystream generation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692845}, doi = {10.1109/ISCAS.2006.1692845}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoranG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Horiuchi06, author = {Timothy K. Horiuchi}, title = {A neural model for sonar-based navigation in obstacle fields}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693640}, doi = {10.1109/ISCAS.2006.1693640}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Horiuchi06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HorngCS06, author = {Jiun{-}Wei Horng and Hung{-}Pin Chou and Iun{-}Cheng Shiu}, title = {Current-mode and voltage-mode quadrature oscillator employing multiple outputs CCIIs and grounded capacitors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692617}, doi = {10.1109/ISCAS.2006.1692617}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HorngCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HosakaISY06, author = {Ryosuke Hosaka and Tohru Ikeguchi and Yutaka Sakai and Shuji Yoshizawa}, title = {A new classification of neuron models for random inputs on bifurcation structures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693191}, doi = {10.1109/ISCAS.2006.1693191}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HosakaISY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiaoCCLC06, author = {Pei{-}Yung Hsiao and Chia{-}Hsiung Chen and Shin{-}Shian Chou and Le{-}Tien Li and Sao{-}Jie Chen}, title = {A parameterizable digital-approximated 2D Gaussian smoothing filter for edge detection in noisy image}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693303}, doi = {10.1109/ISCAS.2006.1693303}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiaoCCLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiaoHLCC06, author = {Sen{-}Wen Hsiao and Yen{-}Chih Huang and David Liang and Hung{-}Wei Kevin Chen and Hsin{-}Shu Chen}, title = {A 1.5-V 10-ppm/{\textdegree}C 2nd-order curvature-compensated {CMOS} bandgap reference with trimming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692648}, doi = {10.1109/ISCAS.2006.1692648}, timestamp = {Thu, 01 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HsiaoHLCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehS06, author = {Ming{-}Ta Hsieh and Gerald E. Sobelman}, title = {Modeling and verification of high-speed wired links with Verilog-AMS}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693032}, doi = {10.1109/ISCAS.2006.1693032}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuC06, author = {Yuan Tai Hsu and Long{-}Wen Chang}, title = {A new construction algorithm of visual crytography for gray level images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692864}, doi = {10.1109/ISCAS.2006.1692864}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuDHTP06, author = {Heng{-}Ming Hsu and Ching{-}Liang Dai and Ming{-}Ming Hsieh and Ming{-}Chang Tsai and Hsuan{-}Jung Peng}, title = {Implementation and analysis of microwave switch in {CMOS-MEMS} technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693077}, doi = {10.1109/ISCAS.2006.1693077}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuDHTP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuK06, author = {Ta{-}Tao Hsu and Chien{-}Nan Kuo}, title = {Low voltage 2-mW 6{\textasciitilde}10.6-GHz ultra-wideband {CMOS} mixer with active balun}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693930}, doi = {10.1109/ISCAS.2006.1693930}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuL06, author = {Ching{-}Tung Hsu and Jin{-}Jang Leou}, title = {A new motion-compensated error concealment scheme for {MPEG-4} video transmission}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693224}, doi = {10.1109/ISCAS.2006.1693224}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuW06, author = {Chao{-}Yuan Hsu and Wen{-}Rong Wu}, title = {A low-complexity {ICI} mitigation method for high-speed mobile {OFDM} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693632}, doi = {10.1109/ISCAS.2006.1693632}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuWLH06, author = {Chun{-}Lung Hsu and Yu{-}Kuan Wu and Yi{-}Ting Lai and Mean{-}Horn Ho}, title = {Design of current-mode resonator for wireless applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692889}, doi = {10.1109/ISCAS.2006.1692889}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuWLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Hu06, author = {Jiawen Hu}, title = {A clock recovery circuit for blind equalization multi-Gbps serial data links}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693795}, doi = {10.1109/ISCAS.2006.1693795}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Hu06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuLW06, author = {Sanqing Hu and Derong Liu and Jun Wang}, title = {Sequential blind extraction of instantaneous mixtures with arbitrary rank}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693642}, doi = {10.1109/ISCAS.2006.1693642}, timestamp = {Thu, 22 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuLW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuS06, author = {Bo Hu and C.{-}J. Richard Shi}, title = {Improved automatic differentiation method for efficient model compiler}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692755}, doi = {10.1109/ISCAS.2006.1692755}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuW06, author = {Xiaolin Hu and Jun Wang}, title = {Global stability of a recurrent neural network for solving pseudomonotone variational inequalities}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692695}, doi = {10.1109/ISCAS.2006.1692695}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuaPH06, author = {Chung{-}Hsien Hua and Chi{-}Wei Peng and Wei Hwang}, title = {A noise-tolerant matchline scheme with XOR-based conditional keeper for energy-efficient {TCAM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692749}, doi = {10.1109/ISCAS.2006.1692749}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuaPH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCH06, author = {Hsin{-}Hsiung Huang and Yung{-}Ching Chen and Tsai{-}Ming Hsieh}, title = {A congestion-driven buffer planner with space reservation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693863}, doi = {10.1109/ISCAS.2006.1693863}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangH06, author = {Po{-}Tsang Huang and Wei Hwang}, title = {2-level {FIFO} architecture design for switch fabrics in network-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693720}, doi = {10.1109/ISCAS.2006.1693720}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangIZZXO06, author = {Zhangcai Huang and Yasuaki Inoue and Quan Zhang and Yuehu Zhou and Long Xie and Harutoshi Ogai}, title = {Behavioral macromodeling of analog {LSI} implementation for automobile intake system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693669}, doi = {10.1109/ISCAS.2006.1693669}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangIZZXO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangK06, author = {Bo{-}Shih Huang and Ming{-}Dou Ker}, title = {New matching methodology of low-noise amplifier with {ESD} protection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693727}, doi = {10.1109/ISCAS.2006.1693727}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangKKYY06, author = {Guochi Huang and Tae{-}Sung Kim and Byung{-}Sung Kim and Mingyan Yu and Yizheng Ye}, title = {Post linearization of {CMOS} {LNA} using double cascade FETs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693629}, doi = {10.1109/ISCAS.2006.1693629}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangKKYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLC06, author = {Hong{-}An Huang and Yen{-}Chin Liao and Hsie{-}Chia Chang}, title = {A self-compensation fixed-width booth multiplier and its 128-point {FFT} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693390}, doi = {10.1109/ISCAS.2006.1693390}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLC06a, author = {Hong{-}Yi Huang and Chia{-}Ming Liang and Wei{-}Ming Chiu}, title = {1-99{\%} input duty 50{\%} output duty cycle corrector}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693549}, doi = {10.1109/ISCAS.2006.1693549}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLH06, author = {Shu{-}Chuan Huang and Min{-}Hsiung Liao and Chih{-}Sheng Hsu}, title = {A low-distortion fourth-order bandpass delta-sigma modulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693850}, doi = {10.1109/ISCAS.2006.1693850}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLTC06, author = {Pei{-}Yu Huang and Yu{-}Min Lee and Jeng{-}Liang Tsai and Charlie Chung{-}Ping Chen}, title = {Simultaneous area minimization and decaps insertion for power delivery network using adjoint sensitivity analysis with {IEKS} method}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692829}, doi = {10.1109/ISCAS.2006.1692829}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangM06, author = {Chien{-}Jen Huang and Hsi{-}Pin Ma}, title = {A {WCDMA/HSDPA} baseband processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693634}, doi = {10.1109/ISCAS.2006.1693634}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangSAE06, author = {Songtao Huang and Maher A. Sid{-}Ahmed and Majid Ahmadi and Idris El{-}Feghi}, title = {A binarization method for scanned documents based on hidden Markov model}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693582}, doi = {10.1109/ISCAS.2006.1693582}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangSAE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangSSM06, author = {Z. Huang and Yvon Savaria and Mohamad Sawan and R. Meinga}, title = {High-voltage operational amplifier based on dual floating-gate transistors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693572}, doi = {10.1109/ISCAS.2006.1693572}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangSSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangT06, author = {Yuehui Huang and C. K. Tse}, title = {On the basins of attraction of parallel connected buck switching converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693916}, doi = {10.1109/ISCAS.2006.1693916}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangWL06, author = {Hong{-}Yi Huang and Bo{-}Ruei Wang and Jen{-}Chieh Liu}, title = {High-gain and high-bandwidth rail-to-rail operational amplifier with slew rate boost circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692733}, doi = {10.1109/ISCAS.2006.1692733}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangWL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangWW06, author = {Hong{-}Yi Huang and Ching{-}Chieh Wu and Sen{-}Da Wu}, title = {On-chip bidirectional transceiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693057}, doi = {10.1109/ISCAS.2006.1693057}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangWW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangZC06, author = {Xinping Huang and Zhiwen Zhu and Mario Caron}, title = {A 30GHz 155Mbit/s self-calibrating direct transmitter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692848}, doi = {10.1109/ISCAS.2006.1692848}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangZC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HueberMSSCH06, author = {Gernot Hueber and Linus Maurer and Georg Strasser and Rainer Stuhlberger and Karim Chabrak and Richard Hagelauer}, title = {The design of a multi-mode/multi-system capable software radio receiver}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693495}, doi = {10.1109/ISCAS.2006.1693495}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HueberMSSCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuoZHW06, author = {Zhi Huo and Qishan Zhang and S. Haruehanroengra and Wei Wang}, title = {Logic optimization for majority gate-based nanoelectronic circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692833}, doi = {10.1109/ISCAS.2006.1692833}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuoZHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HurPWLLKL06, author = {Youngsik Hur and Jongmin Park and W. Woo and Kyutae Lim and Chang{-}Ho Lee and Hyoungsoo Kim and Joy Laskar}, title = {A wideband analog multi-resolution spectrum sensing {(MRSS)} technique for cognitive radio {(CR)} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693528}, doi = {10.1109/ISCAS.2006.1693528}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HurPWLLKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Huss06, author = {Sorin A. Huss}, title = {Analog circuit synthesis: a search for the Holy Grail?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692872}, doi = {10.1109/ISCAS.2006.1692872}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Huss06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HwangKC06, author = {Jenq{-}Neng Hwang and Ibrahim Karliga and Hsu{-}Yung Cheng}, title = {An automatic three-dimensional human behavior analysis system for video surveillance applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692632}, doi = {10.1109/ISCAS.2006.1692632}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HwangKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HynnaB06, author = {Kai M. Hynna and Kwabena Boahen}, title = {Neuronal ion-channel dynamics in silicon}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693409}, doi = {10.1109/ISCAS.2006.1693409}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HynnaB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HyungSHKPK06, author = {Chang Hee Hyung and Jin Bong Sung and Jung Hwan Hwang and Jin Kyung Kim and Duck Gun Park and Sung Weon Kang}, title = {A novel system for intrabody communication: touch-and-play}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692842}, doi = {10.1109/ISCAS.2006.1692842}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HyungSHKPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IhalainenSVR06, author = {Tero Ihalainen and Tobias Hidalgo Stitz and Ari Viholainen and Markku Renfors}, title = {Performance comparison of LDPC-coded {FBMC} and {CP-OFDM} in beyond 3G context}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693018}, doi = {10.1109/ISCAS.2006.1693018}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IhalainenSVR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IidaNLSY06, author = {Tatsuhiro Iida and Yukihiro Nomura and Jianming Lu and Hiroo Sekiya and Takashi Yahagi}, title = {Blind dereverberation using correlation coefficients considering periodicity of voiced speech}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693889}, doi = {10.1109/ISCAS.2006.1693889}, timestamp = {Mon, 05 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IidaNLSY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IizukaIA06, author = {Tetsuya Iizuka and Makoto Ikeda and Kunihiro Asada}, title = {Exact minimum-width multi-row transistor placement for dual and non-dual {CMOS} cells}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693862}, doi = {10.1109/ISCAS.2006.1693862}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IizukaIA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InagakiNH06, author = {Jun Inagaki and J. Nakajima and Miki Haseyama}, title = {A multiobjective service restoration method for power distribution systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692952}, doi = {10.1109/ISCAS.2006.1692952}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InagakiNH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/InatyA06, author = {Elie Inaty and Rafic A. Ayoubi}, title = {FPGA-based transmitter-receiver architecture of an overlapped {FFH-CDMA} system: design and simulation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693205}, doi = {10.1109/ISCAS.2006.1693205}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/InatyA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IordacheDCN06, author = {Mihai Iordache and Lucia Dumitriu and Florin Constantinescu and Miruna Nitescu}, title = {A new steady-state analysis method for {RF-IC} circuits driven by multi-tone signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693095}, doi = {10.1109/ISCAS.2006.1693095}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IordacheDCN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IshebabiAMAAA06, author = {Harold Ishebabi and Gerd Ascheid and Heinrich Meyr and Oguzhan Atak and Abdullah Atalar and Erdal Arikan}, title = {An efficient parallelization technique for high throughput FFT-ASIPs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693920}, doi = {10.1109/ISCAS.2006.1693920}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IshebabiAMAAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsmailE06, author = {Ayman H. Ismail and Mohamed I. Elmasry}, title = {A termination technique for the averaging network of flash ADC's}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693336}, doi = {10.1109/ISCAS.2006.1693336}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IsmailE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsraeliLSS06, author = {T. Israeli and Ilya Levin and D. Shmilovitz and Sigmond Singer}, title = {{AC-DC} converters with bi-directional power flow and some possible applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693912}, doi = {10.1109/ISCAS.2006.1693912}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IsraeliLSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsrasenaK06, author = {Pasin Israsena and Izzet Kale}, title = {A high-speed, low-power interleaved trace-back memory for Viterbi decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693206}, doi = {10.1109/ISCAS.2006.1693206}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/IsrasenaK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItoS06, author = {Kiyoto Ito and Tadashi Shibata}, title = {A time-domain gradient-detection architecture for {VLSI} analog motion sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692557}, doi = {10.1109/ISCAS.2006.1692557}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ItoS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItoSYLSY06, author = {Yoshinori Ito and Takanori Sato and Noritaka Yamashita and Jianming Lu and Hiroo Sekiya and Takashi Yahagi}, title = {Impulse noise detector using mathematical morphology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693570}, doi = {10.1109/ISCAS.2006.1693570}, timestamp = {Tue, 30 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ItoSYLSY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItohHK06, author = {Kiyoo Itoh and Masashi Horiguchi and Takayuki Kawahara}, title = {Ultra-low voltage nano-scale embedded RAMs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692513}, doi = {10.1109/ISCAS.2006.1692513}, timestamp = {Thu, 07 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ItohHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ItohKT06, author = {Shinya Itoh and Shoji Kawahito and S. Terakawa}, title = {A 2.6mW 2fps {QVGA} {CMOS} one-chip wireless camera with digital image transmission function for capsule endoscopes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693344}, doi = {10.1109/ISCAS.2006.1693344}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ItohKT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Izydorczyk06, author = {Jacek Izydorczyk}, title = {An algorithm for optimal terms allocation for fixed point coefficients of {FIR} filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692659}, doi = {10.1109/ISCAS.2006.1692659}, timestamp = {Sun, 17 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Izydorczyk06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Izydorczyk06a, author = {Jacek Izydorczyk}, title = {Time delay estimation with coupled {LMS} filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693091}, doi = {10.1109/ISCAS.2006.1693091}, timestamp = {Sun, 17 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Izydorczyk06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JagerPR06, author = {Bj{\"{o}}rn J{\"{a}}ger and Mario Porrmann and Ulrich R{\"{u}}ckert}, title = {Bio-inspired massively parallel architectures for nanotechnologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692996}, doi = {10.1109/ISCAS.2006.1692996}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JagerPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JaiklaSS06, author = {Winai Jaikla and K. Sooksood and Montree Siripruchyanun}, title = {Current controlled CDBAs (CCCDBAs)-based novel current-mode universal biquadratic filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693457}, doi = {10.1109/ISCAS.2006.1693457}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JaiklaSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JanckeS06, author = {R. Jancke and P. Schwarz}, title = {Supporting analog synthesis by abstracting circuit behavior using a modeling methodology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692874}, doi = {10.1109/ISCAS.2006.1692874}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JanckeS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangKHM06, author = {Ling{-}Sheng Jang and Hao{-}Kai Keng and Yi{-}Chu Hsu and Deirdre R. Meldrum}, title = {Development of protein chips based on self-assembled monolayer and protein {A}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693593}, doi = {10.1109/ISCAS.2006.1693593}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangKHM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JanikLLMPSSV06, author = {Thomas Janik and Eric Liau and Harald Lorenz and Manfred Menke and Eckehard Plaettner and Joerg Schweden and Helmut Seitz and Esther Vega{-}Ordonez}, title = {A 1.8V p(seudo)SRAM using standard 140nm {DRAM} technology with self adapting clocked standby operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693541}, doi = {10.1109/ISCAS.2006.1693541}, timestamp = {Tue, 30 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JanikLLMPSSV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JarvinenSPT06, author = {Tuomas J{\"{a}}rvinen and Perttu Salmela and Konsta Punkka and Jarmo Takala}, title = {Evaluation of stride permutation networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693636}, doi = {10.1109/ISCAS.2006.1693636}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JarvinenSPT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JengLC06, author = {Shiann Shiun Jeng and Hsing{-}Chen Lin and Shu{-}Ming Chang}, title = {{FPGA} implementation of {FIR} filter using M-bit parallel distributed arithmetic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692725}, doi = {10.1109/ISCAS.2006.1692725}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JengLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeonMN06, author = {Woochul Jeon and John Melngailis and Robert W. Newcomb}, title = {Disposable {CMOS} passive {RFID} transponder for patient monitoring}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693772}, doi = {10.1109/ISCAS.2006.1693772}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeonMN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JhaGK06, author = {Anuranjan Jha and Ranjit Gharpurey and Peter R. Kinget}, title = {Quadrature-DAC based pulse generation for {UWB} pulse radio transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692673}, doi = {10.1109/ISCAS.2006.1692673}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JhaGK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JhengWWT06, author = {Kai{-}Yuan Jheng and Yi{-}Chiuan Wang and An{-}Yeu Wu and Hen{-}Wai Tsao}, title = {{DSP} engine design for {LINC} wireless transmitter systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693154}, doi = {10.1109/ISCAS.2006.1693154}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JhengWWT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiA06, author = {Honghao Ji and Pamela Abshire}, title = {A {CMOS} image sensor for low light applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692919}, doi = {10.1109/ISCAS.2006.1692919}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiSHA06, author = {Honghao Ji and David Sander and Alfred Haas and Pamela Abshire}, title = {A {CMOS} contact imager for locating individual cells}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693345}, doi = {10.1109/ISCAS.2006.1693345}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiSHA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiZGXW06, author = {Xiangyang Ji and Debin Zhao and Wen Gao and Jizheng Xu and Feng Wu}, title = {An efficient {SNR} scalability coding framework hybrid open-close loop {FGS} coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693225}, doi = {10.1109/ISCAS.2006.1693225}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiZGXW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jimenez-FernandezHS06, author = {V{\'{\i}}ctor Manuel Jimenez{-}Fernandez and Luis Hern{\'{a}}ndez{-}Mart{\'{\i}}nez and Arturo Sarmiento{-}Reyes}, title = {Decomposed piecewise-linear models by hyperplanes unbending}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693094}, doi = {10.1109/ISCAS.2006.1693094}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Jimenez-FernandezHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jimenez-FernandezHS06a, author = {V{\'{\i}}ctor Manuel Jimenez{-}Fernandez and Luis Hern{\'{a}}ndez{-}Mart{\'{\i}}nez and Arturo Sarmiento{-}Reyes}, title = {A method for finding the {DC} solution regions in piecewise-linear networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693096}, doi = {10.1109/ISCAS.2006.1693096}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Jimenez-FernandezHS06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JimenezTCR06, author = {Mariano Jim{\'{e}}nez{-}Fuentes and Antonio Jes{\'{u}}s Torralba Silgado and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal and Jaime Ram{\'{\i}}rez{-}Angulo}, title = {A new low-voltage {CMOS} unity-gain buffer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692736}, doi = {10.1109/ISCAS.2006.1692736}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JimenezTCR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinXCG06, author = {Le Jin and Hanqing Xing and Degang Chen and Randall L. Geiger}, title = {A self-calibrated bandgap voltage reference with 0.5 ppm/{\textdegree}C temperature coefficient}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693219}, doi = {10.1109/ISCAS.2006.1693219}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinXCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JingC06, author = {Xuan Jing and Lap{-}Pui Chau}, title = {A novel intra-rate estimation method for {H.264} rate control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693759}, doi = {10.1109/ISCAS.2006.1693759}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JingC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jiraseree-amornkunWKNS06, author = {Amorn Jiraseree{-}amornkun and Apisak Worapishet and Eric A. M. Klumperink and Bram Nauta and Wanlop Surakampontorn}, title = {Slew rate induced distortion in switched-resistor integrators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693127}, doi = {10.1109/ISCAS.2006.1693127}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Jiraseree-amornkunWKNS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JitsumatsuK06, author = {Yutaka Jitsumatsu and Tohru Kohda}, title = {Gaussian chip shaping enhances the superiority of Markovian codes in {DS/CDMA} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693285}, doi = {10.1109/ISCAS.2006.1693285}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JitsumatsuK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JoSKKC06, author = {Youngkwon Jo and Yong Shim and Soo Hwan Kim and Suki Kim and Kwanjun Cho}, title = {A mixed-structure delay locked-loop with wide range and fast locking}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692990}, doi = {10.1109/ISCAS.2006.1692990}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JoSKKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JoachimSD06, author = {Dale Joachim and R. Salmon and John R. Deller Jr.}, title = {Set-membership filtering strategies for multipulse coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692573}, doi = {10.1109/ISCAS.2006.1692573}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JoachimSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JohanssonGW06, author = {Kenny Johansson and Oscar Gustafsson and Lars Wanhammar}, title = {Approximation of elementary functions using a weighted sum of bit-products}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692705}, doi = {10.1109/ISCAS.2006.1692705}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JohanssonGW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JohanssonLV06, author = {H{\aa}kan Johansson and Per L{\"{o}}wenborg and K. Vengattaramane}, title = {Reconstruction of two-periodic nonuniformly sampled signals using polynomial impulse response time-varying {FIR} filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693254}, doi = {10.1109/ISCAS.2006.1693254}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JohanssonLV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KadimC06, author = {H. J. Kadim and Lacina M. Coulibaly}, title = {EM-based analytical model for estimation of worst-case crosstalk noise}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693542}, doi = {10.1109/ISCAS.2006.1693542}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KadimC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KadimC06a, author = {H. J. Kadim and Lacina M. Coulibaly}, title = {Wave propagation based analytical model for distributed on-chip {RLC} interconnects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693545}, doi = {10.1109/ISCAS.2006.1693545}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KadimC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kajitani06, author = {Yoji Kajitani}, title = {Theory of placement by numDAG related with single-sequence, SP, BSG, and O-tree}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693622}, doi = {10.1109/ISCAS.2006.1693622}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kajitani06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KakadeK06, author = {Jayawant Kakade and Dimitrios Kagaris}, title = {Phase shifts and linear dependencies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692905}, doi = {10.1109/ISCAS.2006.1692905}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KakadeK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KambeMMY06, author = {Takashi Kambe and H. Matsuno and Y. Miyazaki and Akihisa Yamada}, title = {C-based design of a real time speech recognition system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692944}, doi = {10.1109/ISCAS.2006.1692944}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KambeMMY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KammounBA06, author = {Abla Kammoun and Nicolas Beilleau and Hassan Aboushady}, title = {Undersampled {LC} bandpass Sigma Delta modulators with feedback FIRDACs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693611}, doi = {10.1109/ISCAS.2006.1693611}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KammounBA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaoCSHL06, author = {Wen{-}Chung Kao and Ying{-}Ju Chen and Chia{-}Ping Shen and Chi{-}Wu Huang and Sheng{-}Yuan Lin}, title = {Integrating edge detector and bilateral noise filter for enhancing color images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693673}, doi = {10.1109/ISCAS.2006.1693673}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaoCSHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaoHKC06, author = {Wen{-}Chung Kao and Chien{-}Chih Hsu and Chih{-}Chung Kao and Shou{-}Hung Chen}, title = {Adaptive exposure control and real-time image fusion for surveillance systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692740}, doi = {10.1109/ISCAS.2006.1692740}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaoHKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaoWCCL06, author = {Wen{-}Chung Kao and Sheng{-}Hong Wang and Wei{-}Hsin Chen and Lien{-}Yang Chen and Sheng{-}Yuan Lin}, title = {Designing image processing pipeline for color imaging systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693674}, doi = {10.1109/ISCAS.2006.1693674}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaoWCCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaoWKHL06, author = {Wen{-}Chung Kao and Sheng{-}Hong Wang and Chih{-}Chung Kao and Chi{-}Wu Huang and Sheng{-}Yuan Lin}, title = {Color reproduction for digital imaging systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693654}, doi = {10.1109/ISCAS.2006.1693654}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaoWKHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaradimasME06, author = {D. S. Karadimas and D. N. Mavridis and K. A. Efstathiou}, title = {A digitally calibrated {R-2R} ladder architecture for high performance digital-to-analog converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693699}, doi = {10.1109/ISCAS.2006.1693699}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaradimasME06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarahalilogluGSB06, author = {Koray Karahaliloglu and Patrick Gans and Nathan Schemm and Sina Balkir}, title = {Optical sensor integrated {CNN} for real-time computational applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693439}, doi = {10.1109/ISCAS.2006.1693439}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarahalilogluGSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarlssonV06, author = {Magnus Karlsson and Mark Vesterbacka}, title = {Digit-serial/parallel multipliers with improved throughput and latency}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692507}, doi = {10.1109/ISCAS.2006.1692507}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarlssonV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KarnikHSPG06, author = {Tanay Karnik and Peter Hazucha and Gerhard Schrom and Fabrice Paillet and Donald S. Gardner}, title = {High-frequency {DC-DC} conversion : fact or fiction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692568}, doi = {10.1109/ISCAS.2006.1692568}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KarnikHSPG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kartalopoulos06, author = {Stamatios V. Kartalopoulos}, title = {Circuit for statistical estimation of {BER} and {SNR} in telecommunications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692913}, doi = {10.1109/ISCAS.2006.1692913}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kartalopoulos06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KashfiF06, author = {Fatemeh Kashfi and Seid Mehdi Fakhraie}, title = {Implementation of a high-speed low-power 32-bit adder in 70nm technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692509}, doi = {10.1109/ISCAS.2006.1692509}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KashfiF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KashmiriHS06, author = {S. M. Kashmiri and Sandro A. P. Haddad and Wouter A. Serdijn}, title = {High-performance analog delays: surpassing Bessel-Thomson by Pade-approximated Gaussians}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693093}, doi = {10.1109/ISCAS.2006.1693093}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KashmiriHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KauL06, author = {Lih{-}Jen Kau and Yuan{-}Pei Lin}, title = {Least squares-based lossless image coding with edge-look-ahead}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693737}, doi = {10.1109/ISCAS.2006.1693737}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KauL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KavvadiasN06, author = {Nikolaos Kavvadias and Spiridon Nikolaidis}, title = {A portable specification of zero-overhead looping control hardware applied to embedded processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692906}, doi = {10.1109/ISCAS.2006.1692906}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KavvadiasN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KawamotoKI06, author = {Mitsuru Kawamoto and Kiyotaka Kohno and Yujiro Inouye}, title = {Eigenvector algorithms using reference signals for blind source separation of instantaneous mixtures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693553}, doi = {10.1109/ISCAS.2006.1693553}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KawamotoKI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KawazoeSIOM06, author = {D. Kawazoe and Hirotaka Sugawara and Tatsuya Ito and Kenichi Okada and Kazuya Masu}, title = {Reconfigurable {CMOS} low noise amplifier for self compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693358}, doi = {10.1109/ISCAS.2006.1693358}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KawazoeSIOM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KayaK06, author = {Ilhan Kaya and Taskin Ko{\c{c}}ak}, title = {Increasing the power efficiency of Bloom filters for network string matching}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692963}, doi = {10.1109/ISCAS.2006.1692963}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KayaK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KazazogluDKM06, author = {Renan Kazazoglu and S{\"{u}}leyman Sirri Demirsoy and Izzet Kale and Richard C. S. Morling}, title = {A computationally efficient {DAB} bit-stream processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693890}, doi = {10.1109/ISCAS.2006.1693890}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KazazogluDKM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KejariwalAM06, author = {Murari Kejariwal and Prasad Ammisetti and John Melanson}, title = {Built-in self-test mode in a multi-path feedforward compensated operational amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693941}, doi = {10.1109/ISCAS.2006.1693941}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KejariwalAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KentR06, author = {Kenneth B. Kent and Jacqueline E. Rice}, title = {A systolic array technique for determining common approximate substrings}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693520}, doi = {10.1109/ISCAS.2006.1693520}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KentR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KerW06, author = {Ming{-}Dou Ker and Chien{-}Hua Wu}, title = {Design on {LVDS} receiver with new delay-selecting technique for {UXGA} flat panel display applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693793}, doi = {10.1109/ISCAS.2006.1693793}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KerW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhalilI06, author = {DiaaEldin Khalil and Yehea I. Ismail}, title = {Optimum sizing of power grids for {IR} drop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692627}, doi = {10.1109/ISCAS.2006.1692627}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhalilI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhanS06, author = {Qadeer Ahmad Khan and G. K. Siddhartha}, title = {A sequence independent power-on-reset circuit for multi-voltage systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692824}, doi = {10.1109/ISCAS.2006.1692824}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhanS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhanY06, author = {M. S. Khan and Naveen K. Yanduru}, title = {Analysis of self mixing of transmitter interference in {WCDMA} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693867}, doi = {10.1109/ISCAS.2006.1693867}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhanY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhanY06a, author = {M. S. Khan and Naveen K. Yanduru}, title = {Analysis of signal distortion due to third order nonlinearity in {WCDMA} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693927}, doi = {10.1109/ISCAS.2006.1693927}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhanY06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kheradmand-BoroujeniAA06, author = {Bahman Kheradmand Boroujeni and Fatemeh Aezinia and Ali Afzali{-}Kusha}, title = {High performance circuit techniques for dynamic {OR} gates}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693421}, doi = {10.1109/ISCAS.2006.1693421}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kheradmand-BoroujeniAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhumsatW06, author = {Phanumas Khumsat and Apisak Worapishet}, title = {High-gain current amplifiers for low-power {MOSFET-C} filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692621}, doi = {10.1109/ISCAS.2006.1692621}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhumsatW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KierH06, author = {Ryan J. Kier and Reid R. Harrison}, title = {Power minimization of a 433-MHz {LC} {VCO} for an implantable neural recording system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693312}, doi = {10.1109/ISCAS.2006.1693312}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KierH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimB06, author = {Daeik D. Kim and Martin A. Brooke}, title = {Scalable delta-sigma modulator readout architecture for array-based sensor system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692987}, doi = {10.1109/ISCAS.2006.1692987}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimC06, author = {Jaewook Kim and SeongHwan Cho}, title = {A time-based analog-to-digital converter using a multi-phase voltage controlled oscillator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693489}, doi = {10.1109/ISCAS.2006.1693489}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKS06, author = {Daewook Kim and Manho Kim and Gerald E. Sobelman}, title = {{DCOS:} cache embedded switch architecture for distributed shared memory multiprocessor SoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692751}, doi = {10.1109/ISCAS.2006.1692751}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKS06a, author = {Manho Kim and Daewook Kim and Gerald E. Sobelman}, title = {Network-on-chip quality-of-service through multiprotocol label switching}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692966}, doi = {10.1109/ISCAS.2006.1692966}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKS06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKS06b, author = {Daewook Kim and Manho Kim and Gerald E. Sobelman}, title = {{NIUGAP:} low latency network interface architecture with Gray code for networks-on-chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693481}, doi = {10.1109/ISCAS.2006.1693481}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKS06b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKS06c, author = {Manho Kim and Daewook Kim and Gerald E. Sobelman}, title = {Network-on-chip link analysis under power and performance constraints}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693546}, doi = {10.1109/ISCAS.2006.1693546}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKS06c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLY06, author = {Joo{-}Young Kim and Kangmin Lee and Hoi{-}Jun Yoo}, title = {A 372 ps 64-bit adder using fast pull-up logic in 0.18{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692510}, doi = {10.1109/ISCAS.2006.1692510}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimMS06, author = {Byungsub Kim and Soumyajit Mandal and Rahul Sarpeshkar}, title = {Power-adaptive operational amplifier with positive-feedback self biasing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693725}, doi = {10.1109/ISCAS.2006.1693725}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimP06, author = {Chung{-}Hyo Kim and In{-}Cheol Park}, title = {High speed decoding of context-based adaptive binary arithmetic codes using most probable symbol prediction}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692933}, doi = {10.1109/ISCAS.2006.1692933}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimP06a, author = {Kimo Kim and In{-}Cheol Park}, title = {Combined image signal processing for {CMOS} image sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693302}, doi = {10.1109/ISCAS.2006.1693302}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimP06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSK06, author = {Yong Sin Kim and Sangho Shin and Sung{-}Mo Kang}, title = {A 4-Gb/s/pin current mode 4-level simultaneous bidirectional {I/O} with current mismatch calibration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692758}, doi = {10.1109/ISCAS.2006.1692758}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimYCCCC06, author = {Young Eun Kim and J. O. Yoon and K. J. Cho and Jin{-}Gyun Chung and S. I. Cho and S. S. Choi}, title = {Efficient design of modified Booth multipliers for predetermined coefficients}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693185}, doi = {10.1109/ISCAS.2006.1693185}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimYCCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimuraI06, author = {Takayuki Kimura and Tohru Ikeguchi}, title = {Optimization for packet routing using chaotic dynamics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693070}, doi = {10.1109/ISCAS.2006.1693070}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimuraI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KinaneMO06, author = {Andrew Kinane and Valentin Muresan and Noel E. O'Connor}, title = {Towards an optimised {VLSI} design algorithm for the constant matrix multiplication problem}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693782}, doi = {10.1109/ISCAS.2006.1693782}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KinaneMO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kinget06, author = {Peter R. Kinget}, title = {Amplitude detection inside {CMOS} {LC} oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693791}, doi = {10.1109/ISCAS.2006.1693791}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Kinget06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KiyoyamaTO06, author = {K. Kiyoyama and Yoshinobu Tanaka and Michihisa Onoda}, title = {A low current consumption delta-sigma modulator for body-implanted chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693695}, doi = {10.1109/ISCAS.2006.1693695}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KiyoyamaTO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KleinbergMN06, author = {Michael Kleinberg and Karen Nan Miu and Chika O. Nwankpa}, title = {Radial distribution power flow studies in a remotely distributed environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692811}, doi = {10.1109/ISCAS.2006.1692811}, timestamp = {Tue, 24 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KleinbergMN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KocKD06, author = {Baris Koc and Adil Koukab and G{\"{u}}nhan D{\"{u}}ndar}, title = {Phase noise in bipolar and {CMOS} VCO's - an analytical comparison}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693926}, doi = {10.1109/ISCAS.2006.1693926}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KocKD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoeMHKP06, author = {Wern Ming Koe and Franco Maloberti and James R. Hochschild and Soundarapandian Karthikeyan and Yong{-}In Park}, title = {Digital scheme for quantizer and integrator swing reduction in multibit sigma-delta modulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693251}, doi = {10.1109/ISCAS.2006.1693251}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoeMHKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohiraKFT06, author = {Yukihide Kohira and Chikaaki Kodama and Kunihiro Fujiyoshi and Atsushi Takahashi}, title = {Evaluation of 3D-packing representations for scheduling of dynamically reconfigurable systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693626}, doi = {10.1109/ISCAS.2006.1693626}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KohiraKFT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KohnoIK06, author = {Kiyotaka Kohno and Yujiro Inouye and Mitsuru Kawamoto}, title = {Robust super-exponential methods for blind deconvolution of {MIMO-IIR} systems with Gaussian noise}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693405}, doi = {10.1109/ISCAS.2006.1693405}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KohnoIK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoickalHPTCG06, author = {Thomas Jacob Koickal and Alister Hamilton and Tim C. Pearce and Su{-}Lim Tan and James Anthony Covington and Julian W. Gardner}, title = {Analog {VLSI} design of an adaptive neuromorphic chip for olfactory systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693641}, doi = {10.1109/ISCAS.2006.1693641}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoickalHPTCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoivistoPSTP06, author = {Tero Koivisto and Teemu Peltonen and Meigen Shen and Esa Tjukanoff and Ari Paasio}, title = {Sine wave as a correlating signal for {UWB} radio}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692675}, doi = {10.1109/ISCAS.2006.1692675}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoivistoPSTP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoizumiKM06, author = {Hirotaka Koizumi and Kosuke Kurokawa and Shinsaku Mori}, title = {A comparison of output envelope waveforms of the delta-sigma modulated class {D} series resonant inverter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692570}, doi = {10.1109/ISCAS.2006.1692570}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoizumiKM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KolmZ06, author = {Robert Kolm and Horst Zimmermann}, title = {A linear transconductor and its application in an analog filter in 120nm {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693213}, doi = {10.1109/ISCAS.2006.1693213}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KolmZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KomatsuF06, author = {Satoshi Komatsu and Masahiro Fujita}, title = {An optimization of bus interconnects pitch for low-power and reliable bus encoding scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692937}, doi = {10.1109/ISCAS.2006.1692937}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KomatsuF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KonstantopoulosPS06, author = {G. Konstantopoulos and K. Papathanasiou and A. Samelis}, title = {Optimization of {RF} circuits by expert system monitored genetic computation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693816}, doi = {10.1109/ISCAS.2006.1693816}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KonstantopoulosPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KooJL06, author = {Gunjae Koo and Woochul Jung and Heesub Lee}, title = {A robust {PRML} read channel with digital timing recovery for multi-format optical disc}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692943}, doi = {10.1109/ISCAS.2006.1692943}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KooJL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KooLSKK06, author = {Kyoung{-}Hoi Koo and Soo{-}Kyung Lee and Jin{-}Ho Seo and Myeong{-}Lyong Ko and Jae{-}Whui Kim}, title = {A versatile {I/O} with robust impedance calibration for various memory interfaces}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692757}, doi = {10.1109/ISCAS.2006.1692757}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KooLSKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KopaA06, author = {Anthony Kopa and Alyssa B. Apsel}, title = {Common-emitter feedback transimpedance amplifier for analog optical receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693874}, doi = {10.1109/ISCAS.2006.1693874}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KopaA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoshitaAK06, author = {Shunsuke Koshita and Masahide Abe and Masayuki Kawamata}, title = {Gramian-preserving frequency transformation for linear continuous-time state-space systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692620}, doi = {10.1109/ISCAS.2006.1692620}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoshitaAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KotoulasTSA06, author = {Leonidas G. Kotoulas and D. Tsarouchis and Georgios Ch. Sirakoulis and Ioannis Andreadis}, title = {1-d cellular automaton for pseudorandom number generation and its reconfigurable hardware implementation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693661}, doi = {10.1109/ISCAS.2006.1693661}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KotoulasTSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KottiBK06, author = {Margarita Kotti and Emmanouil Benetos and Constantine Kotropoulos}, title = {Automatic speaker change detection with the Bayesian information criterion using {MPEG-7} features and a fusion scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692970}, doi = {10.1109/ISCAS.2006.1692970}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KottiBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KouLA06, author = {Yajun Kou and Wu{-}Sheng Lu and Andreas Antoniou}, title = {Peak-to-average power-ratio reduction for {OFDM} systems based on method of conditional probability and coordinate descent optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693493}, doi = {10.1109/ISCAS.2006.1693493}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KouLA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KougianosM06, author = {Elias Kougianos and Saraju P. Mohanty}, title = {Effective tunneling capacitance: a new metric to quantify transient gate leakage current}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693240}, doi = {10.1109/ISCAS.2006.1693240}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KougianosM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KozicH06, author = {Slobodan Kozic and Martin Hasler}, title = {Belief propagation decoding for codes based on discretized chaotic maps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692804}, doi = {10.1109/ISCAS.2006.1692804}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KozicH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrasopoulosM06, author = {P. T. Krasopoulos and Nicholas G. Maratos}, title = {A neural network for convex optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692693}, doi = {10.1109/ISCAS.2006.1692693}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrasopoulosM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KratzerDVH06, author = {Christian Kr{\"{a}}tzer and Jana Dittmann and Thomas Vogel and Reyk Hillert}, title = {Design and evaluation of steganography for voice-over-IP}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693105}, doi = {10.1109/ISCAS.2006.1693105}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KratzerDVH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrenzkeJS06, author = {Rainer Krenzke and Cang Ji and O. Salzmann}, title = {High-voltage drive and {I/O} interfaces in a 0.35{\(\mathrm{\mu}\)}m {CMOS} process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692976}, doi = {10.1109/ISCAS.2006.1692976}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrenzkeJS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrinidisC06, author = {Stelios Krinidis and Vassilios Chatzis}, title = {Frequency-based object orientation and scaling determination}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693102}, doi = {10.1109/ISCAS.2006.1693102}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrinidisC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrishnamurthyG06, author = {G. Krishnamurthy and Maysam Ghovanloo}, title = {Tongue drive: a tongue operated magnetic sensor based wireless assistive technology for people with severe disabilities}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693892}, doi = {10.1109/ISCAS.2006.1693892}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrishnamurthyG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KristianssonIJ06, author = {Simon Kristiansson and Fredrik Ingvarson and Kjell O. Jeppson}, title = {Properties and modeling of ground structures for reducing substrate noise coupling in ICs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693664}, doi = {10.1109/ISCAS.2006.1693664}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KristianssonIJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrizhanovskiCK06, author = {Vladimir G. Krizhanovski and Dmitrii V. Chernov and Marian K. Kazimierczuk}, title = {Low-voltage self-oscillating class {E} electronic ballast for fluorescent lamps}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693074}, doi = {10.1109/ISCAS.2006.1693074}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrizhanovskiCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KropfitschRKD06, author = {Michael Kropfitsch and Philipp Riess and Gerhard Knoblinger and Dieter Draxelmayr}, title = {Dielectric absorption of low-k materials: extraction, modelling and influence on {SAR} ADCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692643}, doi = {10.1109/ISCAS.2006.1692643}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KropfitschRKD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrusienskiJ06, author = {Dean J. Krusienski and W. Kenneth Jenkins}, title = {A modified particle swarm optimization algorithm for adaptive filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692541}, doi = {10.1109/ISCAS.2006.1692541}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrusienskiJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KucherC06, author = {Paul Kucher and Shantanu Chakrabartty}, title = {An adaptive {CMOS} imager with time-based compressive active-pixel response}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692558}, doi = {10.1109/ISCAS.2006.1692558}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KucherC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumanoUK06, author = {T. Kumano and Tetsushi Ueta and Hiroshi Kawakami}, title = {Pattern emergence in strange attractors by directions of mappings}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693190}, doi = {10.1109/ISCAS.2006.1693190}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumanoUK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarA06, author = {Ajay Kumar and Phillip E. Allen}, title = {Q locked loop to tune a high-Q high-frequency bandpass filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693362}, doi = {10.1109/ISCAS.2006.1693362}, timestamp = {Thu, 21 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KumarA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarK06, author = {Ranjith Kumar and Volkan Kursun}, title = {Impact of temperature fluctuations on circuit characteristics in 180nm and 65nm {CMOS} technologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693470}, doi = {10.1109/ISCAS.2006.1693470}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoCHW06, author = {Wu{-}An Kuo and Yi{-}Ling Chiang and TingTing Hwang and Allen C.{-}H. Wu}, title = {Performance-driven crosstalk elimination at post-compiler level}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693266}, doi = {10.1109/ISCAS.2006.1693266}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoCHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoHY06, author = {Shu{-}Chang Kuo and Tzu{-}Chien Hung and Wei{-}Bin Yang}, title = {The new improved pseudo fractional-N clock generator with 50{\%} duty cycle}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693547}, doi = {10.1109/ISCAS.2006.1693547}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoHY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoLCLJ06, author = {Yu{-}Ting Kuo and Tay{-}Jyi Lin and Yi Cho and Chih{-}Wei Liu and Chein{-}Wei Jen}, title = {Programmable {FIR} filter with adder-based computing engine}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692945}, doi = {10.1109/ISCAS.2006.1692945}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoLCLJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KursunL06, author = {Volkan Kursun and Zhiyu Liu}, title = {Wide temperature spectrum low leakage dynamic circuit technique for sub-65nm {CMOS} technologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693469}, doi = {10.1109/ISCAS.2006.1693469}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KursunL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Kwan06, author = {H. K. Kwan}, title = {Digital filter bank design using simple subfilters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693616}, doi = {10.1109/ISCAS.2006.1693616}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Kwan06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonL06, author = {Sunwoo Kwon and Hoi Lee}, title = {A 1.2V, 3.5{\(\mathrm{\mu}\)}W, 20MS/s, 8-bit comparator with dynamic-biasing preamplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693696}, doi = {10.1109/ISCAS.2006.1693696}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaRocheR06, author = {Isabelle LaRoche and S{\'{e}}bastien Roy}, title = {An efficient regular matrix inversion circuit architecture for {MIMO} processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693709}, doi = {10.1109/ISCAS.2006.1693709}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaRocheR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LagaresteBMBDOB06, author = {Vincent Lagareste and Franck Badets and Pierre Melchior and Jean{-}Baptiste B{\'{e}}gueret and Yann Deval and Alain Oustaloup and Didier Belot}, title = {Phase locked loop robustness improvement using non integer order loop filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693310}, doi = {10.1109/ISCAS.2006.1693310}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LagaresteBMBDOB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LahdenojaMLP06, author = {Olli Lahdenoja and Janne Maunu and Mika Laiho and Ari Paasio}, title = {A massively parallel algorithm for local binary pattern based face recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693438}, doi = {10.1109/ISCAS.2006.1693438}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LahdenojaMLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiC06, author = {I{-}Wei Lai and Tzi{-}Dar Chiueh}, title = {One-dimensional interpolation based channel estimation for mobile {DVB-H} reception}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693806}, doi = {10.1109/ISCAS.2006.1693806}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiCF06, author = {Ming{-}Hong Lai and Chia{-}Chi Chu and Wu{-}Shiung Feng}, title = {{MIMO} interconnects order reductions by using the global Arnoldi algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692783}, doi = {10.1109/ISCAS.2006.1692783}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiCF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiJCT06, author = {Chun{-}Ying Lai and Shyh{-}Kang Jeng and Yao{-}Wen Chang and Chia{-}Chun Tsai}, title = {Inductance extraction for general interconnect structures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693666}, doi = {10.1109/ISCAS.2006.1693666}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiJCT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaihoPB06, author = {Mika Laiho and Ari Paasio and V{\'{\i}}ctor M. Brea}, title = {Effect of mismatch on the reliability of binary-programmable CNNs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693411}, doi = {10.1109/ISCAS.2006.1693411}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaihoPB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiwallaKSC06, author = {Farah Laiwalla and Kate Klemic and Fred J. Sigworth and Eugenio Culurciello}, title = {An integrated patch-clamp amplifier in silicon-on-sapphire {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693519}, doi = {10.1109/ISCAS.2006.1693519}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaiwallaKSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LamT06, author = {Hing{-}mo Lam and Chi{-}Ying Tsui}, title = {High performance single clock cycle {CMOS} comparator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692701}, doi = {10.1109/ISCAS.2006.1692701}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LamT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LamareD06, author = {Rodrigo C. de Lamare and Paulo S. R. Diniz}, title = {Set-membership adaptive algorithms based on time-varying error bounds for {DS-CDMA} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693372}, doi = {10.1109/ISCAS.2006.1693372}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LamareD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lan06, author = {Leu{-}Shing Lan}, title = {{M-SVC} (mixed-norm {SVC)} - a novel form of support vector classifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693321}, doi = {10.1109/ISCAS.2006.1693321}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lan06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LangloisD06, author = {Peter J. Langlois and Andreas Demosthenous}, title = {Possible benefits of moderate inversion for {MOSFET} transconductors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692619}, doi = {10.1109/ISCAS.2006.1692619}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LangloisD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaoUM06, author = {Chon{-}In Lao and Seng{-}Pan U. and Rui Paulo Martins}, title = {A novel effective bandpass semi-MASH sigma-delta modulator with double-sampling mismatch-free resonator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692652}, doi = {10.1109/ISCAS.2006.1692652}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaoUM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LarkinMO06, author = {Daniel Larkin and Valentin Muresan and Noel E. O'Connor}, title = {A low complexity hardware architecture for motion estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693175}, doi = {10.1109/ISCAS.2006.1693175}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LarkinMO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LauCZL06, author = {W. Y. Lau and S. C. Chan and Z. G. Zhang and Cheung H. Leung}, title = {A new QR-decomposition based recursive frequency estimator for multiple sinusoids in impulsive noise environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693567}, doi = {10.1109/ISCAS.2006.1693567}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LauCZL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lawrance06, author = {Anthony J. Lawrance}, title = {Is there life after bit error rate or before?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692553}, doi = {10.1109/ISCAS.2006.1692553}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lawrance06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LazaridisDB06, author = {E. Lazaridis and Emmanuel M. Drakakis and Mauricio Barahona}, title = {A biomimetic {CMOS} synapse}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692694}, doi = {10.1109/ISCAS.2006.1692694}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LazaridisDB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeA06, author = {Teahyung Lee and David V. Anderson}, title = {Performance analysis of a correlation-based optical flow algorithm under noisy environments}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693679}, doi = {10.1109/ISCAS.2006.1693679}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeB06, author = {Heyoung Lee and Zeungnam Bien}, title = {Linear time-varying filter with variable bandwidth}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693129}, doi = {10.1109/ISCAS.2006.1693129}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCC06, author = {Tsung{-}Sum Lee and Hua{-}Yuan Chung and Sheng{-}Min Cai}, title = {Design techniques for low-voltage fully differential {CMOS} switched-capacitor amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693212}, doi = {10.1109/ISCAS.2006.1693212}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCLC06, author = {Shuenn{-}Yuh Lee and Chia{-}Chyang Chen and Shyh{-}Chyang Lee and Chih{-}Jen Cheng}, title = {A low-power {VLSI} architecture for a shared-memory {FFT} processor with a mixed-radix algorithm and a simple memory control scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692546}, doi = {10.1109/ISCAS.2006.1692546}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeH06, author = {Jong{-}Suk Lee and Dong Sam Ha}, title = {FleXilicon: a reconfigurable architecture for multimedia and wireless communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693598}, doi = {10.1109/ISCAS.2006.1693598}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHL06, author = {Ho{-}Yin Lee and Chen{-}Ming Hsu and Ching{-}Hsing Luo}, title = {{CMOS} thermal sensing system with simplified circuits and high accuracy for biomedical application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693596}, doi = {10.1109/ISCAS.2006.1693596}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKPKK06, author = {Suh Ho Lee and Jeong Hun Kim and Ji Hwan Park and Seon Wook Kim and Suki Kim}, title = {Implementation of {H.264/AVC} decoder for mobile video applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693901}, doi = {10.1109/ISCAS.2006.1693901}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKPKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCC06, author = {Jeesung Lee and Hanho Lee and Sang{-}in Cho and Sangsung Choi}, title = {A high-speed, low-complexity radix-2\({}^{\mbox{4}}\) {FFT} processor for {MB-OFDM} {UWB} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693684}, doi = {10.1109/ISCAS.2006.1693684}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeMA06, author = {Edward K. Lee and Eusebiu Matei and Ravi S. Ananth}, title = {A 0.9 {V} rail-to-rail constant g\({}_{\mbox{m}}\) amplifier for implantable biomedical applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692670}, doi = {10.1109/ISCAS.2006.1692670}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeMA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeePP06, author = {Jin Lee and Sin{-}Chong Park and Sungchung Park}, title = {A pipelined {VLSI} architecture for a list sphere decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692606}, doi = {10.1109/ISCAS.2006.1692606}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeePP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSCL06, author = {Il{-}Gu Lee and Jungbo Son and Eunyoung Choi and Sok{-}Kyu Lee}, title = {Fast automatic gain control employing two compensation loop for high throughput {MIMO-OFDM} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693869}, doi = {10.1109/ISCAS.2006.1693869}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeSLW06, author = {Gwo Giun Lee and Drew Wei{-}Chi Su and He{-}Yuan Lin and Ming{-}Jiun Wang}, title = {Multiresolution-based texture adaptive motion detection for de-interlacing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693584}, doi = {10.1109/ISCAS.2006.1693584}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeSLW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeY06, author = {Young{-}Jae Lee and Hyun{-}Kyu Yu}, title = {A transformer-based low phase noise and widely tuned {CMOS} quadrature {VCO}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693509}, doi = {10.1109/ISCAS.2006.1693509}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeekeM06, author = {Selwyn Leeke and Koushik Maharatna}, title = {A low-power geometric mapping co-processor for high-speed graphics application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693304}, doi = {10.1109/ISCAS.2006.1693304}, timestamp = {Tue, 29 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeekeM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LefolBC06, author = {Damien Lefol and David R. Bull and Cedric Nishan Canagarajah}, title = {Mode refinement algorithm for {H.264} intra frame requantization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693619}, doi = {10.1109/ISCAS.2006.1693619}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LefolBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LegerN06, author = {Aaron St. Leger and Chika O. Nwankpa}, title = {Static generator model for analog power flow computation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692928}, doi = {10.1109/ISCAS.2006.1692928}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LegerN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehtoSV06, author = {Raija Lehto and Tapio Saram{\"{a}}ki and Olli Vainio}, title = {Formulas to generate efficient piecewise-polynomial implementations of narrowband linear-phase {FIR} filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693134}, doi = {10.1109/ISCAS.2006.1693134}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LehtoSV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LehtonenRIPI06, author = {Teijo Lehtonen and Pekka Rantala and P. Isomaki and Juha Plosila and Jouni Isoaho}, title = {An approach for analysing and improving fault tolerance in radio architectures}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693359}, doi = {10.1109/ISCAS.2006.1693359}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LehtonenRIPI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Leon-SalasBSHS06, author = {Walter D. Leon{-}Salas and Sina Balkir and Khalid Sayood and Michael W. Hoffman and Nathan Schemm}, title = {A {CMOS} imager with focal plane compression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693398}, doi = {10.1109/ISCAS.2006.1693398}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Leon-SalasBSHS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LesueurMS06, author = {Sebastien Lesueur and Daniel Massicotte and Pierre Sicard}, title = {A full-differential analog design of an indirect inverse control law based on neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693201}, doi = {10.1109/ISCAS.2006.1693201}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LesueurMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeungCCP06, author = {Pak{-}Keung Leung and Oliver Chiu{-}sing Choy and Cheong{-}Fat Chan and Kong{-}Pang Pun}, title = {An optimal normal basis elliptic curve cryptoprocessor for inductive {RFID} application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692584}, doi = {10.1109/ISCAS.2006.1692584}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeungCCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LewisMLSM06, author = {No{\"{e}}lle Lewis and Guillaume Monnerie and L{\'{e}}o Lewis and Jocelyn Sabatier and Pierre Melchior}, title = {Automatic procedure generating noise models for discrete-time applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693934}, doi = {10.1109/ISCAS.2006.1693934}, timestamp = {Wed, 15 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LewisMLSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiB06, author = {Yijun Li and Magdy A. Bayoumi}, title = {A power-efficient architecture for {EBCOT} tier-1 in {JPEG} 2000}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692991}, doi = {10.1109/ISCAS.2006.1692991}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiBP06, author = {Pengfei Li and Rizwan Bashirullah and Jos{\'{e}} Carlos Pr{\'{\i}}ncipe}, title = {A low power battery management system for rechargeable wireless implantable electronics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692791}, doi = {10.1109/ISCAS.2006.1692791}, timestamp = {Mon, 11 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiC06, author = {Chi{-}Fang Li and Racy J.{-}H. Cheng}, title = {A two-stage digital {AGC} scheme with diversity selection for frame-based {OFDM} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693388}, doi = {10.1109/ISCAS.2006.1693388}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiCC06, author = {Chung{-}Yi Li and Jiung{-}Sheng Chen and Tsin{-}Yuan Chang}, title = {A chaos-based pseudo random number generator using timing-based reseeding method}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693325}, doi = {10.1109/ISCAS.2006.1693325}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiHKW06, author = {Miao Li and Wenjie Huang and Tad A. Kwasniewski and Shoujun Wang}, title = {A 0.18{\(\mathrm{\mu}\)}m {CMOS} clock and data recovery circuit with extended operation range}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693789}, doi = {10.1109/ISCAS.2006.1693789}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiHKW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiL06, author = {Fengling Li and Nam Ling}, title = {Improved content adaptive update weight control in motion-compensated temporal filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693258}, doi = {10.1109/ISCAS.2006.1693258}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLWC06, author = {He Li and Zhengguo Li and Changyun Wen and Lap{-}Pui Chau}, title = {Fast mode decision for spatial scalable video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693257}, doi = {10.1109/ISCAS.2006.1693257}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiN06, author = {Hongliang Li and King N. Ngan}, title = {Face segmentation in head-and-shoulder video sequences based on facial saliency map}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693176}, doi = {10.1109/ISCAS.2006.1693176}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiN06a, author = {Nan Li and Behrouz Nowrouzian}, title = {Application of frequency-response masking technique to the design of a novel modified-DFT filter bank}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693329}, doi = {10.1109/ISCAS.2006.1693329}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiN06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiPLC06, author = {Jun{-}Bao Li and Jeng{-}Shyang Pan and Zhe{-}Ming Lu and Jung{-}Chou Harry Chang}, title = {Complete Kernel Fisher discriminant analysis of Gabor features with fractional power polynomial models for face recognition}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693880}, doi = {10.1109/ISCAS.2006.1693880}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiPLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiSL06, author = {Zhi Li and Qibin Sun and Yong Lian}, title = {Unequal authenticity protection {(UAP)} for rate-distortion-optimized secure streaming of multimedia over wireless networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693277}, doi = {10.1109/ISCAS.2006.1693277}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiSWV06, author = {Xiaolong Li and Wouter A. Serdijn and B. E. M. Woestenburg and Jan Geralt bij de Vaate}, title = {A broadband indirect-feedback power-to-current {LNA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692529}, doi = {10.1109/ISCAS.2006.1692529}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiSWV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiT06, author = {Zhenyan Li and Yap{-}Peng Tan}, title = {Content-based video copy detection with video signature}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693585}, doi = {10.1109/ISCAS.2006.1693585}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiW06, author = {Qingwei Li and Zhongfeng Wang}, title = {Improved k-best sphere decoding algorithms for {MIMO} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692796}, doi = {10.1109/ISCAS.2006.1692796}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiZCY06, author = {Danjue Li and Qian Zhang and Chen{-}Nee Chuah and S. J. Ben Yoo}, title = {Multi-source multi-path video streaming over wireless mesh networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692681}, doi = {10.1109/ISCAS.2006.1692681}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiZCY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LianLRW06, author = {Shiguo Lian and Zhongxuan Liu and Zhen Ren and Haila Wang}, title = {Hash function based on chaotic neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692566}, doi = {10.1109/ISCAS.2006.1692566}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LianLRW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LianZT06, author = {Naixiang Lian and Vitali Zagorodnov and Yap{-}Peng Tan}, title = {Video denoising using vector estimation of wavelet coefficients}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693174}, doi = {10.1109/ISCAS.2006.1693174}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LianZT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangEM06, author = {Y. J. Liang and Khaled El{-}Maleh and S. Manjunath}, title = {Upfront intra-refresh decision for low-complexity wireless video telephony}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693280}, doi = {10.1109/ISCAS.2006.1693280}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangEM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangWE06, author = {Yi Liang and Haohong Wang and Khaled El{-}Maleh}, title = {Design and implementation of content-adaptive background skipping for wireless video}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693222}, doi = {10.1109/ISCAS.2006.1693222}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangWE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangWY06, author = {Junli Liang and Shijun Wang and Shuyuan Yang}, title = {Robust adaptive infinite impulse response notch filters: a novel state-space approach}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693207}, doi = {10.1109/ISCAS.2006.1693207}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangWY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoCST06, author = {Hong{-}Yuan Mark Liao and Duan{-}Yu Chen and Chih{-}Wen Su and Hsiao{-}Rong Tyan}, title = {Real-time event detection and its application to surveillance systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692634}, doi = {10.1109/ISCAS.2006.1692634}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoCST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LichtsteinerDP06, author = {Patrick Lichtsteiner and Tobi Delbr{\"{u}}ck and Christoph Posch}, title = {A 100dB dynamic range high-speed dual-line optical transient sensor with asynchronous readout}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692921}, doi = {10.1109/ISCAS.2006.1692921}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LichtsteinerDP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LienCC06, author = {Jui{-}Ping Lien and Po{-}An Chen and Tzi{-}Dar Chiueh}, title = {Design of a {MIMO} {OFDM} baseband transceiver for cognitive radio system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693530}, doi = {10.1109/ISCAS.2006.1693530}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LienCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinBX06, author = {Zhiping Lin and Mohamed S. Boudellioua and Li Xu}, title = {On the equivalence and factorization of multivariate polynomial matrices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693732}, doi = {10.1109/ISCAS.2006.1693732}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinBX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC06, author = {Wei{-}Cheng Lin and Chung{-}Ho Chen}, title = {Exploring reusable frame buffer data for {MPEG-4} video decoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692624}, doi = {10.1109/ISCAS.2006.1692624}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC06a, author = {Wei{-}Tsen Lin and Dah{-}Chung Chang}, title = {The extended Kalman filtering algorithm for carrier synchronization and the implementation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693514}, doi = {10.1109/ISCAS.2006.1693514}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC06b, author = {Jin{-}Fu Lin and Soon{-}Jyh Chang}, title = {A high speed pipelined analog-to-digital converter using modified time-shifted correlated double sampling technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693846}, doi = {10.1109/ISCAS.2006.1693846}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC06b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCCC06, author = {Chin{-}Teng Lin and Shi{-}An Chen and Ying{-}Chang Cheng and Jen{-}Feng Chung}, title = {CNN-based local motion estimation chip for image stabilization processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693167}, doi = {10.1109/ISCAS.2006.1693167}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinG06, author = {Yu Lin and Randall L. Geiger}, title = {Unit resistor characterization for matching-critical circuit design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693370}, doi = {10.1109/ISCAS.2006.1693370}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHLSB06, author = {Zhiqiang Lin and Michael W. Hoffman and Walter D. Leon{-}Salas and Nathan Schemm and Sina Balkir}, title = {Effects of charge-based computation non-idealities on {CMOS} image compression sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693801}, doi = {10.1109/ISCAS.2006.1693801}, timestamp = {Wed, 25 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHLSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHP06, author = {Jian{-}Liang Lin and Wen{-}Liang Hwang and Soo{-}Chang Pei}, title = {Video compression based on orthonormal matching pursuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693860}, doi = {10.1109/ISCAS.2006.1693860}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHSH06, author = {Jin{-}Fa Lin and Yin{-}Tsung Hwang and Ming{-}Hwa Sheu and Cheng{-}Che Ho}, title = {A high speed and energy efficient full adder design using complementary {\&} level restoring carry logic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693182}, doi = {10.1109/ISCAS.2006.1693182}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinL06, author = {Zhiping Lin and Yongzhi Liu}, title = {{FIR} filter design with group delay constraint using semidefinite programming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693132}, doi = {10.1109/ISCAS.2006.1693132}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLCH06, author = {Yi{-}Lun Lin and Shu{-}Fa Lin and Homer H. Chen and Yuh{-}Feng Hsu}, title = {Improving the coding of regions of interest}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693583}, doi = {10.1109/ISCAS.2006.1693583}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLCHK06, author = {Chin{-}Teng Lin and Sheng{-}Fu Liang and Yu{-}Chieh Chen and Yung{-}Chi Hsu and Li{-}Wei Ko}, title = {Driver's drowsiness estimation by combining {EEG} signal analysis and ICA-based fuzzy neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693037}, doi = {10.1109/ISCAS.2006.1693037}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLCHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLCWTC06, author = {Yu{-}Cheng Lin and Pei{-}Lun Li and Chin{-}Hsiang Chang and Chi{-}Ling Wu and You{-}Ming Tsao and Shao{-}Yi Chien}, title = {Multi-pass algorithm of motion estimation in video encoding for generic {GPU}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693617}, doi = {10.1109/ISCAS.2006.1693617}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLCWTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLLY06, author = {Heng{-}Yao Lin and Ying{-}Hong Lu and Bin{-}Da Liu and Jar{-}Ferr Yang}, title = {Low power design of {H.264} {CAVLC} decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693178}, doi = {10.1109/ISCAS.2006.1693178}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLP06, author = {Yuan{-}Pei Lin and Yu{-}Pin Lin and See{-}May Phoong}, title = {A frequency domain based {TEQ} design for {DSL} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692900}, doi = {10.1109/ISCAS.2006.1692900}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLSC06, author = {Jing{-}Shiun Lin and Chung{-}Kung Lee and Ming{-}Der Shieh and Jun{-}Hong Chen}, title = {High-speed {CRC} design for 10 Gbps applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693300}, doi = {10.1109/ISCAS.2006.1693300}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLWC06, author = {Kuang{-}Hao Lin and Hsin{-}Lei Lin and Shih{-}Ming Wang and Robert Chen{-}Hao Chang}, title = {Implementation of digital {IQ} imbalance compensation in {OFDM} {WLAN} receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693389}, doi = {10.1109/ISCAS.2006.1693389}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLWSL06, author = {He{-}Yuan Lin and Gwo Giun Lee and Ming{-}Jiun Wang and Drew Wei{-}Chi Su and Bo{-}Yun Lin}, title = {Model-based optimal rate control algorithm for real-time hybrid video encoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693618}, doi = {10.1109/ISCAS.2006.1693618}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLWSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinP06, author = {Jian{-}Hung Lin and Keshab K. Parhi}, title = {Low complexity block turbo equalization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693777}, doi = {10.1109/ISCAS.2006.1693777}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinTSE06, author = {Kuan{-}Yu Lin and T. K. K. Tsang and Mohamad Sawan and Mourad N. El{-}Gamal}, title = {Radio-triggered solar and {RF} power scavenging and management for ultra low power wireless medical applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693936}, doi = {10.1109/ISCAS.2006.1693936}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinTSE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWSCL06, author = {Chung{-}Chi Lin and Chih{-}Jen Wei and Ming{-}Hwa Sheu and Huann{-}Keng Chiang and Chishyan Liaw}, title = {The {VLSI} design of de-interlacing with scene change detection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693757}, doi = {10.1109/ISCAS.2006.1693757}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWSCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYLY06, author = {Heng{-}Yao Lin and Jwu{-}Jin Yang and Bin{-}Da Liu and Jar{-}Ferr Yang}, title = {Efficient deblocking filter architecture for {H.264} video coders}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693160}, doi = {10.1109/ISCAS.2006.1693160}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYP06, author = {Zhiping Lin and Hongtao Yu and Feng Pan}, title = {A scalable fast mode decision algorithm for {H.264}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693379}, doi = {10.1109/ISCAS.2006.1693379}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinYV06, author = {Chin{-}Teng Lin and Yuan{-}Chu Yu and Lan{-}Da Van}, title = {A low-power 64-point {FFT/IFFT} design for {IEEE} 802.11a {WLAN} application}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693635}, doi = {10.1109/ISCAS.2006.1693635}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinYV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Linares-BarrancoCJCOL06, author = {Alejandro Linares{-}Barranco and D. Cascado and Gabriel Jim{\'{e}}nez and Ant{\'{o}}n Civit and Matthias Oster and Bernab{\'{e}} Linares{-}Barranco}, title = {Poisson {AER} generator: inter-spike-intervals analysis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693293}, doi = {10.1109/ISCAS.2006.1693293}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Linares-BarrancoCJCOL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LindDD06, author = {Magnus G. J. Lind and Guy Albert Dumont and William G. Dunford}, title = {Analysis of a circuit exhibiting ferroresonance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693427}, doi = {10.1109/ISCAS.2006.1693427}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LindDD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LindermanM06, author = {Michael D. Linderman and Teresa H. Meng}, title = {A low power merge cell processor for real-time spike sorting in implantable neural prostheses}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693532}, doi = {10.1109/ISCAS.2006.1693532}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LindermanM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuAE06, author = {Zhenyu Liu and Tughrul Arslan and Ahmet T. Erdogan}, title = {An embedded low power reconfigurable fabric for finite state machine operations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693597}, doi = {10.1109/ISCAS.2006.1693597}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuAE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuBKW06, author = {Sining Liu and Francis Bowen and Brian King and Wei Wang}, title = {Elliptic curves cryptosystem implementation based on a look-up table sharing scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693236}, doi = {10.1109/ISCAS.2006.1693236}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuBKW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCC06, author = {Yen{-}Ting Liu and Lih{-}Yih Chiou and Soon{-}Jyh Chang}, title = {Energy-efficient adaptive clocking dual edge sense-amplifier flip-flop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693587}, doi = {10.1109/ISCAS.2006.1693587}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCCX06, author = {Haihua Liu and Xinhao Chen and Yaguang Chen and Changsheng Xie}, title = {Double change detection method for moving-object segmentation based on clustering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693761}, doi = {10.1109/ISCAS.2006.1693761}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCCX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuDD06, author = {Xiao Liu and Andreas Demosthenous and Nick Donaldson}, title = {A stimulator output stage with capacitor reduction and failure-checking techniques}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692667}, doi = {10.1109/ISCAS.2006.1692667}, timestamp = {Wed, 25 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuDD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuDHW06, author = {Dong Liu and Wenpeng Ding and Yuwen He and Feng Wu}, title = {Quality-biased rate allocation for compound image coding with block classification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693741}, doi = {10.1109/ISCAS.2006.1693741}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuDHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuDT06, author = {Lijie Liu and Wei Dai and Trac D. Tran}, title = {JPEG-compliant image coding with adaptive pre-/post-filtering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692959}, doi = {10.1109/ISCAS.2006.1692959}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuDT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLSM06, author = {Ke Liu and Wen{-}min Lin and Jia{-}ning Su and Hao Min}, title = {Low-complexity synchronization technique with adaptive mode detection for {DVB-H} system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693871}, doi = {10.1109/ISCAS.2006.1693871}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLSM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuMBT06, author = {Mingjian Liu and A. Marciello and Mario di Bernardo and Ljiljana Trajkovic}, title = {Discontinuity-induced bifurcations in {TCP/RED} communication algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693163}, doi = {10.1109/ISCAS.2006.1693163}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuMBT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuMC06, author = {Wei Liu and Danilo P. Mandic and Andrzej Cichocki}, title = {An analysis of the {CCA} approach for blind source separation and its adaptive realization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693403}, doi = {10.1109/ISCAS.2006.1693403}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuMC06a, author = {Wei Liu and Danilo P. Mandic and Andrzej Cichocki}, title = {Blind source extraction of instantaneous noisy mixtures using a linear predictor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693555}, doi = {10.1109/ISCAS.2006.1693555}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuMC06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuN06, author = {Yu Liu and King Ngi Ngan}, title = {Fast lossless multi-resolution motion estimation for scalable wavelet video coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693497}, doi = {10.1109/ISCAS.2006.1693497}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuNO06, author = {Yilong Liu and Truong T. Nguyen and Soontorn Oraintara}, title = {Embedded image coding using quincunx directional filter bank}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693740}, doi = {10.1109/ISCAS.2006.1693740}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuNO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuO06, author = {Shih{-}Chii Liu and Matthias Oster}, title = {Feature competition in a spike-based winner-take-all {VLSI} network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693414}, doi = {10.1109/ISCAS.2006.1693414}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuO06a, author = {Yilong Liu and Soontorn Oraintara}, title = {Feature-oriented multiple description image coding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693738}, doi = {10.1109/ISCAS.2006.1693738}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuO06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuPL06, author = {Chih{-}Hao Liu and See{-}May Phoong and Yuan{-}Pei Lin}, title = {On the design of {CMFB} transceivers for unknown channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693255}, doi = {10.1109/ISCAS.2006.1693255}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuPL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSWC06, author = {Wentai Liu and Mohanasankar Sivaprakasam and Gang Wang and Moo Sung Chae}, title = {A neural recording system for monitoring shark behavior}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693536}, doi = {10.1109/ISCAS.2006.1693536}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuSWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuT06, author = {Feng Liu and Chi{-}Ying Tsui}, title = {Energy-aware optimal workload allocation among the battery-powered devices to maximize the co-operation life time}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693829}, doi = {10.1109/ISCAS.2006.1693829}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZW06, author = {Minglei Liu and Ce Zhu and Xiaolin Wu}, title = {Index assignment design for three-description lattice vector quantization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693281}, doi = {10.1109/ISCAS.2006.1693281}, timestamp = {Fri, 17 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuZW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZY06, author = {Hao Liu and Wenjun Zhang and Xiaokang Yang}, title = {Error-resilience packet scheduling for low bit-rate video streaming over wireless channels}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692622}, doi = {10.1109/ISCAS.2006.1692622}, timestamp = {Tue, 02 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuZY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZY06a, author = {Hao Liu and Wenjun Zhang and Xiaokang Yang}, title = {Retransmission-based error spreading for layered video streaming over wireless LANs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692837}, doi = {10.1109/ISCAS.2006.1692837}, timestamp = {Tue, 02 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuZY06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoH06, author = {Tien{-}Yu Lo and Chung{-}Chih Hung}, title = {A high speed and high linearity {OTA} in 1-V power supply voltage}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692972}, doi = {10.1109/ISCAS.2006.1692972}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LoH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoikkanenK06, author = {Mikko Loikkanen and Juha Kostamovaara}, title = {{PSRR} improvement technique for amplifiers with Miller capacitor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692855}, doi = {10.1109/ISCAS.2006.1692855}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LoikkanenK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoizosS06, author = {Dimitrios N. Loizos and Paul{-}Peter Sotiriadis}, title = {A quadrature sinusoidal oscillator with phase-preserving linear frequency control and independent static amplitude control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693765}, doi = {10.1109/ISCAS.2006.1693765}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LoizosS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoizosSC06, author = {Dimitrios N. Loizos and Paul{-}Peter Sotiriadis and Gert Cauwenberghs}, title = {A robust continuous-time multi-dithering technique for laser communications using adaptive optics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693412}, doi = {10.1109/ISCAS.2006.1693412}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LoizosSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LopelliTR06, author = {Emanuele Lopelli and Johan van der Tang and Arthur H. M. van Roermund}, title = {An ultra-low power predistortion-based {FHSS} transmitter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693083}, doi = {10.1109/ISCAS.2006.1693083}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LopelliTR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lopez-MartinCRC06, author = {Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Alfonso Carlosena and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Rail-to-rail tunable {CMOS} {V-I} converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692616}, doi = {10.1109/ISCAS.2006.1692616}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lopez-MartinCRC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LopezA06, author = {Toni L{\'{o}}pez and Eduard Alarc{\'{o}}n}, title = {Performance of pn-junction diode lumped models for circuit simulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693073}, doi = {10.1109/ISCAS.2006.1693073}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LopezA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LopichD06, author = {Alexey Lopich and Piotr Dudek}, title = {Architecture of a {VLSI} cellular processor array for synchronous/asynchronous image processing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693410}, doi = {10.1109/ISCAS.2006.1693410}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LopichD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LotaAK06, author = {Jaswinder Lota and Mohammed Al{-}Janabi and Izzet Kale}, title = {Stability analysis of higher-order delta-sigma modulators using the describing function method}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692655}, doi = {10.1109/ISCAS.2006.1692655}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LotaAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LovittPR06, author = {T. Lovitt and Calvin Plett and John W. M. Rogers}, title = {A 0.13{\(\mathrm{\mu}\)}m {CMOS} delay cell for 40 Gb/s {FFE} equalization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693924}, doi = {10.1109/ISCAS.2006.1693924}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LovittPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LozanoFR06, author = {Cicilia C. Lozano and Bogdan J. Falkowski and Susanto Rahardja}, title = {Algorithms for generation of quaternary fixed polarity arithmetic spectra}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692707}, doi = {10.1109/ISCAS.2006.1692707}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LozanoFR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lu06, author = {Wu{-}Sheng Lu}, title = {Design of {FIR} filters with discrete coefficients via sphere relaxation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693133}, doi = {10.1109/ISCAS.2006.1693133}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lu06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lu06a, author = {Wu{-}Sheng Lu}, title = {An argument-principle based stability criterion and application to the design of {IIR} digital filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693612}, doi = {10.1109/ISCAS.2006.1693612}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lu06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuC06, author = {Jinhu Lu and Guanrong Chen}, title = {A brief overview of multi-scroll chaotic attractors generation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692682}, doi = {10.1109/ISCAS.2006.1692682}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH06, author = {Xiaoning Lu and K. C. Ho}, title = {Taylor-series technique for moving source localization in the presence of sensor location errors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692775}, doi = {10.1109/ISCAS.2006.1692775}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH06a, author = {Wu{-}Sheng Lu and Takao Hinamoto}, title = {A second-order cone programming approach for minimax design of 2-D {FIR} filters with low group delay}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693136}, doi = {10.1109/ISCAS.2006.1693136}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuMSL06, author = {Jinhu Lu and Krishnamurthy Murali and Sudeshna Sinha and Henry Leung}, title = {Generating multi-scroll chaotic attractors via threshold control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692565}, doi = {10.1109/ISCAS.2006.1692565}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuMSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LukacPS06, author = {Rastislav Lukac and Konstantinos N. Plataniotis and Bogdan Smolka}, title = {On cDNA microarray spot localization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693702}, doi = {10.1109/ISCAS.2006.1693702}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LukacPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LukinTFRAE06, author = {Vladimir V. Lukin and Alexander V. Totsky and Dmitriy V. Fevralev and Alexey A. Roenko and Jaakko Astola and Karen O. Egiazarian}, title = {Adaptive combined bispectrum-filtering signal processing in radar systems with low {SNR}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693428}, doi = {10.1109/ISCAS.2006.1693428}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LukinTFRAE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LunaCHA06, author = {German C. Luna and Diego E. Crivelli and Mario Rafael Hueda and Oscar E. Agazzi}, title = {Compensation of track and hold frequency response mismatches in interleaved analog to digital converters for high-speed communications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692914}, doi = {10.1109/ISCAS.2006.1692914}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LunaCHA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuoZCHW06, author = {Lijuan Luo and Qiang Zhou and Yici Cai and Xianlong Hong and Yibo Wang}, title = {A novel technique integrating buffer insertion into timing driven placement}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693904}, doi = {10.1109/ISCAS.2006.1693904}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuoZCHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuuD06, author = {Lessing Luu and Babak Daneshrad}, title = {Relaxing {RF} component requirements in a Weaver architecture by learning and adapting to the environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693387}, doi = {10.1109/ISCAS.2006.1693387}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuuD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ma06, author = {Dongsheng Ma}, title = {Automatic substrate switching circuit for on-chip adaptive power supply system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693195}, doi = {10.1109/ISCAS.2006.1693195}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ma06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaLK06, author = {Min Ma and Alfred Tze{-}Mun Leung and Roni Khazaka}, title = {Sparse macromodels for parametric networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693142}, doi = {10.1109/ISCAS.2006.1693142}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaSUM06, author = {Jun{-}Xia Ma and Sai{-}Weng Sin and Seng{-}Pan U. and Rui Paulo Martins}, title = {A power-efficient 1.056 GS/s resolution-switchable 5-bit/6-bit flash {ADC} for {UWB} applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693581}, doi = {10.1109/ISCAS.2006.1693581}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaSUM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaVW06, author = {Jun Ma and Alexander Vardy and Zhongfeng Wang}, title = {Reencoder design for soft-decision decoding of an (255, 239) Reed-Solomon code}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693393}, doi = {10.1109/ISCAS.2006.1693393}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaVW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaVW06a, author = {Jun Ma and Alexander Vardy and Zhongfeng Wang}, title = {Efficient fast interpolation architecture for soft-decision decoding of Reed-Solomon codes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693710}, doi = {10.1109/ISCAS.2006.1693710}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaVW06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaWN06, author = {Shaodan Ma and Ngai Wong and Tung{-}Sang Ng}, title = {Time domain equalization for {OFDM} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693492}, doi = {10.1109/ISCAS.2006.1693492}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaWN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaZWS06, author = {Yongxin Ma and Xiaoyang Zeng and Min Wu and Chengshou Sun}, title = {A new low cost and reconfigurable {RSA} crypto-processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692582}, doi = {10.1109/ISCAS.2006.1692582}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaZWS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MacchettiC06, author = {Marco Macchetti and Wenyu Chen}, title = {{ASIC} hardware implementation of the {IDEA} {NXT} encryption algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693715}, doi = {10.1109/ISCAS.2006.1693715}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MacchettiC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeB06, author = {Arjuna Madanayake and Leonard T. Bruton}, title = {Circular array based 2D recursive filtering using a spatio-temporal helix transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693734}, doi = {10.1109/ISCAS.2006.1693734}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeB06a, author = {Arjuna Madanayake and Leonard T. Bruton}, title = {Single-chip {FPGA} architecture for 3D {IIR} broadband spatio-temporal beam plane-wave filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693736}, doi = {10.1109/ISCAS.2006.1693736}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeB06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaeyerRW06, author = {Jeroen De Maeyer and Pieter Rombouts and Ludo Weyten}, title = {Nyquist criterion based design of continuous time Sigma Delta modulators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693131}, doi = {10.1109/ISCAS.2006.1693131}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaeyerRW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MahdianiBF06, author = {Hamid Reza Mahdiani and Abbas Banaiyan and Seid Mehdi Fakhraie}, title = {Hardware implementation and comparison of new defuzzification techniques in fuzzy processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693659}, doi = {10.1109/ISCAS.2006.1693659}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MahdianiBF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaheshV06, author = {R. Mahesh and A. Prasad Vinod}, title = {A new common subexpression elimination algorithm for implementing low complexity {FIR} filters in software defined radio receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693633}, doi = {10.1109/ISCAS.2006.1693633}, timestamp = {Fri, 04 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaheshV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaiYLZW06, author = {Songping Mai and Kun Yang and Wenli Lan and Chun Zhang and Zhihua Wang}, title = {An open-source based {DSP} with enhanced multimedia-processing capacity for embedded applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692741}, doi = {10.1109/ISCAS.2006.1692741}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaiYLZW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MakUM06, author = {Pui{-}In Mak and Seng{-}Pan U. and Rui Paulo Martins}, title = {Design and test strategy underlying a low-voltage analog-baseband {IC} for 802.11a/b/g {WLAN} SiP receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693124}, doi = {10.1109/ISCAS.2006.1693124}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MakUM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaltsevPMK06, author = {Alexander Maltsev and V. Pestretsov and Roman Maslennikov and Alexey Khoryaev}, title = {Triangular systolic array with reduced latency for QR-decomposition of complex matrices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692603}, doi = {10.1109/ISCAS.2006.1692603}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MaltsevPMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalAS06, author = {Soumyajit Mandal and Scott K. Arfin and Rahul Sarpeshkar}, title = {Fast startup {CMOS} current references}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693217}, doi = {10.1109/ISCAS.2006.1693217}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandalAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalZS06, author = {Soumyajit Mandal and Serhii M. Zhak and Rahul Sarpeshkar}, title = {Circuits for an {RF} cochlea}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693408}, doi = {10.1109/ISCAS.2006.1693408}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandalZS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandarinoZ06, author = {F. Mandarino and R. Zelenovsky}, title = {Performance analysis of the Bayesian beam former on the {CDMA} reverse channel}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693919}, doi = {10.1109/ISCAS.2006.1693919}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandarinoZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandolesiJA06, author = {Pablo Sergio Mandolesi and Pedro Juli{\'{a}}n and Andreas G. Andreou}, title = {A simplicial {CNN} visual processor in 3D {SOI-CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692834}, doi = {10.1109/ISCAS.2006.1692834}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandolesiJA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ManeechukateKWK06, author = {T. Maneechukate and Jeerasuda Koseeyaporn and Paramote Wardkein and Poolsak Koseeyaporn}, title = {Amplitude modulation based on time-varying forced function of second-order oscillator circuit}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693456}, doi = {10.1109/ISCAS.2006.1693456}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ManeechukateKWK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarcoAM06, author = {L{\'{a}}zaro Marco and Eduard Alarc{\'{o}}n and Dragan Maksimovic}, title = {Effects of switching power converter nonidealities in envelope elimination and restoration technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693290}, doi = {10.1109/ISCAS.2006.1693290}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarcoAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarcoPAM06, author = {L{\'{a}}zaro Marco and Alberto Poveda and Eduard Alarc{\'{o}}n and Dragan Maksimovic}, title = {Bandwidth limits in {PWM} switching amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693835}, doi = {10.1109/ISCAS.2006.1693835}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarcoPAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaroulisSC06, author = {Dimitris Maroulis and Nikos Sgouros and Dionisis Chaikalis}, title = {FPGA-based architecture for real-time {IP} video and image compression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693899}, doi = {10.1109/ISCAS.2006.1693899}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaroulisSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarsmanSCKMB06, author = {Eric D. Marsman and Robert M. Senger and Gordy A. Carichner and Sundus Kubba and Michael S. McCorquodale and Richard B. Brown}, title = {{DSP} architecture for cochlear implants}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692671}, doi = {10.1109/ISCAS.2006.1692671}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarsmanSCKMB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarsonoEG06, author = {Muhammad N. Marsono and M. Watheq El{-}Kharashi and Fayez Gebali}, title = {Binary LNS-based naive Bayes hardware classifier for spam control}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693424}, doi = {10.1109/ISCAS.2006.1693424}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarsonoEG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartensG06, author = {Ewout Martens and Georges G. E. Gielen}, title = {A behavioral model of sampled-data systems in the phase-frequency transfer domain for architectural exploration of transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692753}, doi = {10.1109/ISCAS.2006.1692753}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MartensG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Martigne06, author = {P. Martigne}, title = {{UWB} for low data rate applications: technology overview and regulatory aspects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693112}, doi = {10.1109/ISCAS.2006.1693112}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Martigne06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinezVAP06, author = {Herminio Mart{\'{\i}}nez and Eva Vidal and Eduard Alarc{\'{o}}n and Alberto Poveda}, title = {Improving the stability of on-chip automatic tuning loops for continuous-time filters with an analog adaptive controller}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693461}, doi = {10.1109/ISCAS.2006.1693461}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartinezVAP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarwickA06, author = {Miriam Adlerstein Marwick and Andreas G. Andreou}, title = {Retinomorphic system design in three dimensional {SOI-CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692920}, doi = {10.1109/ISCAS.2006.1692920}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarwickA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarwickTPCSA06, author = {Miriam Adlerstein Marwick and Francisco Tejada and Philippe O. Pouliquen and Eugenio Culurciello and Kim Strohbehn and Andreas G. Andreou}, title = {Dark current and noise of 100nm thick silicon on sapphire {CMOS} lateral {PIN} photodiodes}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693650}, doi = {10.1109/ISCAS.2006.1693650}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarwickTPCSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaskellLP06, author = {Douglas L. Maskell and Jussipekka Leiwo and Jagdish Chandra Patra}, title = {The design of multiplierless {FIR} filters with a minimum adder step and reduced hardware complexity}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692658}, doi = {10.1109/ISCAS.2006.1692658}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaskellLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MasselosAS06, author = {Kostas Masselos and Yiannis Andreopoulos and Thanos Stouraitis}, title = {Execution time comparison of lifting-based 2D wavelet transforms implementations on a {VLIW} {DSP}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692737}, doi = {10.1109/ISCAS.2006.1692737}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MasselosAS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatACGL06, author = {Mengyao Ma and Oscar C. Au and S.{-}H. Gary Chan and Liwei Guo and Zhiqin Liang}, title = {Three-loop temporal interpolation for error concealment of {MDC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692680}, doi = {10.1109/ISCAS.2006.1692680}, timestamp = {Fri, 04 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MatACGL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Matei06, author = {Radu P. Matei}, title = {Design of a class of maximally-flat spatial filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693047}, doi = {10.1109/ISCAS.2006.1693047}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Matei06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsuokaST06, author = {Yusuke Matsuoka and Toshimichi Saito and Hiroyuki Torikai}, title = {Complicated superstable behavior in a piecewise constant circuit with impulsive switching}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693885}, doi = {10.1109/ISCAS.2006.1693885}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsuokaST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatsushitaN06, author = {Haruna Matsushita and Yoshifumi Nishio}, title = {Competing and accommodating behaviors of peace {SOM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693416}, doi = {10.1109/ISCAS.2006.1693416}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatsushitaN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaunuPMPLP06, author = {Janne Maunu and Mikko P{\"{a}}nk{\"{a}}{\"{a}}l{\"{a}} and Joona Marku and Jonne Poikonen and Mika Laiho and Ari Paasio}, title = {Current source calibration by combination selection of minimum sized devices}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692644}, doi = {10.1109/ISCAS.2006.1692644}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaunuPMPLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaurudisHCGYZ06, author = {Anastasios Maurudis and Fei Huang and Diego Castillo and Puyun Guo and Shikui Yan and Quing Zhu}, title = {A novel electronic architecture used to support biomedical photo-acoustic imaging}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693704}, doi = {10.1109/ISCAS.2006.1693704}, timestamp = {Fri, 22 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MaurudisHCGYZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MbayeLBSP06, author = {Maria Mbaye and D. Lebel and Normand B{\'{e}}langer and Yvon Savaria and Samuel Pierre}, title = {Design exploration with an application-specific instruction-set processor for {ELA} deinterlacing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693656}, doi = {10.1109/ISCAS.2006.1693656}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MbayeLBSP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/McEwanC06, author = {Alistair McEwan and Steve Collins}, title = {A compact direct digital frequency synthesis architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693004}, doi = {10.1109/ISCAS.2006.1693004}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/McEwanC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Medrano-MarquesZC06, author = {Nicol{\'{a}}s J. Medrano{-}Marqu{\'{e}}s and Guillermo Zatorre and Santiago Celma}, title = {Sensor compensation using analogue-digital adaptive circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692816}, doi = {10.1109/ISCAS.2006.1692816}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Medrano-MarquesZC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeenderinckC06, author = {Cor Meenderinck and Sorin Cotofana}, title = {Electron counting based high-radix multiplication in single electron tunneling technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693647}, doi = {10.1109/ISCAS.2006.1693647}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeenderinckC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeherP06, author = {Pramod Kumar Meher and Jagdish Chandra Patra}, title = {A new approach to secure distributed storage, sharing and dissemination of digital image}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692600}, doi = {10.1109/ISCAS.2006.1692600}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeherP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeherPM06, author = {Pramod Kumar Meher and Jagdish Chandra Patra and M. R. Meher}, title = {Low-complexity technique for secure storage and sharing of biomedical images}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693705}, doi = {10.1109/ISCAS.2006.1693705}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeherPM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MehrmaneshESAA06, author = {Saeid Mehrmanesh and Behzad Eghbalkhah and Saeed Saeedi and Ali Afzali{-}Kusha and Seyed Mojtaba Atarodi}, title = {A compact low power mixed-signal equalizer for gigabit Ethernet applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693796}, doi = {10.1109/ISCAS.2006.1693796}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MehrmaneshESAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MehtaE06, author = {Swati Mehta and Ralph Etienne{-}Cummings}, title = {Normal flow measurement visual motion sensor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692746}, doi = {10.1109/ISCAS.2006.1692746}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MehtaE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeliopoulosCS06, author = {A. P. Sakis Meliopoulos and George J. Cokkinides and George K. Stefopoulos}, title = {Voltage stability and voltage recovery: effects of electric load dynamics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692689}, doi = {10.1109/ISCAS.2006.1692689}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeliopoulosCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerchedF06, author = {Ricardo Merched and I. S. Gadelha Figueiredo}, title = {Block precoder-based energy constrained {DFE}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693020}, doi = {10.1109/ISCAS.2006.1693020}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MerchedF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerchedH06, author = {Ricardo Merched and Are Hj{\o}rungnes}, title = {Innovations approach to {MMSE} waterfilling based equalizers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692773}, doi = {10.1109/ISCAS.2006.1692773}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MerchedH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MercierN06, author = {Patrick P. Mercier and Behrouz Nowrouzian}, title = {A Genetic Algorithm for the Design and Optimization of {FRM} Digital Filters Over a Canonical Double-Base Multiplier Coefficient Space}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, pages = {3289--3292}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693328}, doi = {10.1109/ISCAS.2006.1693328}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MercierN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerinoTC06, author = {Jos{\'{e}} Luis Merino and Llu{\'{\i}}s Ter{\'{e}}s and Jordi Carrabina}, title = {A current copying structure for current-mode monotonic digital-to-analog converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692858}, doi = {10.1109/ISCAS.2006.1692858}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MerinoTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerollaB06, author = {Paul Merolla and Kwabena Boahen}, title = {Dynamic computation in a recurrent network of heterogeneous silicon neurons}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693639}, doi = {10.1109/ISCAS.2006.1693639}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MerollaB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MerzFBRW06, author = {Ruben Merz and Alaeddine El Fawal and Jean{-}Yves Le Boudec and Bozidar Radunovic and J{\"{o}}rg Widmer}, title = {The optimal {MAC} layer for low-power {UWB} is non-coordinated}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693113}, doi = {10.1109/ISCAS.2006.1693113}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MerzFBRW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MesgarzadehA06, author = {Behzad Mesgarzadeh and Atila Alvandpour}, title = {A wide-tuning range 1.8 GHz quadrature {VCO} utilizing coupled ring oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693790}, doi = {10.1109/ISCAS.2006.1693790}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MesgarzadehA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MezaBNG06, author = {Carlos Meza and Domingo Biel and Juan J. Negroni and Francesc Guinjoan}, title = {Considerations on the control design of DC-link based inverters in grid-connected photovoltaic systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693771}, doi = {10.1109/ISCAS.2006.1693771}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MezaBNG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiCK06, author = {Jialin Mi and Chunhong Chen and H. K. Kwan}, title = {Power-oriented delay budgeting for combinational circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693264}, doi = {10.1109/ISCAS.2006.1693264}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MileticM06, author = {Igor Miletic and Ralph Mason}, title = {Quantization noise reduction using multiphase PLLs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693525}, doi = {10.1109/ISCAS.2006.1693525}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MileticM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MillerM06, author = {Scott Miller and Leonard MacEachern}, title = {A nanowatt bandgap voltage reference for ultra-low power applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692668}, doi = {10.1109/ISCAS.2006.1692668}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MillerM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MitraFI06, author = {Srinjoy Mitra and Stefano Fusi and Giacomo Indiveri}, title = {A {VLSI} spike-driven dynamic synapse which learns only when necessary}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693200}, doi = {10.1109/ISCAS.2006.1693200}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MitraFI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ModiWB06, author = {Sankalp S. Modi and Peter R. Wilson and Andrew D. Brown}, title = {Power aware learning for class {AB} analogue {VLSI} neural network}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692814}, doi = {10.1109/ISCAS.2006.1692814}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ModiWB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoezE06, author = {Kambiz K. Moez and Mohammad Ibrahim Elmasry}, title = {A novel loss compensation technique for broadband {CMOS} distributed amplifiers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692915}, doi = {10.1109/ISCAS.2006.1692915}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoezE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohamedSE06, author = {M. A. A. Mohamed and Hossam M. H. Shalaby and El{-}Sayed A. El{-}Badawy}, title = {On optical {CDMA} {MAC} protocols}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692965}, doi = {10.1109/ISCAS.2006.1692965}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohamedSE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohantyKVM06, author = {Saraju P. Mohanty and Elias Kougianos and Ramakrishna Velagapudi and Valmiki Mukherjee}, title = {Scheduling and binding for low gate leakage nanoCMOS datapath circuit synthesis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693827}, doi = {10.1109/ISCAS.2006.1693827}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohantyKVM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoiseevWK06, author = {Konstantin Moiseev and Shmuel Wimer and Avinoam Kolodny}, title = {Timing optimization of interconnect by simultaneous net-ordering, wire sizing and spacing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692589}, doi = {10.1109/ISCAS.2006.1692589}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoiseevWK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MolaviMS06, author = {Reza Molavi and Shahriar Mirabbasi and Resve A. Saleh}, title = {A high-speed low-energy dynamic {PLA} using an input-isolation scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693227}, doi = {10.1109/ISCAS.2006.1693227}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MolaviMS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Molinar-SolisGMP06, author = {Jes{\'{u}}s Ezequiel Molinar{-}Sol{\'{\i}}s and Felipe Gomez{-}Casta{\~{n}}eda and Jose A. Moreno{-}Cadenas and {V{\'{\i}}ctor Hugo Ponce Ponce}}, title = {Very fast programmable {CNN} based on FG-inverter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693437}, doi = {10.1109/ISCAS.2006.1693437}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Molinar-SolisGMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MollaHM06, author = {M. Khademul Islam Molla and Keikichi Hirose and Nobuaki Minematsu}, title = {Localization based audio source separation by sub-band beamforming}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692968}, doi = {10.1109/ISCAS.2006.1692968}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MollaHM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MolnarV06, author = {Goran Molnar and Mladen Vucic}, title = {Design of {IIR} all-pass equalizers based on minimum of waveform distortion}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693613}, doi = {10.1109/ISCAS.2006.1693613}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MolnarV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Moncunill-GenizP06, author = {F. Xavier Moncunill{-}Geniz and Pere Pal{\`{a}}{-}Sch{\"{o}}nw{\"{a}}lder}, title = {Performance of a {DSSS} superregenerative receiver in the presence of noise and interference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693925}, doi = {10.1109/ISCAS.2006.1693925}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Moncunill-GenizP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MondalMM06, author = {Somsubhra Mondal and Rajarshi Mukherjee and Seda Ogrenci Memik}, title = {Fine-grain thermal profiling and sensor insertion for FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693601}, doi = {10.1109/ISCAS.2006.1693601}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MondalMM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Monro06, author = {Donald M. Monro}, title = {Basis picking for matching pursuits audio compression}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693252}, doi = {10.1109/ISCAS.2006.1693252}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Monro06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MonsurroPST06, author = {Pietro Monsurr{\`{o}} and Salvatore Pennisi and Giuseppe Scotti and Alessandro Trifiletti}, title = {Inverting closed-loop amplifier architecture with reduced gain error and high input impedance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692522}, doi = {10.1109/ISCAS.2006.1692522}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MonsurroPST06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Morales-RamosSMZN06, author = {R. Morales{-}Ramos and Javier Sosa and Juan A. Montiel{-}Nelson and A. Zwick and X. P. Nguyen}, title = {Movement recognition and strain lecture algorithm for fracture monitoring system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692595}, doi = {10.1109/ISCAS.2006.1692595}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Morales-RamosSMZN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorenoCP06, author = {Ubirajara F. Moreno and Eug{\^{e}}nio B. Castelan and Edson R. de Pieri}, title = {Symbolic analysis of bifurcations in planar variable structure systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693045}, doi = {10.1109/ISCAS.2006.1693045}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MorenoCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorgadoRRMPFR06, author = {Alonso Morgado and Roc{\'{\i}}o del R{\'{\i}}o and Jos{\'{e}} M. de la Rosa and Fernando Medeiro and Maria Belen P{\'{e}}rez{-}Verd{\'{u}} and Francisco V. Fern{\'{a}}ndez and {\'{A}}ngel Rodr{\'{\i}}guez{-}V{\'{a}}zquez}, title = {Reconfiguration of cascade Sigma Delta modulators for multistandard GSM/Bluetooth/UMTS/WLAN transceivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692977}, doi = {10.1109/ISCAS.2006.1692977}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MorgadoRRMPFR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoriM06, author = {Hiroyuki Mori and Yukihiro Maeda}, title = {Application of two-layered tabu search to optimal allocation of {UPFC} for maximizing transmission capability}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692931}, doi = {10.1109/ISCAS.2006.1692931}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoriM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoriS06, author = {Hiroyuki Mori and Satoshi Saito}, title = {Power system network topology identification with {MLD} transform and tabu search}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692810}, doi = {10.1109/ISCAS.2006.1692810}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoriS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoriY06, author = {Hiroki Mori and Yasunori Yamada}, title = {Two-layered neighborhood tabu search for multi-objective distribution network expansion planning}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693432}, doi = {10.1109/ISCAS.2006.1693432}, timestamp = {Thu, 18 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoriY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoritzS06, author = {James Moritz and Yichuang Sun}, title = {100MHz, 6th order, leap-frog gm-C high {Q} bandpass filter and on-chip tuning scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693101}, doi = {10.1109/ISCAS.2006.1693101}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoritzS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoroHM06, author = {Seiichiro Moro and Keisuke Hamamoto and Tadashi Matsumoto}, title = {Number of stimulation units needed to derive all the phase patterns in pulse-driven star-coupled {LC} oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693886}, doi = {10.1109/ISCAS.2006.1693886}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoroHM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoshnyagaW06, author = {Vasily G. Moshnyaga and Kenji Wakisaka}, title = {Reducing computations in {MPEG2} video decoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692961}, doi = {10.1109/ISCAS.2006.1692961}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoshnyagaW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoshnyagaY06, author = {Vasily G. Moshnyaga and S. Yamaoka}, title = {{MPEG} complexity reduction by scene adaptive motion estimation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693681}, doi = {10.1109/ISCAS.2006.1693681}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoshnyagaY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MouleI06, author = {Eric C. Moule and Zeljko Ignjatovic}, title = {Band-stop noise modulated bandpass sigma-delta analog-to-digital converter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693267}, doi = {10.1109/ISCAS.2006.1693267}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MouleI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MouleI06a, author = {Eric C. Moule and Zeljko Ignjatovic}, title = {A 2-path bandpass sigma-delta modulator utilizing blue-noise path selection}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693693}, doi = {10.1109/ISCAS.2006.1693693}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MouleI06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MoyanoSB06, author = {C. F. Moyano and Roberto S. Salgado and Luciano V. Barboza}, title = {On the determination of adjusted {OPF} solutions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692930}, doi = {10.1109/ISCAS.2006.1692930}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MoyanoSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MucciBTC06, author = {Claudio Mucci and Massimo Bocchi and Mario Toma and Fabio Campi}, title = {A case-study on multimedia applications for the XiRisc reconfigurable processor}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693719}, doi = {10.1109/ISCAS.2006.1693719}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MucciBTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuellerSGS06, author = {Daniel Mueller and Guido Stehr and Helmut E. Graeb and Ulf Schlichtmann}, title = {Fast evaluation of analog circuit structures by polytopal approximations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692876}, doi = {10.1109/ISCAS.2006.1692876}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuellerSGS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MukhopadhyayS06, author = {Sourav Mukhopadhyay and Palash Sarkar}, title = {Hardware architecture and trade-offs for generic inversion of one-way functions}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693716}, doi = {10.1109/ISCAS.2006.1693716}, timestamp = {Tue, 04 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MukhopadhyayS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MukhopadhyayYPLNL06, author = {Rajarshi Mukhopadhyay and S. W. Yoon and Y. Park and Chang{-}Ho Lee and S. Nuttinck and Joy Laskar}, title = {Investigation of inductors for digital Si-CMOS technologies}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693443}, doi = {10.1109/ISCAS.2006.1693443}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MukhopadhyayYPLNL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Muniz-MonteroDC06, author = {Carlos Mu{\~{n}}iz{-}Montero and Alejandro D{\'{\i}}az{-}S{\'{a}}nchez and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {Offset compensation using unbalanced polarization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692973}, doi = {10.1109/ISCAS.2006.1692973}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Muniz-MonteroDC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MurphyKBQ06, author = {David Murphy and Michael Peter Kennedy and John Buckley and Min Qu}, title = {The optimum power conversion efficiency and associated gain of an {LC} {CMOS} oscillator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693164}, doi = {10.1109/ISCAS.2006.1693164}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MurphyKBQ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuteloKWD06, author = {Risco Mulwani Mutelo and Li Chin Khor and Wai Lok Woo and Satnam Singh Dlay}, title = {A novel Fisher discriminant for biometrics recognition: 2DPCA plus 2DFLD}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693586}, doi = {10.1109/ISCAS.2006.1693586}, timestamp = {Sat, 23 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuteloKWD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MutluKMR06, author = {Ayhan A. Mutlu and Charles Kwong and Abir Mukherjee and Mahmud Rahman}, title = {Statistical circuit performance variability minimization under manufacturing variations}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693262}, doi = {10.1109/ISCAS.2006.1693262}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MutluKMR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MyjakD06, author = {Mitchell J. Myjak and Jos{\'{e}} G. Delgado{-}Frias}, title = {Superpipelined reconfigurable hardware for {DSP}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693423}, doi = {10.1109/ISCAS.2006.1693423}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MyjakD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Nabavi06, author = {M. R. Nabavi}, title = {A 1-V 12-bit switched-op amp pipelined {ADC} with power optimization}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693844}, doi = {10.1109/ISCAS.2006.1693844}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Nabavi06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaderiSS06, author = {Ali Naderi and Mohamad Sawan and Yvon Savaria}, title = {A novel 2-GHz band-pass delta modulator dedicated to wireless receivers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692981}, doi = {10.1109/ISCAS.2006.1692981}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaderiSS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaessB06, author = {{\O}ivind N{\ae}ss and Yngvar Berg}, title = {Switched pseudo floating-gate reconfigurable linear threshold elements}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693558}, doi = {10.1109/ISCAS.2006.1693558}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaessB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NagyVS06, author = {Zolt{\'{a}}n Nagy and Zsolt V{\"{o}}r{\"{o}}sh{\'{a}}zi and P{\'{e}}ter Szolgay}, title = {An advanced emulated digital retina model on {FPGA} to implement a real-time test environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692993}, doi = {10.1109/ISCAS.2006.1692993}, timestamp = {Thu, 21 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NagyVS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Nakada06, author = {Kaoru Nakada}, title = {Robustness in binary cellular non-linear networks analog {VLSI} resonate-and-fire neuron}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693171}, doi = {10.1109/ISCAS.2006.1693171}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Nakada06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakadaITH06, author = {Kazuki Nakada and Jun Igarashi and A. Tetsuya and Hatsuo Hayashi}, title = {Noise Effects on Performance of Signal Detection in an Analog {VLSI} Resonate-And Fire Neuron}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, pages = {5183--5186}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693800}, doi = {10.1109/ISCAS.2006.1693800}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakadaITH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Nakagawa06, author = {Motohito Nakagawa}, title = {A high-speed computational method of fuzzy inference system for embedded systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693286}, doi = {10.1109/ISCAS.2006.1693286}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Nakagawa06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakamuraKKI06, author = {Wakako Nakamura and Sachiko Koyama and Shinya Kuriki and Yujiro Inouye}, title = {Estimation of current density distributions from {EEG/MEG} data by maximizing sparseness of spatial difference}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692774}, doi = {10.1109/ISCAS.2006.1692774}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakamuraKKI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakanishiNIF06, author = {Isao Nakanishi and Yuudai Nagata and Yoshio Itoh and Yutaka Fukui}, title = {Single-channel speech enhancement based on frequency domain {ALE}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693141}, doi = {10.1109/ISCAS.2006.1693141}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakanishiNIF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaktongkulT06, author = {P. Naktongkul and Apinunt Thanachayanont}, title = {1.5-V 900-{\(\mathrm{\mu}\)}W 40-dB {CMOS} variable gain amplifier}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692894}, doi = {10.1109/ISCAS.2006.1692894}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaktongkulT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaseerD06, author = {Riaz Naseer and Jeff Draper}, title = {{DF-DICE:} a scalable solution for soft error tolerant circuit design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693478}, doi = {10.1109/ISCAS.2006.1693478}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaseerD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NassifAA06, author = {Sani R. Nassif and Kanak Agarwal and Emrah Acar}, title = {Methods for estimating decoupling capacitance of nonswitching circuit blocks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693505}, doi = {10.1109/ISCAS.2006.1693505}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NassifAA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NatarajanASTI06, author = {A. Natarajan and Venkatraman Atti and Andreas Spanias and Kostas Tsakalis and Leonidas D. Iasemidis}, title = {A transform-domain G-PrOBE algorithm}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693090}, doi = {10.1109/ISCAS.2006.1693090}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NatarajanASTI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NejadZ06, author = {Majid Baghaei Nejad and Li{-}Rong Zheng}, title = {An innovative receiver architecture for autonomous detection of ultra-wideband signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693153}, doi = {10.1109/ISCAS.2006.1693153}, timestamp = {Thu, 04 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NejadZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeumannHB06, author = {D. Neumann and Michael W. Hoffman and Sina Balkir}, title = {Robust front-end design for ultra wideband systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692556}, doi = {10.1109/ISCAS.2006.1692556}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeumannHB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenL06, author = {Trung{-}Kien Nguyen and Sang{-}Gug Lee}, title = {Low-voltage, low-power {CMOS} operation transconductance amplifier with rail-to-rail differential input range}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692916}, doi = {10.1109/ISCAS.2006.1692916}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NguyenL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenL06a, author = {Trung{-}Kien Nguyen and Sang{-}Gug Lee}, title = {A sub-mA, high-gain {CMOS} low-noise amplifier for 2.4 GHz applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693627}, doi = {10.1109/ISCAS.2006.1693627}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NguyenL06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenLN06, author = {Van Tam Nguyen and Patrick Loumeau and Jean{-}Fran{\c{c}}ois Naviner}, title = {High-pass Delta Sigma modulator: from system analysis to circuit design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693849}, doi = {10.1109/ISCAS.2006.1693849}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenLN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenLN06a, author = {Van Tam Nguyen and Patrick Loumeau and Jean{-}Fran{\c{c}}ois Naviner}, title = {A {CMOS} implementation of time-interleaved high-pass Delta Sigma modulator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693851}, doi = {10.1109/ISCAS.2006.1693851}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenLN06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NiGSD06, author = {Weining Ni and Xueyang Geng and Yin Shi and Foster F. Dai}, title = {A 12-bit 300 MHz {CMOS} {DAC} for high-speed system applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692857}, doi = {10.1109/ISCAS.2006.1692857}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NiGSD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NiederhoferT06, author = {Christian Niederh{\"{o}}fer and Ronald Tetzlaff}, title = {Detection of a preseizure state in epilepsy: signal prediction by maximally weakly nonlinear networks?}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692548}, doi = {10.1109/ISCAS.2006.1692548}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NiederhoferT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NigamCP06, author = {Vivek Nigam and Masud H. Chowdhury and Roland Priemer}, title = {Compound noise analysis in digital circuits using blind source separation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692988}, doi = {10.1109/ISCAS.2006.1692988}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NigamCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NigussiePI06, author = {Ethiopia Nigussie and Juha Plosila and Jouni Isoaho}, title = {Full-duplex link implementation using dual-rail encoding and multiple-valued current-mode logic}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693060}, doi = {10.1109/ISCAS.2006.1693060}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NigussiePI06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NingLCW06, author = {Gengxin Ning and Shu{-}hung Leung and Kam{-}keung Chu and Gang Wei}, title = {A parallel model combination scheme with improved delta parameter compensation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693888}, doi = {10.1109/ISCAS.2006.1693888}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NingLCW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NishiO06, author = {Tetsuo Nishi and Masato Ogata}, title = {Analysis of {DC-DC} converters containing a transformer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693455}, doi = {10.1109/ISCAS.2006.1693455}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NishiO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NongpiurSA06, author = {Rajeev C. Nongpiur and Dale J. Shpak and Andreas Antoniou}, title = {Average power sum of the near-end crosstalk couplings after near-end crosstalk cancellation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693373}, doi = {10.1109/ISCAS.2006.1693373}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NongpiurSA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NordinZ06, author = {Anis Nurashikin Nordin and Mona E. Zaghloul}, title = {Design and implementation of a 1GHz {CMOS} resonator utilizing surface acoustic wave}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693384}, doi = {10.1109/ISCAS.2006.1693384}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NordinZ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NowrouzianPW06, author = {Behrouz Nowrouzian and J. Pulido{-}Salcedo and P. S. Wang}, title = {A two-stage genetic algorithm for the design and optimization of resonator/integrator based sigma-delta {A/D} and {D/A} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693571}, doi = {10.1109/ISCAS.2006.1693571}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NowrouzianPW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NurrachmatMP06, author = {A. Nurrachmat and Enrico Macii and Massimo Poncino}, title = {Low-energy pixel approximation for DVI-based {LCD} interfaces}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693589}, doi = {10.1109/ISCAS.2006.1693589}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NurrachmatMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NwankpaDLLY06, author = {Chika O. Nwankpa and Anthony S. Deese and Qingyan Liu and Aaron St. Leger and J. Yakaski}, title = {Power system on a chip (PSoC)}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692691}, doi = {10.1109/ISCAS.2006.1692691}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NwankpaDLLY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OConnorLSJCBG06, author = {Noel E. O'Connor and Hyowon Lee and Alan F. Smeaton and Gareth J. F. Jones and Eddie Cooke and Herv{\'{e}} Le Borgne and Cathal Gurrin}, title = {Fischlar-TRECVid-2004: combined text- and image-based searching of video archives}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693029}, doi = {10.1109/ISCAS.2006.1693029}, timestamp = {Mon, 18 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OConnorLSJCBG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ODonnellCF06, author = {Barry O'Donnell and Paul F. Curran and Orla Feely}, title = {Bifurcation theory of a class of perturbed mappings}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693188}, doi = {10.1109/ISCAS.2006.1693188}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ODonnellCF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OHalloranS06, author = {Micah G. O'Halloran and Rahul Sarpeshkar}, title = {An analog storage cell with 5e\({}^{\mbox{-}}\)/sec leakage}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692646}, doi = {10.1109/ISCAS.2006.1692646}, timestamp = {Fri, 22 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OHalloranS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OdaYNKU06, author = {Masayoshi Oda and Yoshihiro Yamagami and Yoshifumi Nishio and Junji Kawata and Akio Ushida}, title = {A new Spice-oriented frequency-domain optimization technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693429}, doi = {10.1109/ISCAS.2006.1693429}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OdaYNKU06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OgunfunmiJ06, author = {Tokunbo Ogunfunmi and Hamadi Jamali}, title = {Performance bounds on the constant modulus error surface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693375}, doi = {10.1109/ISCAS.2006.1693375}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OgunfunmiJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhCK06, author = {Kwang{-}Il Oh and Seunghyun Cho and Lee{-}Sup Kim}, title = {A low power SoC bus with low-leakage and low-swing technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692761}, doi = {10.1109/ISCAS.2006.1692761}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhJP06, author = {Mi{-}Kyung Oh and Byunghoo Jung and Dong{-}Jo Park}, title = {Low-complexity hop timing synchronization in frequency hopping systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693017}, doi = {10.1109/ISCAS.2006.1693017}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhJP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhLL06, author = {Yeong{-}Jae Oh and Hanho Lee and Chong Ho Lee}, title = {A reconfigurable {FIR} filter design using dynamic partial reconfiguration}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693717}, doi = {10.1109/ISCAS.2006.1693717}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhYMK06, author = {Tae{-}Hwan Oh and Sang{-}Min Yoo and Kyoung{-}Ho Moon and Jae{-}Whui Kim}, title = {A 3.0 {V} 72mW 10b 100 MSample/s Nyquist-rate {CMOS} pipelined {ADC} in 0.54 mm\({}^{\mbox{2}}\)}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692763}, doi = {10.1109/ISCAS.2006.1692763}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhYMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhtaKT06, author = {Atsushi Ohta and Chihiro Kato and Kohkichi Tsuji}, title = {Structural analysis of Petri nets with batch processing arcs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693623}, doi = {10.1109/ISCAS.2006.1693623}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OhtaKT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OhtaTKUTSFT06, author = {Jun Ohta and Takahashi Tokuda and Keiichiro Kagawa and Akihiro Uehara and Yasuo Terasawa and Kenzo Shodo and Takashi Fujikado and Yasuo Tano}, title = {Toward 1000-ch electrode array based on distributed microchip architecture for retinal prosthesis}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693535}, doi = {10.1109/ISCAS.2006.1693535}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OhtaTKUTSFT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OlallaLA06, author = {Carlos Olalla and Ramon Leyva and Abdelali El Aroudi}, title = {{QFT} control for {DC-DC} buck converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693914}, doi = {10.1109/ISCAS.2006.1693914}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OlallaLA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Oliaei06, author = {Omid Oliaei}, title = {Synchronization and phase synthesis using {PLL} neural networks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693320}, doi = {10.1109/ISCAS.2006.1693320}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Oliaei06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OlyaeiG06, author = {Ashkan Olyaei and Roman Genov}, title = {Algorithmic Delta-Sigma-modulated {FIR} filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693606}, doi = {10.1109/ISCAS.2006.1693606}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OlyaeiG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OnduskoMRHH06, author = {Russell Ondusko and Matthew Marbach and Ravi Prakash Ramachandran and Linda M. Head and Mark C. Huggins}, title = {A vector quantizer classifier for blind signal to noise ratio estimation of speech signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693944}, doi = {10.1109/ISCAS.2006.1693944}, timestamp = {Wed, 03 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OnduskoMRHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OnerKS06, author = {S{\"{u}}kr{\"{u}} Eser Oner and Muhammet K{\"{o}}ksal and Mehmet Sagbas}, title = {Electronically controllable biquads using single {CDBA}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693339}, doi = {10.1109/ISCAS.2006.1693339}, timestamp = {Wed, 11 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OnerKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrinoKK06, author = {Yuichiro Orino and Minoru Kuribayashi Kurosawa and Takashi Katagiri}, title = {Phase-tracking loop based on delta-sigma oversampling architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693451}, doi = {10.1109/ISCAS.2006.1693451}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OrinoKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrtmannsURGT06, author = {Maurits Ortmanns and N. Unger and Andr{\'{e}} Rocke and Marcus Gehrke and Hans{-}J{\"{u}}rgen Tiedtke}, title = {A retina stimulator {ASIC} with 232 electrodes, custom {ESD} protection and active charge balancing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693342}, doi = {10.1109/ISCAS.2006.1693342}, timestamp = {Tue, 16 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OrtmannsURGT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OsbergSBBHD06, author = {K. Osberg and Nathan Schemm and Sina Balkir and J. I. Brand and S. Hallbeck and Peter Dowben}, title = {A hand-held neutron detection sensor system}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692801}, doi = {10.1109/ISCAS.2006.1692801}, timestamp = {Sat, 26 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OsbergSBBHD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OskooeiM06, author = {Mostafa Savadi Oskooei and Nasser Masoumi}, title = {Modeling the effect of distortion on the phase noise in electrical oscillators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693550}, doi = {10.1109/ISCAS.2006.1693550}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OskooeiM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OtinCA06, author = {Ar{\'{a}}nzazu Ot{\'{\i}}n and Santiago Celma and Concepci{\'{o}}n Aldea}, title = {A design strategy for {VHF} filters with digital programmability}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692771}, doi = {10.1109/ISCAS.2006.1692771}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OtinCA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OualkadiCP06, author = {Ahmed El Oualkadi and David Cordeau and Jean{-}Marie Paillot}, title = {High-Q {CMOS} {LC} pseudo switched-capacitor bandpass filter with center frequency tuning}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693482}, doi = {10.1109/ISCAS.2006.1693482}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OualkadiCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzalevliH06, author = {Erhan Ozalevli and Paul E. Hasler}, title = {A tunable floating gate {CMOS} resistor for low-power and low-voltage applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693573}, doi = {10.1109/ISCAS.2006.1693573}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OzalevliH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzalevliQH06, author = {Erhan Ozalevli and Muhammad Shakeel Qureshi and Paul E. Hasler}, title = {Low-voltage floating-gate {CMOS} buffer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692974}, doi = {10.1109/ISCAS.2006.1692974}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OzalevliQH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzeriS06, author = {Shaul Ozeri and D. Shmilovitz}, title = {A time domain measurements procedure of piezoelectric transformers equivalent scheme parameters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693076}, doi = {10.1109/ISCAS.2006.1693076}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OzeriS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzeriS06a, author = {Shaul Ozeri and D. Shmilovitz}, title = {Static force measurement by piezoelectric sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693799}, doi = {10.1109/ISCAS.2006.1693799}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OzeriS06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzisPA06, author = {Dicle Ozis and Jeyanandh Paramesh and David J. Allstot}, title = {Analysis and design of lumped-element quadrature couplers with lossy passive elements}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693085}, doi = {10.1109/ISCAS.2006.1693085}, timestamp = {Wed, 10 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OzisPA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OzoguzE06, author = {Serdar {\"{O}}zoguz and Ahmed S. Elwakil}, title = {2D scroll grid attractors from pulse-excited nonautonomous circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692683}, doi = {10.1109/ISCAS.2006.1692683}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/OzoguzE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaavolaLSH06, author = {Matti Paavola and Mika Laiho and Mikko Saukoski and Kari Halonen}, title = {A 3{\(\mathrm{\mu}\)}W, 2 MHz {CMOS} frequency reference for capacitive sensor applications}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693602}, doi = {10.1109/ISCAS.2006.1693602}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PaavolaLSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PadillaRCLC06, author = {Ivan Padilla and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Alfonso Carlosena}, title = {Compact implementation of linear weighted {CMOS} transconductance adder based on the flipped voltage follower}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693575}, doi = {10.1109/ISCAS.2006.1693575}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PadillaRCLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pala-SchonwalderBMLG06, author = {Pere Pal{\`{a}}{-}Sch{\"{o}}nw{\"{a}}lder and Jordi Bonet{-}Dalmau and F. Xavier Moncunill{-}Geniz and Francisco del {\'{A}}gu{\`{\i}}la L{\'{o}}pez and M. Rosa Giralt{-}Mas}, title = {Exploiting circuit instability to achieve wideband linear amplification}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692856}, doi = {10.1109/ISCAS.2006.1692856}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pala-SchonwalderBMLG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PalumboPP06, author = {Gaetano Palumbo and Melita Pennisi and Salvatore Pennisi}, title = {Analysis and evaluation of harmonic distortion in the tunnel diode oscillator}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693788}, doi = {10.1109/ISCAS.2006.1693788}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PalumboPP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanKO06, author = {Zhibin Pan and Koji Kotani and Tadahiro Ohmi}, title = {Fast encoding method for vector quantization based on sorting elements of codewords to adaptively constructing subvectors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693676}, doi = {10.1109/ISCAS.2006.1693676}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PanKO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PandeyG06, author = {Sujan Pandey and Manfred Glesner}, title = {Energy efficient MPSoC on-chip communication bus synthesis using voltage scaling technique}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692940}, doi = {10.1109/ISCAS.2006.1692940}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PandeyG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanellaPM06, author = {Massimo Panella and Maurizio Paschero and Fabio Massimo Frattale Mascioli}, title = {Symbolic analysis and optimization of piezo-electromechanical systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692665}, doi = {10.1109/ISCAS.2006.1692665}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PanellaPM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Papadimitriou06, author = {Panayiotis D. Papadimitriou}, title = {Practical review of advanced {CDMA} receivers with emphasis in the downlink}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693922}, doi = {10.1109/ISCAS.2006.1693922}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Papadimitriou06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PapadopoulosHPDS06, author = {Nikolaos P. Papadopoulos and Alkis A. Hatzopoulos and Dimitris K. Papakostas and C. A. Dimitriadis and Stilianos Siskos}, title = {Modeling the impact of light on the performance of polycrystalline thin-film transistors at the sub-threshold region}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692614}, doi = {10.1109/ISCAS.2006.1692614}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PapadopoulosHPDS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PapamichailKEP06, author = {Michail Papamichail and Dimitris Karadimas and Kostas Efstathiou and George D. Papadopoulos}, title = {Linear range extension of a phase-frequency-detector with saturated output}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692924}, doi = {10.1109/ISCAS.2006.1692924}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PapamichailKEP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PappasNKSHD06, author = {Ilias Pappas and Lazaros Nalpantidis and Vasilios Kalenteridis and Stilianos Siskos and Alkis A. Hatzopoulos and C. A. Dimitriadis}, title = {A threshold voltage variation cancellation technique for analogue peripheral circuits of a display array using poly-Si TFTs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693332}, doi = {10.1109/ISCAS.2006.1693332}, timestamp = {Tue, 06 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PappasNKSHD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PappuA06, author = {Anand Pappu and Alyssa B. Apsel}, title = {Synthesis of a current source using a formal design methodology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693128}, doi = {10.1109/ISCAS.2006.1693128}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PappuA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PappuA06a, author = {Anand Pappu and Alyssa B. Apsel}, title = {Demonstration of latency reduction in electrical interconnections using optical fanout}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693872}, doi = {10.1109/ISCAS.2006.1693872}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PappuA06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PappuYA06, author = {Anand Pappu and Tao Yin and Alyssa B. Apsel}, title = {A low-voltage supply optoelectronic detector-receiver in a commercial silicon-based process}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693873}, doi = {10.1109/ISCAS.2006.1693873}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PappuYA06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Parandeh-AfsharAK06, author = {Hadi Parandeh{-}Afshar and Ali Afzali{-}Kusha and Ali Khaki{-}Firooz}, title = {A very high performance address {BUS} encoder}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692939}, doi = {10.1109/ISCAS.2006.1692939}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Parandeh-AfsharAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParfieniukP06, author = {Marek Parfieniuk and Alexander A. Petrovsky}, title = {Quaternionic formulation of the first regularity for four-band paraunitary filter banks}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692727}, doi = {10.1109/ISCAS.2006.1692727}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParfieniukP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParisiCU06, author = {Raffaele Parisi and P. Croene and Aurelio Uncini}, title = {Particle swarm localization of acoustic sources in the presence of reverberation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693689}, doi = {10.1109/ISCAS.2006.1693689}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParisiCU06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkC06, author = {Dongmin Park and SeongHwan Cho}, title = {A power-optimized {CMOS} {LC} {VCO} with wide tuning range in 0.5-V supply}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693314}, doi = {10.1109/ISCAS.2006.1693314}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkJ06, author = {Dongkyu Park and Byunghoo Jung}, title = {Low power {LC-VCO} design using direct cross-coupled cell biasing}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693510}, doi = {10.1109/ISCAS.2006.1693510}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkKYY06, author = {Jinsub Park and Yong{-}Dae Kim and Sangwoon Yang and Younggap You}, title = {Low power compact design of {ARIA} block cipher}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692585}, doi = {10.1109/ISCAS.2006.1692585}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkKYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkLLKK06, author = {Ji Hwan Park and Suh Ho Lee and Kyu{-}sam Lim and Jeong Hun Kim and Suki Kim}, title = {A flexible transform processor architecture for multi-CODECs (JPEG, MPEG-2, 4 and {H.264)}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693841}, doi = {10.1109/ISCAS.2006.1693841}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkLLKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkLP06, author = {Sungchung Park and Kwyro Lee and Sin{-}Chong Park}, title = {Efficient probabilistic sphere decoding architecture}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692795}, doi = {10.1109/ISCAS.2006.1692795}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Passerone06, author = {Claudio Passerone}, title = {Real time operating system modeling in a system level design environment}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693143}, doi = {10.1109/ISCAS.2006.1693143}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Passerone06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PastrnakWM06, author = {Milan Pastrnak and Peter H. N. de With and Jef L. van Meerbergen}, title = {Realization of QoS management using negotiation algorithms for multiprocessor NoC}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692984}, doi = {10.1109/ISCAS.2006.1692984}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PastrnakWM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaszkeLGXRK06, author = {Wojciech Paszke and James Lam and Krzysztof Galkowski and Shengyuan Xu and Eric Rogers and Anton Kummert}, title = {Delay-dependent stability of 2D state-delayed linear systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693209}, doi = {10.1109/ISCAS.2006.1693209}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PaszkeLGXRK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatraAM06, author = {Jagdish Chandra Patra and Ee{-}Luang Ang and Pramod Kumar Meher}, title = {A novel neural network-based linearization and auto-compensation technique for sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692798}, doi = {10.1109/ISCAS.2006.1692798}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PatraAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PavlidisF06, author = {Vasilis F. Pavlidis and Eby G. Friedman}, title = {Via placement for minimum interconnect delay in three-dimensional {(3D)} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693651}, doi = {10.1109/ISCAS.2006.1693651}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PavlidisF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Paz-VicenteLCRJCS06, author = {Rafael Paz{-}Vicente and Alejandro Linares{-}Barranco and D. Cascado and M. A. Rodriguez and Gabriel Jim{\'{e}}nez and Ant{\'{o}}n Civit and Jos{\'{e}} Luis Sevillano}, title = {{PCI-AER} interface for neuro-inspired spiking systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693296}, doi = {10.1109/ISCAS.2006.1693296}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Paz-VicenteLCRJCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pedroni06, author = {Volnei A. Pedroni}, title = {Phase sampling: a new approach to the design of {LF} direct digital frequency synthesizers}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692806}, doi = {10.1109/ISCAS.2006.1692806}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pedroni06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PedroniP06, author = {Volnei A. Pedroni and Ricardo U. Pedroni}, title = {PLL-less clock multiplier with self-adjusting phase symmetry}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693668}, doi = {10.1109/ISCAS.2006.1693668}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PedroniP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiD06, author = {Soo{-}Chang Pei and Jian{-}Jiun Ding}, title = {Improved reversible integer transform}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692779}, doi = {10.1109/ISCAS.2006.1692779}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiLW06, author = {Soo{-}Chang Pei and Huei{-}Shan Lin and Peng{-}Hua Wang}, title = {Closed-form design of maximally flat {FIR} fractional delay filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693210}, doi = {10.1109/ISCAS.2006.1693210}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiLW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PekauYH06, author = {Holly Pekau and Abdel Yousif and James W. Haslett}, title = {A {CMOS} integrated linear voltage-to-pulse-delay-time converter for time based analog-to-digital converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693099}, doi = {10.1109/ISCAS.2006.1693099}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PekauYH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PelissierDHMD06, author = {Micha{\"{e}}l Pelissier and F. Demeestere and Fr{\'{e}}d{\'{e}}ric Hameau and Dominique Morche and Christophe Delaveaud}, title = {LNA-antenna codesign for {UWB} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693682}, doi = {10.1109/ISCAS.2006.1693682}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PelissierDHMD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengCH06, author = {Wen{-}Hsiao Peng and Tihao Chiang and Hsueh{-}Ming Hang}, title = {Adding selective enhancement in scalable video coding for region-of-interest functionality}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693278}, doi = {10.1109/ISCAS.2006.1693278}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengLSF06, author = {Ya{-}Ti Peng and Ching{-}Yung Lin and Ming{-}Ting Sun and Ming{-}Whei Feng}, title = {Sleep condition inferencing using simple multimodality sensors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693521}, doi = {10.1109/ISCAS.2006.1693521}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengLSF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengQHHD06, author = {Sheng{-}Yu Peng and Muhammad Shakeel Qureshi and Paul E. Hasler and Neal A. Hall and F. L. Degertekin}, title = {High {SNR} capacitive sensing transducer}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692800}, doi = {10.1109/ISCAS.2006.1692800}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengQHHD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PerngCYK06, author = {Nei{-}Chiung Perng and Jian{-}Jia Chen and Chuan{-}Yue Yang and Tei{-}Wei Kuo}, title = {Energy-efficient scheduling on multi-context FPGAs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692830}, doi = {10.1109/ISCAS.2006.1692830}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PerngCYK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PerssonB06, author = {Andreas Persson and Lars Bengtsson}, title = {Reverse conversion architectures for signed-digit residue number systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693181}, doi = {10.1109/ISCAS.2006.1693181}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PerssonB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetkovCG06, author = {Pavel V. Petkov and Jim E. Conder and Friedel Gerfers}, title = {An infinite-skew tolerant delay locked loop}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693508}, doi = {10.1109/ISCAS.2006.1693508}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetkovCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetragliaB06, author = {Mariane R. Petraglia and Paulo Bulkool Batalheiro}, title = {Non-uniform subband adaptive filtering with critical sampling}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692611}, doi = {10.1109/ISCAS.2006.1692611}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetragliaB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PhanKLPK06, author = {Tuan{-}Anh Phan and Chang{-}Wan Kim and Sang{-}Gug Lee and T.{-}J. Park and E.{-}J. Kim}, title = {Gain mismatch-balanced {I/Q} down-conversion mixer for {UWB}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693751}, doi = {10.1109/ISCAS.2006.1693751}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PhanKLPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PhensadsaengKC06, author = {Pranithan Phensadsaeng and Pinit Kumhom and Kosin Chamnongthai}, title = {A computer-aided-diagnosis of tonsillitis using tonsil size and color}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693895}, doi = {10.1109/ISCAS.2006.1693895}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PhensadsaengKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PhilippE06, author = {Ralf M. Philipp and Ralph Etienne{-}Cummings}, title = {A second-generation single-chip stereo imager}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692561}, doi = {10.1109/ISCAS.2006.1692561}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PhilippE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PhilippeKPS06, author = {Jean{-}Marc Philippe and E. Kinvi{-}Boh and S{\'{e}}bastien Pillement and Olivier Sentieys}, title = {An energy-efficient ternary interconnection link for asynchronous systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692759}, doi = {10.1109/ISCAS.2006.1692759}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PhilippeKPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PhrompichaiY06, author = {Samphan Phrompichai and Peerapol Yuvapoositanon}, title = {A semiblind receiver for space-time block-coded downlink multirate {DS-CDMA} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692607}, doi = {10.1109/ISCAS.2006.1692607}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PhrompichaiY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PhrompichaiY06a, author = {Samphan Phrompichai and Peerapol Yuvapoositanon}, title = {A semiblind receiver based upon multiple constrained subspace {MUD} for long-code downlink multirate {DS-CDMA} systems}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692609}, doi = {10.1109/ISCAS.2006.1692609}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PhrompichaiY06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PicolliMRBMB06, author = {Luca Picolli and Franco Maloberti and Andrea Rossini and Fausto Borghetti and Piero Malcovati and Andrea Baschirotto}, title = {A 10-bit pipeline {A/D} converter without timing signals}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693843}, doi = {10.1109/ISCAS.2006.1693843}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PicolliMRBMB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PingFJ06, author = {Lu Ping and Ye Fan and Junyan Ren}, title = {A low-jitter frequency synthesizer with dynamic phase interpolation for high-speed Ethernet}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693126}, doi = {10.1109/ISCAS.2006.1693126}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PingFJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PiriouJABJ06, author = {Erwan Piriou and Christophe J{\'{e}}go and Patrick Adde and Rapha{\"{e}}l Le Bidan and Michel J{\'{e}}z{\'{e}}quel}, title = {Efficient architecture for Reed Solomon block turbo code}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693426}, doi = {10.1109/ISCAS.2006.1693426}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PiriouJABJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoikonenP06, author = {Jonne Poikonen and Ari Paasio}, title = {On the topographic equivalence between voltage mode and current mode ranked order filters for array processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693441}, doi = {10.1109/ISCAS.2006.1693441}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoikonenP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PontikakisBS06, author = {Bill Pontikakis and Fran{\c{c}}ois R. Boyer and Yvon Savaria}, title = {A 0.8V algorithmically defined buffer and ring oscillator low-energy design for nanometer SoCs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692821}, doi = {10.1109/ISCAS.2006.1692821}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PontikakisBS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PopescuG06, author = {Gabriel Popescu and Leonid B. Goldgeisser}, title = {Model compatibility aspects in multilingual simulation environments}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692756}, doi = {10.1109/ISCAS.2006.1692756}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PopescuG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoppM06, author = {Thomas Popp and Stefan Mangard}, title = {Implementation aspects of the DPA-resistant logic style {MDPL}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693234}, doi = {10.1109/ISCAS.2006.1693234}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoppM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PopplewellKSRCP06, author = {Peter H. R. Popplewell and Victor Karam and Atef Shamim and John W. M. Rogers and Mark Cloutier and Calvin Plett}, title = {5.2 GHz self-powered lock and roll radio using {VCO} injection-locking and on-chip antennas}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693805}, doi = {10.1109/ISCAS.2006.1693805}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PopplewellKSRCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Porto06, author = {Domenico Porto}, title = {A new method for matrix description of genetic algorithms}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693050}, doi = {10.1109/ISCAS.2006.1693050}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Porto06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PothiwalaD06, author = {V. A. Pothiwala and Anestis Dounavis}, title = {Efficient passive transmission line macromodeling algorithm using method of characteristics}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692951}, doi = {10.1109/ISCAS.2006.1692951}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PothiwalaD06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrakashAUCS06, author = {S. B. Prakash and Pamela Abshire and M. Urdaneta and M. Christophersen and Elisabeth Smela}, title = {A {CMOS} potentiostat for control of integrated {MEMS} actuators}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693893}, doi = {10.1109/ISCAS.2006.1693893}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrakashAUCS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ProchaskaBM06, author = {Marcus Prochaska and K. Bohle and Wolfgang Mathis}, title = {An improved design approach for {LC} tank VCOs}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693787}, doi = {10.1109/ISCAS.2006.1693787}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ProchaskaBM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ProdromakisP06, author = {Themistoklis Prodromakis and Christos Papavassiliou}, title = {Distributed filter design on silicon {CMOS}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693333}, doi = {10.1109/ISCAS.2006.1693333}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ProdromakisP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Psychalinos06, author = {Costas Psychalinos}, title = {Improved building blocks for log-domain linear transformation filters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692890}, doi = {10.1109/ISCAS.2006.1692890}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Psychalinos06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PuchalskiZR06, author = {Bartlomiej Puchalski and Lukasz Zielinski and Jerzy Rutkowski}, title = {Use of granular method to design centering}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693502}, doi = {10.1109/ISCAS.2006.1693502}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PuchalskiZR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PudeWMAN06, author = {Mark Pude and Clyde Washburn and Ponnathpur R. Mukund and Kouichi Abe and Yoshinori Nishi}, title = {An analytical propagation delay model with power supply noise effects}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692664}, doi = {10.1109/ISCAS.2006.1692664}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PudeWMAN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pulka06, author = {Andrzej Pulka}, title = {SystemC models generation based on libraries of templates}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693179}, doi = {10.1109/ISCAS.2006.1693179}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pulka06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PuttaswamyL06, author = {Kiran Puttaswamy and Gabriel H. Loh}, title = {The impact of 3-dimensional integration on the design of arithmetic units}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693742}, doi = {10.1109/ISCAS.2006.1693742}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PuttaswamyL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QahouqH06, author = {Jaber A. Abu{-}Qahouq and Lilly Huang}, title = {Load adaptive control scheme to improve converter efficiency and performance}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693767}, doi = {10.1109/ISCAS.2006.1693767}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QahouqH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuintanaAP06, author = {Jos{\'{e}} M. Quintana and Maria J. Avedillo and H{\'{e}}ctor Pettenghi}, title = {Self-latching operation limits for {MOBILE} circuits}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693649}, doi = {10.1109/ISCAS.2006.1693649}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QuintanaAP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RadenkovicB06, author = {Miloje S. Radenkovic and Tamal Bose}, title = {Blind adaptive equalizer for {IIR} channels with common zeros}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693554}, doi = {10.1109/ISCAS.2006.1693554}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RadenkovicB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RadiomSAL06, author = {S. Radiom and B. Sheikholeslami and Hamed Aminzadeh and Reza Lotfi}, title = {Folded-current-steering {DAC:} an approach to low-voltage high-speed high-resolution {D/A} converters}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693700}, doi = {10.1109/ISCAS.2006.1693700}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RadiomSAL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RadulovQBHR06, author = {Georgi I. Radulov and Patrick J. Quinn and Pieter C. W. van Beek and Johannes A. Hegt and Arthur H. M. van Roermund}, title = {A binary-to-thermometer decoder with built-in redundancy for improved {DAC} yield}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692860}, doi = {10.1109/ISCAS.2006.1692860}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RadulovQBHR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahmanPWP06, author = {Nabeel Rahman and Amir Parayandeh and Kun Wang and Aleksandar Prodic}, title = {Multimode digital {SMPS} controller {IC} for low-power management}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693836}, doi = {10.1109/ISCAS.2006.1693836}, timestamp = {Thu, 30 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RahmanPWP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.