Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iscas/iscas2014.bht:"
@inproceedings{DBLP:conf/iscas/0002GE14, author = {Wei Song and Jim D. Garside and Doug A. Edwards}, title = {Automatic data path extraction in large-scale register-transfer level designs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {377--380}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865144}, doi = {10.1109/ISCAS.2014.6865144}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/0002GE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AbdelfattahRC14, author = {Moataz Abdelfattah and Gordon W. Roberts and Vamsy P. Chodavarapu}, title = {All-digital Time-Mode elliptic filters based on the operational simulation of {LC} ladders}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2125--2128}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865587}, doi = {10.1109/ISCAS.2014.6865587}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AbdelfattahRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Abdelgawad14, author = {Ahmed Abdelgawad}, title = {Sand rate measurement in oil pipelines using wireless sensor network}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2583--2587}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865701}, doi = {10.1109/ISCAS.2014.6865701}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Abdelgawad14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Abeysekera14, author = {Saman S. Abeysekera}, title = {Efficient estimation of a sequence of frequencies for M-ary {CPFSK} demodulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1720--1723}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865486}, doi = {10.1109/ISCAS.2014.6865486}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Abeysekera14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdelSPL14, author = {Hussein Adel and Marc Sabut and Roger Petigny and Marie{-}Minerve Lou{\"{e}}rat}, title = {Split {ADC} digital background calibration for high speed SHA-less pipeline ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1143--1146}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865342}, doi = {10.1109/ISCAS.2014.6865342}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdelSPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdrianKGC14, author = {Victor Adrian and Cui Keer and Bah{-}Hwee Gwee and Joseph Sylvester Chang}, title = {A Randomized Modulation scheme for filterless digital Class {D} audio amplifiers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {774--777}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865250}, doi = {10.1109/ISCAS.2014.6865250}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdrianKGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AdrianSC14, author = {Victor Adrian and Yin Sun and Joseph Sylvester Chang}, title = {Design of a 5 GS/s fully-digital digital-to-analog converter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1548--1551}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865443}, doi = {10.1109/ISCAS.2014.6865443}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AdrianSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AggarwalM14, author = {Supriya Aggarwal and Pramod Kumar Meher}, title = {Reconfigurable {CORDIC} architectures for multi-mode and multi-trajectory operations}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2490--2494}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865678}, doi = {10.1109/ISCAS.2014.6865678}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AggarwalM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AgrawalAG14, author = {Gaurav Agrawal and Sankaran Aniruddhan and Radha Krishna Ganti}, title = {Multi-band {RF} time delay element based on frequency translation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1368--1371}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865398}, doi = {10.1109/ISCAS.2014.6865398}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AgrawalAG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmadKH14, author = {Masab Ahmad and Awais Mehmood Kamboh and Rehan Hafiz}, title = {Power {\&} throughput optimized lifting architecture for Wavelet Packet Transform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2205--2208}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865607}, doi = {10.1109/ISCAS.2014.6865607}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmadKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AhmedCH14, author = {Maruf N. Ahmed and Joseph Chong and Dong Sam Ha}, title = {A 100 Gb/s transimpedance amplifier in 65 nm {CMOS} technology for optical communications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1885--1888}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865527}, doi = {10.1109/ISCAS.2014.6865527}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AhmedCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AksoyFM14, author = {Levent Aksoy and Paulo F. Flores and Jos{\'{e}} Monteiro}, title = {{ECHO:} {A} novel method for the multiplierless design of constant array vector multiplication}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1456--1459}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865420}, doi = {10.1109/ISCAS.2014.6865420}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AksoyFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AkterNMP14, author = {Most Nahida Akter and A. B. M. Nasiruzzaman and Md. Apel Mahmud and Hemanshu Roy Pota}, title = {Topological resiliency analysis of the Australian electricity grid with increased penetration of renewable resources}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {494--497}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865180}, doi = {10.1109/ISCAS.2014.6865180}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AkterNMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-AzzawiHMT14, author = {Hussein Al{-}Azzawi and Hong Huang and Satyajayant Misra and Wei Tang}, title = {On using compressed sensing for efficient transmission {\&} storage of electric organ discharge}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1616--1619}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865460}, doi = {10.1109/ISCAS.2014.6865460}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-AzzawiHMT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-RawhaniBC14, author = {Mohammed Al{-}Rawhani and James Beeley and David R. S. Cumming}, title = {Power management system for a capsule endoscope using autofluorescence imaging}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {245--248}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865111}, doi = {10.1109/ISCAS.2014.6865111}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-RawhaniBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Al-TaeeYY14, author = {Alaa R. Al{-}Taee and Fei Yuan and Andy Gean Ye}, title = {A new adaptive Decision Feedback Equalizer using hexagon eye-opening monitor for multi Gbps data links}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2137--2140}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865590}, doi = {10.1109/ISCAS.2014.6865590}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Al-TaeeYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlhawariP14, author = {Mohammad Alhawari and Michael H. Perrott}, title = {A clockless, multi-stable, {CMOS} analog circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1764--1767}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865497}, doi = {10.1109/ISCAS.2014.6865497}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlhawariP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AlsaeghMM14, author = {Samar Alsaegh and Sherif Ahmed Saleh Mohamed and Yiannos Manoli}, title = {Design of 1mWCMOS {OOK} super-regenerative receiver for 402-405MHz medical applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1400--1403}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865406}, doi = {10.1109/ISCAS.2014.6865406}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AlsaeghMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AluthwalaWALP14, author = {Pasindu Aluthwala and Neil Weste and Andrew Adams and Torsten Lehmann and Sri Parameswaran}, title = {A simple digital architecture for a harmonic-cancelling sine-wave synthesizer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2113--2116}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865584}, doi = {10.1109/ISCAS.2014.6865584}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AluthwalaWALP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmbrogioBCI14, author = {Stefano Ambrogio and Simone Balatti and Antonio Cubeta and Daniele Ielmini}, title = {Statistical modeling of program and read variability in resistive switching devices}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2029--2032}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865563}, doi = {10.1109/ISCAS.2014.6865563}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmbrogioBCI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AminiAS14, author = {Marzieh Amini and M. Omair Ahmad and M. N. S. Swamy}, title = {A new blind wavelet domain watermark detector using hidden Markov model}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2285--2288}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865627}, doi = {10.1109/ISCAS.2014.6865627}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/AminiAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AmirkhanzadehSRNF14, author = {Robabeh Amirkhanzadeh and Henrik Sj{\"{o}}land and Jean{-}Michel Redoute and Dan Nobbe and Mike Faulkner}, title = {L-band 180{\textdegree} passive phase shifter employing auto-transformer in an {SOS} process}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {333--336}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865133}, doi = {10.1109/ISCAS.2014.6865133}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AmirkhanzadehSRNF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AnSKKK14, author = {Taek{-}Joon An and Kyung{-}Sub Son and Young{-}Jin Kim and In{-}Seok Kong and Jin{-}Ku Kang}, title = {A 8.7mW 5-Gb/s clock and data recovery circuit with 0.18-{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2329--2332}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865638}, doi = {10.1109/ISCAS.2014.6865638}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AnSKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AngMGCB14, author = {Federico Ang and Yoshikazu Miyanaga and Rowena Cristina L. Guevara and Rhandley Domingo Cajote and Michael Gringo Angelo Bayona}, title = {Open domain continuous filipino speech recognition with code-switching}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2301--2304}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865631}, doi = {10.1109/ISCAS.2014.6865631}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AngMGCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AonoCC14, author = {Kenji Aono and Tracey Covassin and Shantanu Chakrabartty}, title = {Monitoring of repeated head impacts using time-dilation based self-powered sensing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1620--1623}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865461}, doi = {10.1109/ISCAS.2014.6865461}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AonoCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AscoliTLCG14, author = {Alon Ascoli and Ronald Tetzlaff and Valentina Lanza and Fernando Corinto and Marco Gilli}, title = {Memristor plasticity enables emergence of synchronization in neuromorphic networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2261--2264}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865621}, doi = {10.1109/ISCAS.2014.6865621}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AscoliTLCG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AurasLA14, author = {Dominik Auras and Rainer Leupers and Gerd Ascheid}, title = {Efficient {VLSI} architectures for matrix inversion in soft-input soft-output {MMSE} {MIMO} detectors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1018--1021}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865311}, doi = {10.1109/ISCAS.2014.6865311}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AurasLA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AvitalF14, author = {Moshe Avital and Alexander Fish}, title = {Secured Dual Mode Logic {(DML)} as a countermeasure against Differential Power Analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {810--813}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865259}, doi = {10.1109/ISCAS.2014.6865259}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AvitalF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzconaCCMS14, author = {Cristina Azcona and Bel{\'{e}}n Calvo and Santiago Celma and Nicol{\'{a}}s Medrano and Maria Teresa Sanz}, title = {Precision {CMOS} current reference with process and temperature compensation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {910--913}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865284}, doi = {10.1109/ISCAS.2014.6865284}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzconaCCMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzharK14, author = {Mahmood J. Azhar and Sel{\c{c}}uk K{\"{o}}se}, title = {An enhanced pulse width modulator with adaptive duty cycle and frequency control}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {958--961}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865296}, doi = {10.1109/ISCAS.2014.6865296}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzharK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AzizKMKCG14, author = {Pervez M. Aziz and Hiroshi Kimura and Amaresh V. Malipatil and Shiva Kotagiri and Gordon Chan and Hairong Gao}, title = {Shift register multi-phase clock based downsampled floating tap {DFE} for serial links}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2469--2472}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865673}, doi = {10.1109/ISCAS.2014.6865673}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AzizKMKCG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Babaie-FishaniBR14, author = {Amir Babaie{-}Fishani and Maarten De Bock and Pieter Rombouts}, title = {Analyzing distortion in ASDMs with loop delay}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {77--80}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865069}, doi = {10.1109/ISCAS.2014.6865069}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Babaie-FishaniBR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaiZM14, author = {Zhanjun Bai and Xing Zhou and Ralph Mason}, title = {A novel Injection Locked Rotary Traveling Wave Oscillator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1768--1771}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865498}, doi = {10.1109/ISCAS.2014.6865498}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaiZM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BakkenLH14, author = {Elias Bakken and Tor Sverre Lande and Sverre Holm}, title = {Real time {UWB} radar imaging using single chip transceivers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2461--2464}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865671}, doi = {10.1109/ISCAS.2014.6865671}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BakkenLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BaoZWNZ14, author = {Dongxuan Bao and Zhuo Zou and Qian Wang and Majid Baghaei Nejad and Li{-}Rong Zheng}, title = {A wirelessly-powered {UWB} sensor tag with time-domain sensor interface}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2503--2506}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865681}, doi = {10.1109/ISCAS.2014.6865681}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BaoZWNZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BasyurtABM14, author = {Pinar Basak Basyurt and Devrim Yilmaz Aksin and Edoardo Bonizzoni and Franco Maloberti}, title = {Sampled-data operational-amplifier with ultra-low supply voltage and sub {\(\mathrm{\mu}\)}W power consumption}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1893--1896}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865529}, doi = {10.1109/ISCAS.2014.6865529}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BasyurtABM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BechthumRBGR14, author = {Elbert Bechthum and Georgi I. Radulov and Joost Briaire and Govert Geelen and Arthur H. M. van Roermund}, title = {A novel timing-error based approach for high speed highly linear Mixing-DAC architectures}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {942--945}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865292}, doi = {10.1109/ISCAS.2014.6865292}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BechthumRBGR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BehnamfarMM14, author = {Parisa Behnamfar and Reza Molavi and Shahriar Mirabbasi}, title = {Receiver design for CMUT-based super-resolution ultrasound imaging}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {878--881}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865276}, doi = {10.1109/ISCAS.2014.6865276}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BehnamfarMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BellasiRBS14, author = {David E. Bellasi and Riccardo Rovatti and Luca Benini and Gianluca Setti}, title = {An architecture for low-power compressed sensing and estimation in wireless sensor nodes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1732--1735}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865489}, doi = {10.1109/ISCAS.2014.6865489}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BellasiRBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerdanKPP14, author = {Radu Berdan and Ali Khiat and Christos Papavassiliou and Themistoklis Prodromakis}, title = {Qualitative {SPICE} modeling accounting for volatile dynamics of TiO2 memristors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2033--2036}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865564}, doi = {10.1109/ISCAS.2014.6865564}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerdanKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BergeronW14, author = {Matt Bergeron and Alan N. Willson Jr.}, title = {A 1-GHz direct digital frequency synthesizer in an {FPGA}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {329--332}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865132}, doi = {10.1109/ISCAS.2014.6865132}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BergeronW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BerkovichA14, author = {Andrew Berkovich and Pamela Abshire}, title = {A low-light {SPAD} vision array}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1861--1864}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865521}, doi = {10.1109/ISCAS.2014.6865521}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BerkovichA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernalRRMC14, author = {Mar{\'{\i}}a de Rodanas Valero Bernal and Alejandro Roman{-}Loera and Jaime Ram{\'{\i}}rez{-}Angulo and Nicol{\'{a}}s Medrano and Santiago Celma}, title = {Rail-to-rail {CMOS} complementary input stage with alternating active differential pairs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1356--1359}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865395}, doi = {10.1109/ISCAS.2014.6865395}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BernalRRMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BernardoMMM14, author = {Mario di Bernardo and Elisa Maini and Antonio Manzalini and Nicola Mazzocca}, title = {Traffic dynamics and vulnerability in hypercube communication networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2221--2224}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865611}, doi = {10.1109/ISCAS.2014.6865611}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BernardoMMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BezzamK14, author = {Ignatius Bezzam and Shoba Krishnan}, title = {A pulsed resonance clocking for energy recovery}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2760--2763}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865745}, doi = {10.1109/ISCAS.2014.6865745}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BezzamK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhardwajL14, author = {Kanupriya Bhardwaj and Thomas H. Lee}, title = {A 0.96mW, 5.3-6.75GHz, phase-interpolation and quadrature-generation method using parametric energy transfer in 65nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2145--2148}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865592}, doi = {10.1109/ISCAS.2014.6865592}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhardwajL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhattMR14, author = {Darshak Bhatt and Jayanta Mukherjee and Jean{-}Michel Redoute}, title = {A high isolation linear folded mixer for WiFi applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {694--697}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865230}, doi = {10.1109/ISCAS.2014.6865230}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhattMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BhottoAS14, author = {Md. Zulfiquar Ali Bhotto and M. Omair Ahmad and M. N. S. Swamy}, title = {Orthogonal space time code based partial rank affine projection adaptive filtering algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1191--1194}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865354}, doi = {10.1109/ISCAS.2014.6865354}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BhottoAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BichanDWC14, author = {Mike Bichan and Dustin Dunwell and Qiwei Wang and Anthony Chan Carusone}, title = {A passive resonant clocking network for distribution of a 2.5-GHz clock in a flash {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1320--1323}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865386}, doi = {10.1109/ISCAS.2014.6865386}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BichanDWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BiolekBK14, author = {Dalibor Biolek and Viera Biolkov{\'{a}} and Zdenek Kolka}, title = {Memristive systems for analog signal processing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2588--2591}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865702}, doi = {10.1109/ISCAS.2014.6865702}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BiolekBK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlaquiereBBS14, author = {Yves Blaqui{\`{e}}re and Yan Basile{-}Bellavance and Safa Berrima and Yvon Savaria}, title = {Design and validation of a novel reconfigurable and defect tolerant {JTAG} scan chain}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2559--2562}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865695}, doi = {10.1109/ISCAS.2014.6865695}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlaquiereBBS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlokhinaOFG14, author = {Elena Blokhina and Eoghan O'Riordan and Orla Feely and Dimitri Galayko}, title = {Nonlinearities in electrostatic vibration energy harvesters: {A} review using the example of a charge pump conditioning circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2604--2607}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865706}, doi = {10.1109/ISCAS.2014.6865706}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlokhinaOFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BlutmanAZM14, author = {Kristof Blutman and Jan A. Angevare and Amir Zjajo and Nick van der Meijs}, title = {A 0.1pJ Freeze Vernier time-to-digital converter in 65nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {85--88}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865071}, doi = {10.1109/ISCAS.2014.6865071}, timestamp = {Fri, 11 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BlutmanAZM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BockBR14, author = {Maarten De Bock and Amir Babaie{-}Fishani and Pieter Rombouts}, title = {Improved offline calibration for {DAC} mismatch in low {OSR} {\(\Sigma\)}{\(\Delta\)} ADCs with distributed feedback}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {938--941}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865291}, doi = {10.1109/ISCAS.2014.6865291}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BockBR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BojarskiCLDKS14, author = {Mariusz Bojarski and Dariusz Czarkowski and Francisco de Le{\'{o}}n and Qijun Deng and Marian K. Kazimierczuk and Hiroo Sekiya}, title = {Multiphase resonant inverters with common resonant circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2445--2448}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865667}, doi = {10.1109/ISCAS.2014.6865667}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BojarskiCLDKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BonattoS14, author = {Alexsandro Cristov{\~{a}}o Bonatto and Altamiro Amadeu Susin}, title = {Run-time SoC memory subsystem mapping of heterogeneous clients}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {578--581}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865201}, doi = {10.1109/ISCAS.2014.6865201}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BonattoS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BongKHY14, author = {Kyeongryeol Bong and Gyeonghoon Kim and Injoon Hong and Hoi{-}Jun Yoo}, title = {An 1.61mW mixed-signal column processor for {BRISK} feature extraction in {CMOS} image sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {57--60}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865064}, doi = {10.1109/ISCAS.2014.6865064}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BongKHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BoopalanS14, author = {G. Boopalan and C. K. Subramaniam}, title = {Frequency dependence of magnetic flux profile in the presence of metamaterials for wireless power transfer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2437--2440}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865665}, doi = {10.1109/ISCAS.2014.6865665}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BoopalanS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BotmanVBSLB14, author = {Fran{\c{c}}ois Botman and Julien De Vos and Sebastien Bernard and Fran{\c{c}}ois Stas and Jean{-}Didier Legat and David Bol}, title = {Bellevue: {A} 50MHz variable-width {SIMD} 32bit microcontroller at 0.37V for processing-intensive wireless sensor nodes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1207--1210}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865358}, doi = {10.1109/ISCAS.2014.6865358}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BotmanVBSLB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bou-BalustAFA14, author = {Elisenda Bou{-}Balust and Abdelali El Aroudi and Peter Fisher and Eduard Alarc{\'{o}}n}, title = {Unveiling nonlinear dynamics in resonant inductively coupled wireless power transfer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2612--2615}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865708}, doi = {10.1109/ISCAS.2014.6865708}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Bou-BalustAFA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Bou-BalustSHA14, author = {Elisenda Bou{-}Balust and Raymond Sedwick and Aiguo Patrick Hu and Eduard Alarc{\'{o}}n}, title = {Advances in non-radiative resonant inductive coupling wireless Power Transfer: {A} comparison of alternative circuit and system models driven by emergent applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2037--2040}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865565}, doi = {10.1109/ISCAS.2014.6865565}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Bou-BalustSHA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrandliBYLVD14, author = {Christian Brandli and Raphael Berner and Minhao Yang and Shih{-}Chii Liu and V. Villeneuva and Tobi Delbr{\"{u}}ck}, title = {Live demonstration: The "DAVIS" Dynamic and Active-Pixel Vision Sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {440}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865163}, doi = {10.1109/ISCAS.2014.6865163}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/BrandliBYLVD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrandliMD14, author = {Christian Brandli and Lorenz M{\"{u}}ller and Tobi Delbr{\"{u}}ck}, title = {Real-time, high-speed video decompression using a frame- and event-based {DAVIS} sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {686--689}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865228}, doi = {10.1109/ISCAS.2014.6865228}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrandliMD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BrendlerARR14, author = {Christian Brendler and Naser Pour Aryan and Viola Rieger and Albrecht Rothermel}, title = {Closed loop inverse load modulation power control by magnetic field diminishment in inductively powered biomedical implants}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1612--1615}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865459}, doi = {10.1109/ISCAS.2014.6865459}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BrendlerARR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BroganOH14, author = {Quinn Brogan and Thomas O'Connor and Dong Sam Ha}, title = {Solar and thermal energy harvesting with a wearable jacket}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1412--1415}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865409}, doi = {10.1109/ISCAS.2014.6865409}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BroganOH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BuiS14, author = {Son Bui and James E. Stine}, title = {Additional optimizations for parallel squarer units}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {361--364}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865140}, doi = {10.1109/ISCAS.2014.6865140}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BuiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/BurbanoB14, author = {Daniel Alberto Burbano and Mario di Bernardo}, title = {Consensus and synchronization of complex networks via proportional-integral coupling}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1796--1799}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865505}, doi = {10.1109/ISCAS.2014.6865505}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/BurbanoB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CabreraS14, author = {Fabi{\'{a}}n Leonardo Cabrera and Fernando Rangel de Sousa}, title = {A {CMOS} fully-integrated wireless power receiver for autonomous implanted devices}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1408--1411}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865408}, doi = {10.1109/ISCAS.2014.6865408}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CabreraS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiPKW14, author = {Guangjie Cai and Alan Pun and David Kwong and K. C. Wang}, title = {A 2.4pJ/bit {ASK} demodulator with 100{\%} modulation rate for 13.56MHz {NFC/RFID} applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {734--737}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865240}, doi = {10.1109/ISCAS.2014.6865240}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiPKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiT14, author = {Weiran Cai and Ronald Tetzlaff}, title = {Beyond series and parallel: Coupling as a third relation in memristive systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1259--1262}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865371}, doi = {10.1109/ISCAS.2014.6865371}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CaiXLSZW14, author = {Xilei Cai and Xiang Xie and Guolin Li and Wei Song and Yi Zheng and Zhihua Wang}, title = {A new method of detecting fingertip touch for the projector-camera {HCI} system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {526--529}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865188}, doi = {10.1109/ISCAS.2014.6865188}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CaiXLSZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Callegari14, author = {Sergio Callegari}, title = {Achievement of preassigned spectra in the synthesis of band-pass constant-envelope signals by rapidly hopping through discrete frequencies}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2776--2779}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865749}, doi = {10.1109/ISCAS.2014.6865749}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Callegari14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Camunas-MesaSLIB14, author = {Luis A. Camu{\~{n}}as{-}Mesa and Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco and Sio{-}Hoi Ieng and Ryad Benosman}, title = {Event-driven stereo vision with orientation filters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {257--260}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865114}, doi = {10.1109/ISCAS.2014.6865114}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Camunas-MesaSLIB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CareyBWLD14, author = {Stephen J. Carey and David Robert Wallace Barr and Bin Wang and Alexey Lopich and Piotr Dudek}, title = {Live demonstration: {A} sensor-processor array integrated circuit for high-speed real-time machine vision}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {447}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865166}, doi = {10.1109/ISCAS.2014.6865166}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CareyBWLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CareyZD14, author = {Stephen J. Carey and {\'{A}}kos Zar{\'{a}}ndy and Piotr Dudek}, title = {Characterization of processing errors on analog fully-programmable cellular sensor-processor arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1580--1583}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865451}, doi = {10.1109/ISCAS.2014.6865451}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CareyZD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CavigliaVB14, author = {Stefano Caviglia and Maurizio Valle and Chiara Bartolozzi}, title = {Asynchronous, event-driven readout of {POSFET} devices for tactile sensing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2648--2651}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865717}, doi = {10.1109/ISCAS.2014.6865717}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CavigliaVB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CetinDGL14, author = {Ediz Cetin and Oliver Diessel and Lingkan Gong and Victor Lai}, title = {Reconfiguration network design for {SEU} recovery in FPGAs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1524--1527}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865437}, doi = {10.1109/ISCAS.2014.6865437}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CetinDGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChaWLLH14, author = {Euijun Cha and Jiyong Woo and Daeseok Lee and Sangheon Lee and Hyunsang Hwang}, title = {Selector devices for 3-D cross-point ReRAM}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {428--431}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865157}, doi = {10.1109/ISCAS.2014.6865157}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChaWLLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChanC14, author = {Cheng{-}Ta Chan and Oscal T.{-}C. Chen}, title = {Inductor-less bandwidth-extension technique applied to {CMOS} differential trans-impedance amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1897--1900}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865530}, doi = {10.1109/ISCAS.2014.6865530}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChanC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangCHL14, author = {Che{-}Wei Chang and Man{-}Rong Chen and Po{-}Hsiang Hsu and Yi{-}Chang Lu}, title = {A pixel-based depth estimation algorithm and its hardware implementation for 4-D light field data}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {786--789}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865253}, doi = {10.1109/ISCAS.2014.6865253}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChangCHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangDHYLW14, author = {Yi{-}Fan Chang and Jian{-}Jiun Ding and Hao Hu and Wen{-}Chieh Yang and Kwan{-}Hwa Lin and Po{-}Hung Wu}, title = {A real-time detection algorithm for freezing of gait in Parkinson's disease}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1312--1315}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865384}, doi = {10.1109/ISCAS.2014.6865384}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangDHYLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangK14, author = {Chip{-}Hong Chang and Sachin Kumar}, title = {Area-efficient and fast sign detection for four-moduli set {RNS} \{2\({}^{\mbox{n}}\) -1, 2\({}^{\mbox{n}}\), 2\({}^{\mbox{n}}\) +1, 22\({}^{\mbox{n}}\) +1\}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1540--1543}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865441}, doi = {10.1109/ISCAS.2014.6865441}, timestamp = {Fri, 04 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLHLCJ14, author = {Kuo{-}Chiang Chang and Shien{-}Chun Luo and Ching{-}Ji Huang and Chih{-}Wei Liu and Yuan{-}Hua Chu and Shyh{-}Jye Jou}, title = {An ultra-low voltage hearing aid chip using variable-latency design technique}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2543--2546}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865691}, doi = {10.1109/ISCAS.2014.6865691}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLHLCJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLT14, author = {Po{-}Hsuan Chang and An{-}Siou Li and Chia{-}Ming Tsai}, title = {A 10Gb/s 44.2 dB adaptive equalizer with Duobinary tracking loop in 0.18{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2133--2136}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865589}, doi = {10.1109/ISCAS.2014.6865589}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLYF14, author = {Chia{-}Wei Chang and Wei{-}Hsuan Lin and Hsiang{-}Cheng Yu and Chih{-}Peng Fan}, title = {A high throughput {CAVLC} architecture design with two-path parallel coefficients procedure for digital cinema 4K resolution {H.264/AVC} encoding}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2616--2619}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865709}, doi = {10.1109/ISCAS.2014.6865709}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLYF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangY14, author = {Hsuan{-}Yu Chang and Ching{-}Yuan Yang}, title = {A high-speed low-power calibrated flash {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2369--2372}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865648}, doi = {10.1109/ISCAS.2014.6865648}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChatterjeeCM14, author = {Navonil Chatterjee and Santanu Chattopadhyay and Kanchan Manna}, title = {A spare router based reliable Network-on-Chip design}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1957--1960}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865545}, doi = {10.1109/ISCAS.2014.6865545}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChatterjeeCM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC14, author = {Jiajia Chen and Chip{-}Hong Chang}, title = {Design of programmable {FIR} filters using Canonical Double Based Number Representation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1183--1186}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865352}, doi = {10.1109/ISCAS.2014.6865352}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC14a, author = {Kuan{-}Chung Chen and Chung{-}Ho Chen}, title = {An OpenCL runtime system for a heterogeneous many-core virtual platform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2197--2200}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865605}, doi = {10.1109/ISCAS.2014.6865605}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC14b, author = {Jie Chen and Lap{-}Pui Chau}, title = {A fast adaptive guided filtering algorithm for light field depth interpolation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2281--2284}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865626}, doi = {10.1109/ISCAS.2014.6865626}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenFCW0YR14, author = {Chixiao Chen and Zemin Feng and Huabin Chen and Mingshuo Wang and Jun Xu and Fan Ye and Junyan Ren}, title = {A low-offset calibration-free comparator with a mismatch-suppressed dynamic preamplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2361--2364}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865646}, doi = {10.1109/ISCAS.2014.6865646}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenFCW0YR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenH14, author = {Yi{-}Wei Chen and Hao{-}Chiao Hong}, title = {A fast-locking all-digital phase locked loop in 90nm {CMOS} for Gigascale systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1134--1137}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865340}, doi = {10.1109/ISCAS.2014.6865340}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHHS14, author = {Jienan Chen and Lian Huai and Jianhao Hu and Gerald E. Sobelman}, title = {High performance {MIMO} detector based on bidirectional path preserving trellis search}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1684--1687}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865477}, doi = {10.1109/ISCAS.2014.6865477}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHJH14, author = {Liang Chen and Ruimin Hu and Junjun Jiang and Zhen Han}, title = {Efficient learning based face hallucination approach via facial standard deviation prior}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2057--2060}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865570}, doi = {10.1109/ISCAS.2014.6865570}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLCLTHLTCTLWCY14, author = {Wei{-}Chung Chen and Kuei{-}Liang Lin and Ke{-}Horng Chen and Ying{-}Hsi Lin and Tsung{-}Yen Tsai and Chen{-}Chih Huang and Chao{-}Cheng Lee and Zhih Han Tai and Yi Hsuan Cheng and Chi Chung Tsai and Hsin{-}Yu Luo and Shih{-}Ming Wang and Long{-}Der Chen and Cheng{-}Chen Yang}, title = {A pseudo fixed switching frequency 2kHz/A in optimum on-time control buck converter with predicting correction technique for {EMI} solution}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {946--949}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865293}, doi = {10.1109/ISCAS.2014.6865293}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLCLTHLTCTLWCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenMS14, author = {Long Chen and Ji Ma and Nan Sun}, title = {Capacitor mismatch calibration for {SAR} ADCs based on comparator metastability detection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2357--2360}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865645}, doi = {10.1109/ISCAS.2014.6865645}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenSWZ14, author = {Hong Chen and Shaojie Su and Zhihua Wang and Xu Zhang}, title = {A wirelessly monitoring system design for Total Hip Replacement surgery}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2069--2072}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865573}, doi = {10.1109/ISCAS.2014.6865573}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenSWZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenWHH14, author = {Zhilu Chen and Jing Wang and Haibo He and Xinming Huang}, title = {A fast deep learning system using {GPU}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1552--1555}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865444}, doi = {10.1109/ISCAS.2014.6865444}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenWHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenXGL14, author = {Long Chen and Tao Xia and Ying Guo and Huailin Liao}, title = {A SAW-less 0.5-2.5 GHz receiver front-end with 80 dB 3\({}^{\mbox{rd}}\) order harmonic rejection ratio}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {181--184}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865095}, doi = {10.1109/ISCAS.2014.6865095}, timestamp = {Fri, 12 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenXGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZL14, author = {Fangdong Chen and Jinlei Zhang and Houqiang Li}, title = {Hybrid transform for HEVC-based lossless coding}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {550--553}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865194}, doi = {10.1109/ISCAS.2014.6865194}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZLL14, author = {Yue Chen and Dongye Zhang and Zhiping Lin and Xiaoping Lai}, title = {A sequential weighted least squares procedure for design of {IIR} filters and two-channel {IIR} filter banks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1195--1198}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865355}, doi = {10.1109/ISCAS.2014.6865355}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZNCWCZ14, author = {Xin Chen and Sheng Zhong and Yangyang Niu and Siping Chen and Tianfu Wang and Shing{-}Chow Chan and Zhiguo Zhang}, title = {A multimodal investigation of in vivo muscle behavior: System design and data analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2053--2056}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865569}, doi = {10.1109/ISCAS.2014.6865569}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenZNCWCZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengH14, author = {Ye Cheng and Jianhao Hu}, title = {Random error analysis and reduction for stochastic computation based on autocorrelation sequence}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {357--360}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865139}, doi = {10.1109/ISCAS.2014.6865139}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengK14, author = {Lin Cheng and Wing{-}Hung Ki}, title = {A circuit-oriented geometrical approach in predicting subharmonic oscillation of dc-dc converters with voltage-mode control}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {962--965}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865297}, doi = {10.1109/ISCAS.2014.6865297}, timestamp = {Wed, 19 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengL14, author = {Chi{-}Tsun Cheng and Henry Leung}, title = {Multi-objective directional sensor placement for wireless sensor networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {510--513}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865184}, doi = {10.1109/ISCAS.2014.6865184}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangZDK14, author = {Hsiao{-}Dong Chiang and Tian{-}Qi Zhao and Jiao{-}Jiao Deng and Kaoru Koyanagi}, title = {Convergence/divergence analysis of implicit Z-bus power flow for general distribution networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1808--1811}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865508}, doi = {10.1109/ISCAS.2014.6865508}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangZDK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiouHW14, author = {Lih{-}Yih Chiou and Chi{-}Ray Huang and Ming{-}Hung Wu}, title = {A power-efficient pulse-based in-situ timing error predictor for PVT-variation sensitive circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1215--1218}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865360}, doi = {10.1109/ISCAS.2014.6865360}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiouHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChironiDPMB14, author = {Vincenzo Chironi and Stefano D'Amico and Mirko Pasca and Marcello De Matteis and Andrea Baschirotto}, title = {A SAW-less dual-band {RF} front-end for {IR-UWB} receiver in 65nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1909--1912}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865533}, doi = {10.1109/ISCAS.2014.6865533}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChironiDPMB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiuLH14, author = {Yu{-}Ping Chiu and Jin{-}Jang Leou and Han{-}Hui Hsiao}, title = {Super-resolution reconstruction for Kinect 3D data}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2712--2715}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865733}, doi = {10.1109/ISCAS.2014.6865733}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiuLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChoiGYZWNK14, author = {Byung Joon Choi and Ning Ge and J. Joshua Yang and Min{-}Xian Zhang and R. Stanley Williams and Kate J. Norris and Nobuhiko P. Kobayashi}, title = {New materials for memristive switching}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2808--2811}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865757}, doi = {10.1109/ISCAS.2014.6865757}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChoiGYZWNK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChouCCCLTHLTCTLWCYH14, author = {Ying{-}Wei Chou and Meng{-}Wei Chien and Shin{-}Chieh Chen and Ke{-}Horng Chen and Ying{-}Hsi Lin and Tsung{-}Yen Tsai and Chen{-}Chih Huang and Chao{-}Cheng Lee and Zhih Han Tai and Yi Hsuan Cheng and Chi Chung Tsai and Hsin{-}Yu Luo and Shih{-}Ming Wang and Long{-}Der Chen and Cheng{-}Chen Yang and Huang Tian Hui}, title = {A low {THD} clock-free Class-D audio amplifier with an increased damping resistor and cross offset cancellation technique}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2680--2683}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865725}, doi = {10.1109/ISCAS.2014.6865725}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChouCCCLTHLTCTLWCYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuLKSTJT14, author = {Li{-}Wei Chu and Chun{-}Yu Lin and Ming{-}Dou Ker and Ming{-}Hsiang Song and Jeng{-}Chou Tseng and Chewnpu Jou and Ming{-}Hsien Tsai}, title = {{ESD} protection design for wideband {RF} applications in 65-nm {CMOS} process}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1480--1483}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865426}, doi = {10.1109/ISCAS.2014.6865426}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChuLKSTJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuangMMRBHHW14, author = {Lu Chuang and Marion K. Matters{-}Kammerer and Reza Mahmoudi and Arthur H. M. van Roermund and Peter G. M. Baltus and Ernst Habekott{\'{e}} and Koen van Hartingsveldt and Floris van der Wilt}, title = {A scalable baseband phase shifter with 12 GHz {I/Q} Mixers in 40-nm {CMOS} for 60 GHz applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2708--2711}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865732}, doi = {10.1109/ISCAS.2014.6865732}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChuangMMRBHHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Chung14, author = {Yung{-}Hui Chung}, title = {Perturbation-based digital background calibration technique for pipelined ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1316--1319}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865385}, doi = {10.1109/ISCAS.2014.6865385}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Chung14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungKR14, author = {Moo{-}Kyoung Chung and Jun{-}Kyoung Kim and Soojung Ryu}, title = {SimParallel: {A} high performance parallel SystemC simulator using hierarchical multi-threading}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1472--1475}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865424}, doi = {10.1109/ISCAS.2014.6865424}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cid-FuentesCA14, author = {Raul Gomez Cid{-}Fuentes and Albert Cabellos{-}Aparicio and Eduard Alarc{\'{o}}n}, title = {Circuit area optimization in energy temporal sparse scenarios for multiple harvester powered systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2486--2489}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865677}, doi = {10.1109/ISCAS.2014.6865677}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cid-FuentesCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Coleman14, author = {Jeffrey O. Coleman}, title = {Integer-coefficient {FIR} filter sharpening for equiripple stopbands and maximally flat passbands}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1604--1607}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865457}, doi = {10.1109/ISCAS.2014.6865457}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Coleman14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ConceicaoSJPZA14, author = {Ruhan A. Concei{\c{c}}{\~{a}}o and J. Claudio de Souza and Ricardo Jeske and Marcelo Schiavon Porto and Bruno Zatt and Luciano Volcan Agostini}, title = {Power efficient and high troughtput multi-size {IDCT} targeting {UHD} {HEVC} decoders}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1925--1928}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865537}, doi = {10.1109/ISCAS.2014.6865537}, timestamp = {Fri, 10 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ConceicaoSJPZA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CoomanV14, author = {Adam Cooman and Gerd Vandersteen}, title = {Distortion Contribution Analysis by combining the Best Linear Approximation and noise analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2772--2775}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865748}, doi = {10.1109/ISCAS.2014.6865748}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CoomanV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CorradiEI14, author = {Federico Corradi and Chris Eliasmith and Giacomo Indiveri}, title = {Mapping arbitrary mathematical functions and dynamical systems to neuromorphic {VLSI} circuits for spike-based neural computation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {269--272}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865117}, doi = {10.1109/ISCAS.2014.6865117}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CorradiEI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CoskunKMHBA14, author = {Adem Coskun and Izzet Kale and Richard C. S. Morling and Robert Hughes and Stephen Brown and Piero Angeletti}, title = {The design of low complexity low power pipelined short length Winograd Fourier transforms}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2001--2004}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865556}, doi = {10.1109/ISCAS.2014.6865556}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CoskunKMHBA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CrepaldiRBMD14, author = {Marco Crepaldi and Paolo Motto Ros and Alberto Bonanno and Marco Morello and Danilo Demarchi}, title = {A non-coherent {IR-UWB} receiver for high sensitivity short distance estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1905--1908}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865532}, doi = {10.1109/ISCAS.2014.6865532}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CrepaldiRBMD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CruzHLL14, author = {Hugo Cruz and Hong{-}Yi Huang and Shuenn{-}Yuh Lee and Ching{-}Hsing Luo}, title = {Analysis and design of a 1.3-mW current-reuse {RF} front-end for the {MICS} band}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1360--1363}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865396}, doi = {10.1109/ISCAS.2014.6865396}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CruzHLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiLQ14, author = {Aijiao Cui and Wei Liang and Gang Qu}, title = {A low-overhead dynamic watermarking scheme on scan design for easy authentication}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {778--781}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865251}, doi = {10.1109/ISCAS.2014.6865251}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CuiLQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiWH14, author = {Zhiqiang Cui and Zhongfeng Wang and Xinming Huang}, title = {Multilevel error correction scheme for {MLC} flash memory}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {201--204}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865100}, doi = {10.1109/ISCAS.2014.6865100}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/CuiWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/CuiZES14, author = {Nan Cui and Milin Zhang and Nader Engheta and Jan Van der Spiegel}, title = {Design of a current mode polarization arithmetic analyzer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2121--2124}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865586}, doi = {10.1109/ISCAS.2014.6865586}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/CuiZES14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DAngeloS14, author = {Robert D'Angelo and Sameer R. Sonkusale}, title = {A time-mode translinear principle for implementing analog multiplication}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {73--76}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865068}, doi = {10.1109/ISCAS.2014.6865068}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DAngeloS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DaiCMYR14, author = {Guoxian Dai and Chixiao Chen and Shunli Ma and Fan Ye and Junyan Ren}, title = {A 400-MS/s 8-b 2-b/cycle {SAR} {ADC} with shared interpolator and alternative comparators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2365--2368}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865647}, doi = {10.1109/ISCAS.2014.6865647}, timestamp = {Mon, 27 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DaiCMYR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DalletKK14, author = {Corentin Dallet and Saumya Kareem and Izzet Kale}, title = {Real time blood image processing application for malaria diagnosis using mobile phones}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2405--2408}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865657}, doi = {10.1109/ISCAS.2014.6865657}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DalletKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasC14, author = {Rajib Lochan Das and Mrityunjoy Chakraborty}, title = {A variable step-size zero attracting proportionate normalized least mean square algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1187--1190}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865353}, doi = {10.1109/ISCAS.2014.6865353}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DasguptaR14, author = {Samiran Dasgupta and Devraj Rajagopal}, title = {A 1.8/2.5/3.3V BiCMOS {I/O} driver with VCC=5V}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {145--148}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865086}, doi = {10.1109/ISCAS.2014.6865086}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DasguptaR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Datta-ChaudhuriAS14, author = {Timir Datta{-}Chaudhuri and Pamela Abshire and Elisabeth Smela}, title = {System-on-chip considerations for {CMOS} fluidic and biointerface applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2009--2012}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865558}, doi = {10.1109/ISCAS.2014.6865558}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Datta-ChaudhuriAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DelbruckVL14, author = {Tobi Delbr{\"{u}}ck and Vicente Villanueva and Luca Longinotti}, title = {Integration of dynamic vision sensor with inertial measurement unit for electronically stabilized event-based vision}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2636--2639}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865714}, doi = {10.1109/ISCAS.2014.6865714}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DelbruckVL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DengCZ14, author = {Chao Deng and Yici Cai and Qiang Zhou}, title = {A register clustering algorithm for low power clock tree synthesis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {389--392}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865147}, doi = {10.1109/ISCAS.2014.6865147}, timestamp = {Tue, 30 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DengCZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DengLLS14, author = {Jingyu Deng and Yun Liang and Guojie Luo and Guangyu Sun}, title = {Rapid design space exploration of two-level unified caches}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1937--1940}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865540}, doi = {10.1109/ISCAS.2014.6865540}, timestamp = {Mon, 16 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/DengLLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DharS14, author = {Pranab Kumar Dhar and Tetsuya Shimamura}, title = {A blind LWT-based audio watermarking using fast Walsh Hadamard transform and singular value decomposition}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {125--128}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865081}, doi = {10.1109/ISCAS.2014.6865081}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DharS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DietelHEEHHSBF14, author = {Sebastian Dietel and Sebastian H{\"{o}}ppner and Holger Eisenreich and Georg Ellguth and Stefan H{\"{a}}nzsche and Stephan Henker and Ren{\'{e}} Sch{\"{u}}ffny and Tim Brauninger and Ulrich Fiedler}, title = {A compact on-chip IR-drop measurement system in 28 nm {CMOS} technology}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1219--1222}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865361}, doi = {10.1109/ISCAS.2014.6865361}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DietelHEEHHSBF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingMK14, author = {Chongjun Ding and Yiannos Manoli and Matthias Keller}, title = {A time-domain based multi-bit {ADC} for application in Delta-Sigma modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {930--933}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865289}, doi = {10.1109/ISCAS.2014.6865289}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DingZ14, author = {Shihong Ding and Wei Xing Zheng}, title = {New design method of sliding mode controller for a class of nonlinear second-order systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2784--2787}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865751}, doi = {10.1109/ISCAS.2014.6865751}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DingZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DoY14, author = {Anh{-}Tuan Do and Kiat Seng Yeo}, title = {A hybrid NEO-based spike detection algorithm for implantable brain-IC interface applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2393--2396}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865654}, doi = {10.1109/ISCAS.2014.6865654}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DoY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DobesDSV14, author = {Josef Dobes and Jan Divin and Jiri Svaton and Frantisek Vejrazka}, title = {Using the sensitivity analysis of the noise spectral density and the sensitivity analysis of the noise figure for practical circuit design}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1676--1679}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865475}, doi = {10.1109/ISCAS.2014.6865475}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DobesDSV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DragasJFH14, author = {Jelena Dragas and David Jackel and Felix Franke and Andreas Hierlemann}, title = {High-throughput hardware for real-time spike overlap decomposition in multi-electrode neuronal recording systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {658--661}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865221}, doi = {10.1109/ISCAS.2014.6865221}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DragasJFH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DuWJNYL14, author = {Ling Du and Shuangyi Wu and Min Jiang and Ning Ning and Qi Yu and Yang Liu}, title = {A 10-bit 100MS/s subrange {SAR} {ADC} with time-domain quantization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {301--304}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865125}, doi = {10.1109/ISCAS.2014.6865125}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DuWJNYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/DudkaGBB14, author = {Andrii Dudka and Dimitri Galayko and Elena Blokhina and Philippe Basset}, title = {Smart integrated conditioning electronics for electrostatic vibration energy harvesters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2600--2603}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865705}, doi = {10.1109/ISCAS.2014.6865705}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/DudkaGBB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ElsayedTGI14, author = {Abdelrahman H. Elsayed and Ramy N. Tadros and Maged Ghoneima and Yehea I. Ismail}, title = {Low-power all-digital manchester-encoding-based high-speed serdes transceiver for on-chip networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2752--2755}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865743}, doi = {10.1109/ISCAS.2014.6865743}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ElsayedTGI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EnzingerV14, author = {Harald Enzinger and Christian Vogel}, title = {Analytical description of multilevel carrier-based {PWM} of arbitrary bounded input signals}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1030--1033}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865314}, doi = {10.1109/ISCAS.2014.6865314}, timestamp = {Fri, 15 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EnzingerV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EslampanahLAAPRF14, author = {Raheleh Eslampanah and Lance Linton and Shabbir Ahmed and Robabeh Amirkhanzadeh and Mohammadreza Pourakbar and Jean{-}Michel Redoute and Mike Faulkner}, title = {Active duplexing for Software Defined Radio}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {185--188}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865096}, doi = {10.1109/ISCAS.2014.6865096}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EslampanahLAAPRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EsmaeelzadehSS14, author = {Hani Esmaeelzadeh and Mohammad Sharifkhani and Mahdi Shabany}, title = {A low-power 10-Bit 40-MS/s pipeline {ADC} using extended capacitor sharing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1147--1150}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865343}, doi = {10.1109/ISCAS.2014.6865343}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EsmaeelzadehSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FanHCSC14, author = {Ming{-}Long Fan and Vita Pi{-}Ho Hu and Yin{-}Nien Chen and Pin Su and Ching{-}Te Chuang}, title = {Investigation and optimization of monolithic 3D logic circuits and {SRAM} cells considering interlayer coupling}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1130--1133}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865339}, doi = {10.1109/ISCAS.2014.6865339}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FanHCSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FanT14, author = {YouZhe Fan and Chi{-}Ying Tsui}, title = {Low-latency {MAP} demapper architecture for coded modulation with iterative decoding}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {730--733}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865239}, doi = {10.1109/ISCAS.2014.6865239}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FanT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengHCF14, author = {Chih{-}Wei Feng and Ting{-}Kuei Hu and Jui{-}Chung Chang and Wai{-}Chi Fang}, title = {A reliable brain computer interface implemented on an {FPGA} for a mobile dialing system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {654--657}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865220}, doi = {10.1109/ISCAS.2014.6865220}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FengHCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FengMSUM14, author = {Da Feng and Franco Maloberti and Sai{-}Weng Sin and Seng{-}Pan U and Rui Paulo Martins}, title = {Jitter-resistant Capacitor Based Sine-Shaped {DAC} for Continuous-Time Sigma-Delta modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1348--1351}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865393}, doi = {10.1109/ISCAS.2014.6865393}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FengMSUM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FerreiraS14, author = {Luis Henrique de Carvalho Ferreira and Sameer R. Sonkusale}, title = {A 60-dB Gain {OTA} operating at 0.25-V power supply in 130-nm digital {CMOS} process}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1881--1884}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865526}, doi = {10.1109/ISCAS.2014.6865526}, timestamp = {Fri, 25 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FerreiraS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Filanovsky14, author = {Igor M. Filanovsky}, title = {On feedback systems built around cut-inserted ideal transformer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {61--64}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865065}, doi = {10.1109/ISCAS.2014.6865065}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Filanovsky14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Filanovsky14a, author = {Igor M. Filanovsky}, title = {Bessel-Butterworth transitional filters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2105--2108}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865582}, doi = {10.1109/ISCAS.2014.6865582}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Filanovsky14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FitchIY14, author = {Andrew Lewis Fitch and Herbert H. C. Iu and Dongsheng Yu}, title = {Chaos in a memcapacitor based circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {482--485}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865177}, doi = {10.1109/ISCAS.2014.6865177}, timestamp = {Tue, 07 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/FitchIY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FuF14, author = {Wei Fu and Ayman A. Fayed}, title = {Power conversion schemes in nanometer {CMOS} mixed-signal SoCs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {606--609}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865208}, doi = {10.1109/ISCAS.2014.6865208}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FuF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujishiroYT14, author = {Mika Fujishiro and Masao Yanagisawa and Nozomu Togawa}, title = {Scan-based attack on the {LED} block cipher using scan signatures}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1460--1463}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865421}, doi = {10.1109/ISCAS.2014.6865421}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FujishiroYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/FujiwaraMK14, author = {Ryosuke Fujiwara and Masayuki Miyazaki and Makoto Katagishi}, title = {Low-latency wireless {LAN} system using polling-based {MAC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1504--1507}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865432}, doi = {10.1109/ISCAS.2014.6865432}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/FujiwaraMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Fujiyoshi14, author = {Masaaki Fujiyoshi}, title = {A near-lossless data hiding method with an improved quantizer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2289--2292}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865628}, doi = {10.1109/ISCAS.2014.6865628}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Fujiyoshi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GabaKZL14, author = {Siddharth Gaba and Phil Knag and Zhengya Zhang and Wei Lu}, title = {Memristive devices for stochastic computing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2592--2595}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865703}, doi = {10.1109/ISCAS.2014.6865703}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GabaKZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GalaykoBZDACKJB14, author = {Dimitri Galayko and Elena Blokhina and Eldar Zianbetov and Andrii Dudka and Fran{\c{c}}ois Anceau and {\'{E}}ric Colinet and Anton Korniienko and J{\'{e}}r{\^{o}}me Juillard and Philippe Basset}, title = {Complexity in heterogeneous systems on chips: Dsign and analysis challenges}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1997--2000}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865555}, doi = {10.1109/ISCAS.2014.6865555}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GalaykoBZDACKJB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaleCEA14, author = {Ella Gale and Ben de Lacy Costello and Victor Erokhin and Andrew Adamatzky}, title = {The short-term memory (d.c. response) of the memristor demonstrates the causes of the memristor frequency effect}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2812--2815}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865758}, doi = {10.1109/ISCAS.2014.6865758}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaleCEA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaliasY14, author = {Zbigniew Galias and Xinghuo Yu}, title = {On zero-order holder discretization of delayed sliding mode control systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1255--1258}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865370}, doi = {10.1109/ISCAS.2014.6865370}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GaliasY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GanL14, author = {Lingwen Gan and Steven H. Low}, title = {Chordal relaxation of {OPF} for multiphase radial networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1812--1815}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865509}, doi = {10.1109/ISCAS.2014.6865509}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GanL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GandhiAC14, author = {Gaurav Gandhi and Varun Aggarwal and Leon O. Chua}, title = {Coherer is the elusive memristor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2245--2248}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865617}, doi = {10.1109/ISCAS.2014.6865617}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GandhiAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GanganathCT14, author = {Nuwan Ganganath and Chi{-}Tsun Cheng and Chi Kong Tse}, title = {An ACO-based off-line path planner for nonholonomic mobile robots}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1038--1041}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865316}, doi = {10.1109/ISCAS.2014.6865316}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GanganathCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoL14, author = {Hongyun Gao and Kin{-}Man Lam}, title = {Saliency detection based on adaptive DoG and distance transform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {534--537}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865190}, doi = {10.1109/ISCAS.2014.6865190}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GaoL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoMHMRLB14, author = {Hao Gao and Marion K. Matters{-}Kammerer and Pieter Harpe and Dusan M. Milosevic and Arthur H. M. van Roermund and Jean{-}Paul M. G. Linnartz and Peter G. M. Baltus}, title = {A 60-GHz energy harvesting module with on-chip antenna and switch for co-integration with {ULP} radios in 65-nm {CMOS} with fully wireless mm-wave power transfer measurement}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1640--1643}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865466}, doi = {10.1109/ISCAS.2014.6865466}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoMHMRLB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoWLXP14, author = {Cencen Gao and Dong Wu and Hui Liu and Nan Xie and Liyang Pan}, title = {An ultra-low-power extended counting {ADC} For large scale sensor arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {81--84}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865070}, doi = {10.1109/ISCAS.2014.6865070}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoWLXP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GaoZM14, author = {Zhongpai Gao and Guangtao Zhai and Xiongkuo Min}, title = {Information security display system based on temporal psychovisual modulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {449--452}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865167}, doi = {10.1109/ISCAS.2014.6865167}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GaoZM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GargK14, author = {Achiranshu Garg and Tony Tae{-}Hyoung Kim}, title = {Design of {SRAM} {PUF} with improved uniformity and reliability utilizing device aging effect}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1941--1944}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865541}, doi = {10.1109/ISCAS.2014.6865541}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GargK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhanaatianSS14, author = {Reza Ghanaatian and Mahdi Shabany and Mohammad Sharifkhani}, title = {An efficient high-throughput {LSI} architecture for a synchronization block applied to real-time optical {OFDM} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1752--1755}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865494}, doi = {10.1109/ISCAS.2014.6865494}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhanaatianSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GhayeSDMCSVMC14, author = {Julien Ghaye and Chiara Succa and Danilo Demarchi and Sinan K. Muldur and Pascal Colpo and Paolo Silacci and Guy Vergeres and Giovanni De Micheli and Sandro Carrara}, title = {Quantitative estimation of biological cell surface receptors by segmenting conventional fluorescence microscopy images}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1824--1827}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865512}, doi = {10.1109/ISCAS.2014.6865512}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GhayeSDMCSVMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Ghosh14, author = {Santosh Ghosh}, title = {On the implementation of mceliece with {CCA2} indeterminacy by {SHA-3}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2804--2807}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865756}, doi = {10.1109/ISCAS.2014.6865756}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Ghosh14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GimenoGSAAC14, author = {Cecilia Gimeno and Erick Guerrero and Carlos S{\'{a}}nchez{-}Azqueta and Concepci{\'{o}}n Aldea and Cristina Azcona and Santiago Celma}, title = {A double loop continuous-time adaptive equalizer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {317--320}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865129}, doi = {10.1109/ISCAS.2014.6865129}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GimenoGSAAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GiounanlisBFGPD14, author = {Panagiotis Giounanlis and Elena Blokhina and Orla Feely and Sergi Gorreta and Joan Pons{-}Nin and Manuel Dom{\'{\i}}nguez Pumar}, title = {Sigma - Delta inspired control technique for the improvement of {MEMS} reliability}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1243--1246}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865367}, doi = {10.1109/ISCAS.2014.6865367}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GiounanlisBFGPD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GitermanTMBF14, author = {Robert Giterman and Adam Teman and Pascal Andreas Meinerzhagen and Andreas Burg and Alexander Fish}, title = {4T Gain-Cell with internal-feedback for ultra-low retention power at scaled {CMOS} nodes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2177--2180}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865600}, doi = {10.1109/ISCAS.2014.6865600}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GitermanTMBF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GoncalvesFRM14, author = {Hugo B. Goncalves and Jorge R. Fernandes and Taimur Gibran Rabuske and Miguel A. Martins}, title = {An Efficient {RF} power harvester for low input power with reduced dead-zone}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1636--1639}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865465}, doi = {10.1109/ISCAS.2014.6865465}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GoncalvesFRM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GopiD14, author = {Neela Gopi and Jeffrey Draper}, title = {Optimal techniques for assigning inter-tier signals to 3D-vias with path control in a 3DIC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {802--805}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865257}, doi = {10.1109/ISCAS.2014.6865257}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GopiD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GroniczPYH14, author = {Jakub Gronicz and Mika Pulkkinen and Mikail Y{\"{u}}cetas and Kari Halonen}, title = {A 2{\(\mathrm{\mu}\)}A temperature compensated mems-based real time clock with {\(\pm\)}4 ppm timekeeping accuracy}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {514--517}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865185}, doi = {10.1109/ISCAS.2014.6865185}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GroniczPYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuC14, author = {Ming Gu and Shantanu Chakrabartty}, title = {A bias-scalable current-mode analog support vector machine based on margin propagation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {273--276}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865118}, doi = {10.1109/ISCAS.2014.6865118}, timestamp = {Fri, 15 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuMO14, author = {Chongyan Gu and Julian P. Murphy and M{\'{a}}ire O'Neill}, title = {A unique and robust single slice {FPGA} identification generator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1223--1226}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865362}, doi = {10.1109/ISCAS.2014.6865362}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuMO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZLY014, author = {Ke Gu and Guangtao Zhai and Min Liu and Xiaokang Yang and Wenjun Zhang}, title = {Details preservation inspired blind quality metric of tone mapping methods}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {518--521}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865186}, doi = {10.1109/ISCAS.2014.6865186}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuZLY014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZLZ14, author = {Zhouye Gu and Jianhua Zheng and Nam Ling and Philipp Zhang}, title = {Simplified depth intra mode selection for 3D video compression}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1110--1113}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865334}, doi = {10.1109/ISCAS.2014.6865334}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuZLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuZSC14, author = {Jian{-}Feng Gu and Wei{-}Ping Zhu and M. N. S. Swamy and S. C. Chan}, title = {Fast and accurate 2-D {DOA} estimation via sparse L-shaped array}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1292--1295}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865379}, doi = {10.1109/ISCAS.2014.6865379}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuZSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuanNN14, author = {Junqing Guan and Xuan Anh Nghiem and Renato Negra}, title = {Iterative characterisation approach using realistic excitation signals for linearisation of transmitters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {197--200}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865099}, doi = {10.1109/ISCAS.2014.6865099}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuanNN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoCXYZL14, author = {Ying Guo and Long Chen and Tao Xia and Le Ye and Xing Zhang and Huailin Liao}, title = {A {UHF} {RFID} reader transmitter with digital {CMOS} power amplifier}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {702--705}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865232}, doi = {10.1109/ISCAS.2014.6865232}, timestamp = {Fri, 12 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoCXYZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuptaNSGT14, author = {Nitin Gupta and Tapas Nandy and Paramjeet Singh Sahni and Manish Garg and Jai Narayan Tripathi}, title = {Zero power 4.95Gbps {HDMI} transmitter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1500--1503}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865431}, doi = {10.1109/ISCAS.2014.6865431}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/GuptaNSGT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HaeriT14, author = {Soroush Haeri and Ljiljana Trajkovic}, title = {Deflection routing in complex networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2217--2220}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865610}, doi = {10.1109/ISCAS.2014.6865610}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HaeriT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamadHMS14, author = {Ghaith Bany Hamad and Syed Rafay Hasan and Otmane A{\"{\i}}t Mohamed and Yvon Savaria}, title = {Abstracting Single Event Transient characteristics variations due to input patterns and fan-out}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1468--1471}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865423}, doi = {10.1109/ISCAS.2014.6865423}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamadHMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamamotoY14, author = {Masaki Hamamoto and Masanao Yamaoka}, title = {An energy-efficient parallel-processing method based on master-hibernating {DVFS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1724--1727}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865487}, doi = {10.1109/ISCAS.2014.6865487}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamamotoY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HammlerEM14, author = {Nikolaus Hammler and Yonina C. Eldar and Boris Murmann}, title = {Low-rate identification of memory polynomials}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1034--1037}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865315}, doi = {10.1109/ISCAS.2014.6865315}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HammlerEM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HammoudaCL14, author = {Mohamed Ben Hammouda and Philippe Coussy and Lo{\"{\i}}c Lagadec}, title = {A design approach to automatically synthesize {ANSI-C} assertions during High-Level Synthesis of hardware accelerators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {165--168}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865091}, doi = {10.1109/ISCAS.2014.6865091}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HammoudaCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HamoudaFWBU14, author = {Mohamed Hamouda and Georg Fischer and Robert Weigel and Andreas Baenisch and Thomas Ussmueller}, title = {A 20-Gbps low jitter analog clock recovery circuit for ultra-wide band Radio systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1516--1519}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865435}, doi = {10.1109/ISCAS.2014.6865435}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HamoudaFWBU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Harris14, author = {Fredric J. Harris}, title = {Reduce energy requirements by coupling a poly-phase pre-filter and cic filter in high-performance Sigma-Delta {A/D} converters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1600--1603}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865456}, doi = {10.1109/ISCAS.2014.6865456}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Harris14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Harrison14, author = {Jeffrey Harrison}, title = {Analytic bounds on amplifier gain-bandwidth product from complex power flow}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {65--68}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865066}, doi = {10.1109/ISCAS.2014.6865066}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Harrison14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HasegawaTA14, author = {Tsuyoshi Hasegawa and Tohru Tsuruoka and Masakazu Aono}, title = {Volatile and nonvolatile selective operation of a two-terminal gap-type atomic switch}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1800--1803}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865506}, doi = {10.1109/ISCAS.2014.6865506}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HasegawaTA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HassanB14, author = {Muhammad Hassan and Amine Bermak}, title = {Gas classification using binary decision tree classifier}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2579--2582}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865700}, doi = {10.1109/ISCAS.2014.6865700}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HassanB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeZMY14, author = {Xiaofeng He and Yuanjin Zheng and Chengyan Ma and Tianchun Ye}, title = {A 95 dB dynamic range automatic gain control circuits and systems for Multi-standard Digital {TV} tuner}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2482--2485}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865676}, doi = {10.1109/ISCAS.2014.6865676}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HeZMY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeidariBGM14, author = {Hadi Heidari and Edoardo Bonizzoni and Umberto Gatti and Franco Maloberti}, title = {A current-mode {CMOS} integrated microsystem for current spinning magnetic hall sensors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {678--681}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865226}, doi = {10.1109/ISCAS.2014.6865226}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeidariBGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HeittmannN14, author = {Arne Heittmann and Tobias G. Noll}, title = {Variability analysis of a hybrid {CMOS/RS} nanoelectronic calibration circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1656--1659}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865470}, doi = {10.1109/ISCAS.2014.6865470}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HeittmannN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HerreraC14, author = {Jose Luis Alarcon Herrera and Xiang Chen}, title = {Deployment of visual sensor networks using a graph-based approach}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2575--2578}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865699}, doi = {10.1109/ISCAS.2014.6865699}, timestamp = {Wed, 26 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HerreraC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HilberBSROGS14, author = {Gerald Hilber and Alexander Burgstaller and E. H. Stitz and Andreas Rauchenecker and Timm Ostermann and Janos Gila and Martin Schiefer}, title = {Stability analysis and design methodology for an {\AA}kerberg-Mossberg filter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2097--2100}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865580}, doi = {10.1109/ISCAS.2014.6865580}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HilberBSROGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HinamotoDL14, author = {Takao Hinamoto and Akimitsu Doi and Wu{-}Sheng Lu}, title = {Jointly optimal error feedforward, high-order error feedback and realization for roundoff noise minimization in {IIR} digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {982--985}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865302}, doi = {10.1109/ISCAS.2014.6865302}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HinamotoDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HiraiOM14, author = {Yusaku Hirai and Kenji Ohara and Toshimasa Matsuoka}, title = {Extra loop delay compensation for hybrid delta-sigma modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2353--2356}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865644}, doi = {10.1109/ISCAS.2014.6865644}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HiraiOM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HiramiFK14, author = {Nobuyuki Hirami and Hisato Fujisaka and Takeshi Kamio}, title = {Probabilistic particle modeling of quantum wave propagation with reflection, transmission, and coupling}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {478--481}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865176}, doi = {10.1109/ISCAS.2014.6865176}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HiramiFK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HizonR14, author = {John Richard E. Hizon and Esther Rodr{\'{\i}}guez{-}Villegas}, title = {A reconfigurable {FGMOS} based {OTA-C} filter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2093--2096}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865579}, doi = {10.1109/ISCAS.2014.6865579}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HizonR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCHK14, author = {Cheng{-}Hsun Ho and Soon{-}Jyh Chang and Guan{-}Ying Huang and Che{-}Hsun Kuo}, title = {A 3.9-fJ/c.-s. 0.5-V 10-bit 100-kS/s low power {SAR} {ADC} with time-based fixed window}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2345--2348}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865642}, doi = {10.1109/ISCAS.2014.6865642}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCS14, author = {Donghyeok Ho and Kyuhwi Choi and Hwangjun Song}, title = {Social relation-aware SVC-based video streaming system over {P2P} network}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {566--569}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865198}, doi = {10.1109/ISCAS.2014.6865198}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoangKYO14, author = {Anh{-}Tuan Hoang and Tetsushi Koide and Masaharu Yamamoto and Mutsumi Omori}, title = {Pipeline scanning architecture with computation reduction for rectangle pattern matching in real-time traffic sign detection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1532--1535}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865439}, doi = {10.1109/ISCAS.2014.6865439}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoangKYO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HomayouniAAG14, author = {Maryam Homayouni and Alireza Aminlou and Payman Aflaki and Moncef Gabbouj}, title = {Content adaptive depth map resampling scheme in multiview video plus depth}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {538--541}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865191}, doi = {10.1109/ISCAS.2014.6865191}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HomayouniAAG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HossainC14, author = {Nahid M. Hossain and Masud H. Chowdhury}, title = {Multilayer graphene nanoribbon floating gate transistor for flash memory}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {806--809}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865258}, doi = {10.1109/ISCAS.2014.6865258}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HossainC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HouC0M14, author = {Junhui Hou and Lap{-}Pui Chau and Ying He and Nadia Magnenat{-}Thalmann}, title = {A novel compression framework for 3D time-varying meshes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2161--2164}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865596}, doi = {10.1109/ISCAS.2014.6865596}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HouC0M14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HouZZS14, author = {Zuoxun Hou and Hongbo Zhu and Nanning Zheng and Tadashi Shibata}, title = {A single-chip 600-fps real-time action recognition system employing a hardware friendly algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {762--765}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865247}, doi = {10.1109/ISCAS.2014.6865247}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HouZZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiaoW14, author = {Shen{-}Fu Hsiao and Pu{-}Cheng Wu}, title = {Design of low-leakage multi-port {SRAM} for register file in graphics processing unit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2181--2184}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865601}, doi = {10.1109/ISCAS.2014.6865601}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiaoW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehCH14, author = {Chi{-}Hsuan Hsieh and Hao{-}Ping Chu and Yuan{-}Hao Huang}, title = {An HMM-based eye movement detection system using {EEG} brain-computer interface}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {662--665}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865222}, doi = {10.1109/ISCAS.2014.6865222}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsiehDL14, author = {Cheng{-}Han Hsieh and Chung{-}Yen Du and Shuenn{-}Yuh Lee}, title = {Power management with energy harvesting from a headphone jack}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1989--1992}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865553}, doi = {10.1109/ISCAS.2014.6865553}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsiehDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuC14, author = {Ming{-}Wei Hsu and Chern{-}Lin Chen}, title = {A cost-effective offset cancellation structure for {LCD} source driver}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2317--2320}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865635}, doi = {10.1109/ISCAS.2014.6865635}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuP14, author = {Chih{-}Chieh Hsu and Alice C. Parker}, title = {A biomimetic nanoelectronic neuron with enhanced spike timing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1560--1563}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865446}, doi = {10.1109/ISCAS.2014.6865446}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuFM14, author = {Yue Hu and Farshad Farahbakhshian and Un{-}Ku Moon}, title = {Time amplifiers based on phase accumulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2349--2352}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865643}, doi = {10.1109/ISCAS.2014.6865643}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuFSC14, author = {Vita Pi{-}Ho Hu and Ming{-}Long Fan and Pin Su and Ching{-}Te Chuang}, title = {Evaluation of Read- and Write-Assist circuits for GeOI FinFET 6T {SRAM} cells}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1122--1125}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865337}, doi = {10.1109/ISCAS.2014.6865337}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuFSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuLG14, author = {Yuanqi Hu and Jiandong Li and Pantelis Georgiou}, title = {A {SAR} based calibration scheme for {ISFET} sensing arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {666--669}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865223}, doi = {10.1109/ISCAS.2014.6865223}, timestamp = {Thu, 08 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HuLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCCH14, author = {Chun{-}Rong Huang and Yi{-}I Chiu and Pau{-}Choo Chung and Yu{-}Chiao Hung}, title = {Occluded object tracking based on trajectory links in surveillance videos}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {337--340}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865134}, doi = {10.1109/ISCAS.2014.6865134}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangCLBKW14, author = {Ru Huang and Yimao Cai and Yefan Liu and Wenliang Bai and Yongbian Kuang and Yangyuan Wang}, title = {Resistive switching in organic memory devices for flexible applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {838--841}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865266}, doi = {10.1109/ISCAS.2014.6865266}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangCLBKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLH14, author = {Shyh{-}Jier Huang and Chun{-}Wei Lin and Te{-}Chun Hung}, title = {Analysis and implementation of {LLC} inverters for ozone-driven system with constant-current control}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2433--2436}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865664}, doi = {10.1109/ISCAS.2014.6865664}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLSTL14, author = {Shyh{-}Jier Huang and Xian{-}Zong Liu and Wei{-}Fu Su and Shih{-}Chieh Tsai and Chao{-}Ming Liao}, title = {Application of wolf group hierarchy optimization algorithm to fault section estimation in power systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1163--1166}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865347}, doi = {10.1109/ISCAS.2014.6865347}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLSTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangLW14, author = {ChihYang Huang and Hongchin Lin and Chia{-}You Wu}, title = {High-voltage tolerant circuit design for fully {CMOS} compatible multiple-time programmable memories}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1949--1952}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865543}, doi = {10.1109/ISCAS.2014.6865543}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangZCN14, author = {Yi Huang and Li Zhu and Chun Cheung and Laleh Najafizadeh}, title = {A curvature-compensation technique based on the difference of Si and SiGe junction voltages for bandgap voltage circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {914--917}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865285}, doi = {10.1109/ISCAS.2014.6865285}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangZCN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangZCN14a, author = {Yi Huang and Li Zhu and Chun Cheung and Laleh Najafizadeh}, title = {A low temperature coefficient voltage reference utilizing BiCMOS compensation technique}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {922--925}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865287}, doi = {10.1109/ISCAS.2014.6865287}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangZCN14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HussainLB14, author = {Shaista Hussain and Shih{-}Chii Liu and Arindam Basu}, title = {Improved margin multi-class classification using dendritic neurons with morphological learning}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2640--2643}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865715}, doi = {10.1109/ISCAS.2014.6865715}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HussainLB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuynhDLS14, author = {Anh Trong Huynh and Hoa Thai Duong and Hoang Viet Le and Efstratios Skafidas}, title = {Design and implementation of an 11-bit 50-MS/s split {SAR} {ADC} in 65 nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {305--308}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865126}, doi = {10.1109/ISCAS.2014.6865126}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuynhDLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IakymchukRSLJLJ14, author = {Taras Iakymchuk and Alfredo Rosado and Teresa Serrano{-}Gotarredona and Bernab{\'{e}} Linares{-}Barranco and Angel Jim{\'{e}}nez{-}Fernandez and Alejandro Linares{-}Barranco and Gabriel Jim{\'{e}}nez{-}Moreno}, title = {An {AER} handshake-less modular infrastructure {PCB} with x8 2.5Gbps {LVDS} serial links}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1556--1559}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865445}, doi = {10.1109/ISCAS.2014.6865445}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IakymchukRSLJLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IbrahimL14, author = {Mark M. R. Ibrahim and Peter M. Levine}, title = {{CMOS} transimpedance amplifier for biosensor signal acquisition}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {25--28}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865056}, doi = {10.1109/ISCAS.2014.6865056}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IbrahimL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IbrahimY14, author = {Mohamed Ibrahim and Bin Yang}, title = {A theoretical study of the statistical and spectral properties of polar transmitter signals}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1512--1515}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865434}, doi = {10.1109/ISCAS.2014.6865434}, timestamp = {Wed, 29 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IbrahimY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ImaiY14, author = {Masashi Imai and Tomohiro Yoneda}, title = {Multiple-clock multiple-edge-triggered multiple-bit flip-flops for two-phase handshaking asynchronous circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {141--144}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865085}, doi = {10.1109/ISCAS.2014.6865085}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ImaiY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Irizarry-ValleP14, author = {Yilda Irizarry{-}Valle and Alice Cline Parker}, title = {Astrocyte on neuronal phase synchrony in {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {261--264}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865115}, doi = {10.1109/ISCAS.2014.6865115}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Irizarry-ValleP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IslamA14, author = {Md. Shafiqul Islam and Syed Iftekhar Ali}, title = {Improved charge shared scheme for low-energy match line sensing in ternary content addressable memory}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2748--2751}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865742}, doi = {10.1109/ISCAS.2014.6865742}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IslamA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IslamG14, author = {Riadul Islam and Matthew R. Guthaus}, title = {Current-mode clock distribution}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1203--1206}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865357}, doi = {10.1109/ISCAS.2014.6865357}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IslamG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/IsmailY14, author = {Yousr Ismail and Chih{-}Kong Ken Yang}, title = {A compact stacked-device output driver in low-voltage {CMOS} Technology}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1624--1627}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865462}, doi = {10.1109/ISCAS.2014.6865462}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/IsmailY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JakhetiyaAJJM14, author = {Vinit Jakhetiya and Oscar C. Au and Sunil Prasad Jaiswal and Luheng Jia and Gaurav Mittal}, title = {Symmetrical predictor structure based integrated lossy, near lossless/lossless coding of images}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2293--2296}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865629}, doi = {10.1109/ISCAS.2014.6865629}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JakhetiyaAJJM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangPJH14, author = {Jun{-}Woo Jang and Sangsu Park and Yoon{-}Ha Jeong and Hyunsang Hwang}, title = {ReRAM-based synaptic device for neuromorphic computing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1054--1057}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865320}, doi = {10.1109/ISCAS.2014.6865320}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangPJH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeongCKJ14, author = {Gyu{-}Seob Jeong and Hankyu Chi and Kyungock Kim and Deog{-}Kyoon Jeong}, title = {A 20-Gb/s 1.27pJ/b low-power optical receiver front-end in 65nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1492--1495}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865429}, doi = {10.1109/ISCAS.2014.6865429}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeongCKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JeterB14, author = {Russell Jeter and Igor Belykh}, title = {Dynamical networks with on-off stochastic connections: Beyond fast switching}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1788--1791}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865503}, doi = {10.1109/ISCAS.2014.6865503}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JeterB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JianSLCC14, author = {Jhong{-}Ting Jian and Yu{-}Lin Song and Chia{-}Fone Lee and Yuan{-}Fang Chou and Wei{-}Zen Chen}, title = {A 0.6 V, 1.66mW energy harvester and audio driver for tympanic membrane transducer with wirelessly optical signal and power transfer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {874--877}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865275}, doi = {10.1109/ISCAS.2014.6865275}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JianSLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiangKTZ14, author = {Aimin Jiang and Hon Keung Kwan and Yibin Tang and Yanping Zhu}, title = {Efficient design of sparse {FIR} filters with optimized filter length}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {966--969}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865298}, doi = {10.1109/ISCAS.2014.6865298}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiangKTZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinPLZ14, author = {Jing Jin and Bukun Pan and Xiaoming Liu and Jianjun Zhou}, title = {Injection-Locking Frequency Divider based dual-modulus prescalers with extended locking range}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {502--505}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865182}, doi = {10.1109/ISCAS.2014.6865182}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinPLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinPLZ14a, author = {Jing Jin and Bukun Pan and Xiaoming Liu and Jianjun Zhou}, title = {Digital spur calibration of multi-modulus fractional frequency {LO} divider utilizing most correlated comparison algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {742--745}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865242}, doi = {10.1109/ISCAS.2014.6865242}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinPLZ14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JinS14, author = {Xiankun Jin and Nan Sun}, title = {Low-cost high-quality constant offset injection for SEIR-based {ADC} built-in-self-test}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {285--288}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865121}, doi = {10.1109/ISCAS.2014.6865121}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JinS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Jiraseree-amornkunS14, author = {Amorn Jiraseree{-}amornkun and Wanlop Surakampontorn}, title = {Low-power fine-tuning switched-resistor reconfigurable filter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2101--2104}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865581}, doi = {10.1109/ISCAS.2014.6865581}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Jiraseree-amornkunS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungESWCB14, author = {Seungwoo Jung and Troy D. England and Ickhyun Song and Bryan Wier and John D. Cressler and Jeff A. Babcock}, title = {A complementary SiGe {HBT} on {SOI} low dropout voltage regulator utilizing a nulling resistor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2453--2456}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865669}, doi = {10.1109/ISCAS.2014.6865669}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungESWCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungSC14, author = {Seungwoo Jung and Ickhyun Song and John D. Cressler}, title = {Systematic methodology for applying Mason's signal flow graph to analysis of feedback circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2421--2424}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865661}, doi = {10.1109/ISCAS.2014.6865661}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JungSJK14, author = {Tae{-}jun Jung and Kwang{-}deok Seo and Yo{-}Won Jeong and Chang{-}Ki Kim}, title = {A practical {FEC} code rate decision scheme based on joint source-channel distortion model}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {554--557}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865195}, doi = {10.1109/ISCAS.2014.6865195}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JungSJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaivaniK14, author = {Amir Kaivani and Seok{-}Bum Ko}, title = {High-speed {FFT} processors based on redundant number systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2237--2240}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865615}, doi = {10.1109/ISCAS.2014.6865615}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KaivaniK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KalofonouT14, author = {Melpomeni Kalofonou and Chris Toumazou}, title = {An {ISFET} based analogue ratiometric method for {DNA} methylation detection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1832--1835}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865514}, doi = {10.1109/ISCAS.2014.6865514}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KalofonouT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KamalinejadKMML14, author = {Pouya Kamalinejad and Kamyar Keikhosravy and Reza Molavi and Shahriar Mirabbasi and Victor C. M. Leung}, title = {Efficiency enhancement techniques and a dual-band approach in {RF} rectifiers for wireless power harvesting}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2049--2052}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865568}, doi = {10.1109/ISCAS.2014.6865568}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KamalinejadKMML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KamathDSVK14, author = {Sanmati Kamath and Shashank Dabral and Jagadeesh Sankaran and Brian Valentine and Branislav Kisacanin}, title = {Implementation details of mid-level vision on the Embedded Vision Engine {(EVE)}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1283--1287}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865377}, doi = {10.1109/ISCAS.2014.6865377}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KamathDSVK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KamikuboWK14, author = {Yuki Kamikubo and Minoru Watanabe and Shoji Kawahito}, title = {Image recognition system using an optical Fourier transform on a dynamically reconfigurable vision architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1528--1531}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865438}, doi = {10.1109/ISCAS.2014.6865438}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KamikuboWK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KanekoT14, author = {Mineo Kaneko and Yutaka Tsuboishi}, title = {Constrained binding and scheduling of triplicated algorithm for fault tolerant datapath synthesis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1448--1451}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865418}, doi = {10.1109/ISCAS.2014.6865418}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KanekoT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangCZK14, author = {Je{-}Won Kang and Ying Chen and Li Zhang and Marta Karczewicz}, title = {Low complexity Neighboring Block based Disparity Vector Derivation in 3D-HEVC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1921--1924}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865536}, doi = {10.1109/ISCAS.2014.6865536}, timestamp = {Tue, 17 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KangCZK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangGCHZLCJWY14, author = {Jinfeng Kang and Bin Gao and Bing Chen and Peng Huang and Feifei Zhang and Xiaoyan Liu and Hong{-}Yu Chen and Zizhen Jiang and H.{-}S. Philip Wong and Shimeng Yu}, title = {Scaling and operation characteristics of HfOx based vertical {RRAM} for 3D cross-point architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {417--420}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865154}, doi = {10.1109/ISCAS.2014.6865154}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KangGCHZLCJWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangLL14, author = {Yu{-}Hsuan Kang and Chin{-}Yu Lin and Tai{-}Cheng Lee}, title = {A 20-MHz {BW} 75-dB {SFDR} shifted-averaging VCO-based {\(\Delta\)}{\(\Sigma\)} modulator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1328--1331}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865388}, doi = {10.1109/ISCAS.2014.6865388}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KassanosT14, author = {Panagiotis Kassanos and Iasonas F. Triantis}, title = {A {CMOS} multi-sine signal generator for multi-frequency bioimpedance measurements}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {249--252}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865112}, doi = {10.1109/ISCAS.2014.6865112}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KassanosT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KathiahA14, author = {Saravanan Kathiah and Sankaran Aniruddhan}, title = {Replica bias scheme for efficient power utilization in high-frequency {CMOS} digital circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1002--1005}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865307}, doi = {10.1109/ISCAS.2014.6865307}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KathiahA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KatoTS14, author = {Kazunari Kato and Yasuhiro Takahashi and Toshikazu Sekine}, title = {Two phase clocking subthreshold adiabatic logic}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {598--601}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865206}, doi = {10.1109/ISCAS.2014.6865206}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KatoTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KaveheiS14, author = {Omid Kavehei and Efstratios Skafidas}, title = {Highly scalable neuromorphic hardware with 1-bit stochastic nano-synapses}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1648--1651}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865468}, doi = {10.1109/ISCAS.2014.6865468}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KaveheiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KawabataFK14, author = {Yuma Kawabata and Hisato Fujisaka and Takeshi Kamio}, title = {Probabilistic particle modeling of quantum wave propagation with excitation and refraction}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {474--477}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865175}, doi = {10.1109/ISCAS.2014.6865175}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KawabataFK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KellerGKF14, author = {Christoph Keller and Frank K. G{\"{u}}rkaynak and Hubert Kaeslin and Norbert Felber}, title = {Dynamic memory-based physically unclonable function for the generation of unique identifiers and true random numbers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2740--2743}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865740}, doi = {10.1109/ISCAS.2014.6865740}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KellerGKF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KhalilMDGSM14, author = {Waleed Khalil and Jamin J. McCue and Brian Dupaix and Wagdy Gaber and Sami Smaili and Yehia Massoud}, title = {On the design of RF-DACs for random acquisition based reconfigurable receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {610--613}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865209}, doi = {10.1109/ISCAS.2014.6865209}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KhalilMDGSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KilicHMA14, author = {Alp Kili{\c{c}} and Delaram Haghighitalab and Habib Mehrez and Hassan Aboushady}, title = {Low-power comb decimation filter for {RF} Sigma-Delta ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1596--1599}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865455}, doi = {10.1109/ISCAS.2014.6865455}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KilicHMA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimBJ14, author = {Yoonsoo Kim and Woo{-}Rham Bae and Deog{-}Kyoon Jeong}, title = {A 10-Gb/s 6-Vpp differential modulator driver in 65-nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1869--1872}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865523}, doi = {10.1109/ISCAS.2014.6865523}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimBJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKJPJKCLPL14, author = {Seok Kim and Jung{-}Myung Kang and Xuefan Jin and Se{-}Ung Park and Ja{-}Hoon Jin and Kee{-}Won Kwon and Jung{-}Hoon Chun and Jung Ho Lee and Jun Young Park and Dae Young Lee}, title = {A 12.5-Gb/s near-GND transceiver for wire-line {UHD} video interfaces}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1488--1491}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865428}, doi = {10.1109/ISCAS.2014.6865428}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKJPJKCLPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimRSK14, author = {Hoyoung Kim and Soojung Ryu and Abhishek A. Sinkar and Nam Sung Kim}, title = {Quantitative comparison of the power reduction techniques for samsung reconfigurable processor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1736--1739}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865490}, doi = {10.1109/ISCAS.2014.6865490}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimRSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimWBBLLKHKACSB14, author = {Gyouho Kim and Adriane Wolfe and Richard Bell and Suyoung Bang and Yoonmyung Lee and Inhee Lee and Yejoong Kim and Lewis Hsu and Jeffrey Kagan and Meriah Arias{-}Thode and Bart Chadwick and Dennis Sylvester and David T. Blaauw}, title = {Chip-on-mud: Ultra-low power ARM-based oceanic sensing system powered by small-scale benthic microbial fuel cells}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1985--1988}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865552}, doi = {10.1109/ISCAS.2014.6865552}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimWBBLLKHKACSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KishineIINTOK14, author = {Keiji Kishine and Hiroshi Inoue and Hiromi Inaba and Makoto Nakamura and Akira Tsuchiya and Hidetoshi Onodera and Hiroaki Katsurai}, title = {A 65-nm {CMOS} burst-mode {CDR} based on a {GVCO} with symmetric loops}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2704--2707}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865731}, doi = {10.1109/ISCAS.2014.6865731}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KishineIINTOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KoutsosG14, author = {Ermis Koutsos and Pantelis Georgiou}, title = {An analogue instantaneous median frequency tracker for {EMG} fatigue monitoring}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1388--1391}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865403}, doi = {10.1109/ISCAS.2014.6865403}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KoutsosG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KrollZOBBH14, author = {Harald Kroll and Stefan Zwicky and Reto Odermatt and Lukas Bruderer and Andreas Burg and Qiuting Huang}, title = {A signal processor for Gaussian message passing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1969--1972}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865548}, doi = {10.1109/ISCAS.2014.6865548}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KrollZOBBH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarA14, author = {Abhishek Kumar and Sankaran Aniruddhan}, title = {Ground-bounce reduction in narrow-band {RF} front-ends}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {189--192}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865097}, doi = {10.1109/ISCAS.2014.6865097}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KumarL14, author = {Sumeet S. Kumar and Rene van Leuken}, title = {Improving data cache performance using Persistence Selective Caching}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1945--1948}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865542}, doi = {10.1109/ISCAS.2014.6865542}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KumarL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoMC14, author = {Chih{-}Hung Kuo and Ping Ma and Chi{-}Fang Chang}, title = {Band-selective estimation for IQ-imbalance and carrier frequency offset in {OFDM} systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1708--1711}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865483}, doi = {10.1109/ISCAS.2014.6865483}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KutilaPL14, author = {Mika Kutila and Ari Paasio and Teijo Lehtonen}, title = {Simulations on 130 nm technology 6T {SRAM} cell for Near-Threshold operation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1211--1214}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865359}, doi = {10.1109/ISCAS.2014.6865359}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KutilaPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonB14, author = {Do{-}Kyoung Kwon and Madhukar Budagavi}, title = {Fast intra block copy (IntraBC) search for {HEVC} screen content coding}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {9--12}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865052}, doi = {10.1109/ISCAS.2014.6865052}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KwonB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LababidiRMJL14, author = {Raafat Lababidi and Fr{\'{e}}d{\'{e}}ric Le Roy and Ali Mansour and Bernard Jarry and Ali Louzir}, title = {Highly flexible active notch filter for Cognitive Radio}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1496--1499}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865430}, doi = {10.1109/ISCAS.2014.6865430}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LababidiRMJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LahmiriBI14, author = {Salim Lahmiri and Mounir Boukadoum and Antonio Di Ieva}, title = {Detrended fluctuation analysis of brain hemisphere magnetic resonnance images to detect cerebral arteriovenous malformations}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2409--2412}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865658}, doi = {10.1109/ISCAS.2014.6865658}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LahmiriBI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaihoLHZDLP14, author = {Mika Laiho and Eero Lehtonen and Jennifer O. Hasler and Jiantao Zhou and Chao Du and Wei Lu and Jussi H. Poikonen}, title = {Analog signal processing on a FPAA/memristor hybrid circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2265--2268}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865622}, doi = {10.1109/ISCAS.2014.6865622}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaihoLHZDLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LajnefBBC14, author = {Nizar Lajnef and Rigoberto Burgue{\~{n}}o and Wassim Borchani and Shantanu Chakrabartty}, title = {Sub-Hz self-powered sensing based on mechanical-buckling driven hot-electron injection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {670--673}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865224}, doi = {10.1109/ISCAS.2014.6865224}, timestamp = {Fri, 26 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LajnefBBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaoP14, author = {Yingjie Lao and Keshab K. Parhi}, title = {Protecting {DSP} circuits through obfuscation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {798--801}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865256}, doi = {10.1109/ISCAS.2014.6865256}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LaoP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LecerfTBGMGS14, author = {Gwendal Lecerf and Jean Tomas and Soren Boyn and Stephanie Girod and Ashwin Mangalore and Julie Grollier and Sylvain Sa{\"{\i}}ghi}, title = {Silicon neuron dedicated to memristive spiking neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1568--1571}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865448}, doi = {10.1109/ISCAS.2014.6865448}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LecerfTBGMGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee14, author = {Edward K. F. Lee}, title = {A low voltage auto-reconfigured power-on-reset/bandgap reference circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {918--921}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865286}, doi = {10.1109/ISCAS.2014.6865286}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lee14a, author = {Edward K. F. Lee}, title = {An integrated coil driver with discrete control and power efficient {ASK} modulation for transcutaneous power transmission}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1090--1093}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865329}, doi = {10.1109/ISCAS.2014.6865329}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Lee14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCBL14, author = {Paul Jung{-}Ho Lee and Denis Guangyin Chen and Amine Bermak and Man Kay Law}, title = {A high voltage zero-static current voltage scaling {ADC} interface circuit for micro-stimulator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1380--1383}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865401}, doi = {10.1109/ISCAS.2014.6865401}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCBL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCCCGH14, author = {Yen{-}Long Lee and Soon{-}Jyh Chang and Rong{-}Sing Chu and Yen{-}Chi Chen and Jih Ren Goh and Chung{-}Ming Huang}, title = {An area- and power-efficient half-rate clock and data recovery circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2129--2132}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865588}, doi = {10.1109/ISCAS.2014.6865588}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCCCGH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeHSY14, author = {Yongsu Lee and Unsoo Ha and Kiseok Song and Hoi{-}Jun Yoo}, title = {3.8 mW electrocardiogram {(ECG)} filtered electrical impedance tomography {IC} using {I/Q} homodyne architecture for breast cancer diagnosis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2389--2392}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865653}, doi = {10.1109/ISCAS.2014.6865653}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeHSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCC14, author = {Hsiang{-}Yuan Lee and Tsorng{-}Juu Liang and Jiann{-}Fuh Chen and Kai{-}Hui Chen}, title = {Design and implementation of a bidirectional SEPIC-Zeta {DC-DC} Converter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {101--104}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865075}, doi = {10.1109/ISCAS.2014.6865075}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeYLKSKP14, author = {Jae{-}Seung Lee and Dong{-}Hee Yeo and Sang{-}Soo Lee and Hye{-}Jung Kwon and Jae{-}Yoon Sim and Byungsub Kim and Hong{-}June Park}, title = {A 0.4 {V} driving multi-touch capacitive sensor with the driving signal frequency set to (n+0.5) times the inverse of the {LCD} {VCOM} noise period}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {682--685}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865227}, doi = {10.1109/ISCAS.2014.6865227}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeYLKSKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lei14, author = {Chi{-}Un Lei}, title = {Teaching introductory circuits and systems: Enhancing learning experience via iterative design process and pre-/post-project learning activities}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2413--2416}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865659}, doi = {10.1109/ISCAS.2014.6865659}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lei14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Lei14a, author = {Chi{-}Un Lei}, title = {{LUOPAN:} Light utility-oriented placement of actuator nodes in sensor/actuator networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2563--2566}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865696}, doi = {10.1109/ISCAS.2014.6865696}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Lei14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeiZZS14, author = {Lei Qiu and Yuanjin Zheng and Di Zhu and Liter Siek}, title = {A statistic based time skew calibration method for time-interleaved ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2373--2376}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865649}, doi = {10.1109/ISCAS.2014.6865649}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeiZZS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LellisBTM14, author = {Pietro De Lellis and Mario di Bernardo and Edmondo Di Tucci and Sabato Manfredi}, title = {Pinning control design for bounded synchronization of complex networks of nonidentical systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2225--2228}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865612}, doi = {10.1109/ISCAS.2014.6865612}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LellisBTM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiC14, author = {You Li and Degang Chen}, title = {Efficient analog verification against Trojan states using divide and contraction method}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {281--284}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865120}, doi = {10.1109/ISCAS.2014.6865120}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiCUC14, author = {Mao{-}Ruei Li and Hsueh{-}Chih Chou and Yeong{-}Luh Ueng and Yun Chen}, title = {A low-complexity {LDPC} decoder for {NAND} flash applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {213--216}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865103}, doi = {10.1109/ISCAS.2014.6865103}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiCUC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiH14, author = {Yan Li and Jianhao Hu}, title = {Extensional design for noise-tolerate {MRF} standard cells via global mapping}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1728--1731}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865488}, doi = {10.1109/ISCAS.2014.6865488}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiHM14, author = {Yang{-}Guo Li and Mohammad Rafiqul Haider and Yehia Massoud}, title = {An efficient orthogonal pulse set generator for high-speed sub-GHz {UWB} communications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1913--1916}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865534}, doi = {10.1109/ISCAS.2014.6865534}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiHMTWN14, author = {Jing Li and Richard Hagelauer and Thomas Mayer and Stefan Tertinek and Christian Wicpalek and Burkhard Neurauter}, title = {An overall gain estimation algorithm for all digital phase locked loops}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {325--328}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865131}, doi = {10.1109/ISCAS.2014.6865131}, timestamp = {Fri, 11 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiHMTWN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiKSXP14, author = {Qingjiang Li and Ali Khiat and Iulia Salaoru and Hui Xu and Themistoklis Prodromakis}, title = {Origin of stochastic resistive switching in devices with phenomenologically identical initial states}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1428--1431}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865413}, doi = {10.1109/ISCAS.2014.6865413}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiKSXP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLH14, author = {Gang Li and Yong Ching Lim and Chaogeng Huang}, title = {All-pass based robust low complexity structures for implementing recursive digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {974--977}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865300}, doi = {10.1109/ISCAS.2014.6865300}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLTK14, author = {Xing Li and Yan Lu and Chi{-}Ying Tsui and Wing{-}Hung Ki}, title = {An adaptive wireless powering and data telemetry system for optic nerve stimulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1404--1407}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865407}, doi = {10.1109/ISCAS.2014.6865407}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLTK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLTL14, author = {An{-}Kai Li and Sheau{-}Fang Lei and Wen{-}Kai Tsai and Shin{-}Chi Lai}, title = {Fast algorithm and common structure design of recursive analysis and synthesis quadrature mirror filterbanks for digital radio mondiale}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1199--1202}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865356}, doi = {10.1109/ISCAS.2014.6865356}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiLTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiLWHC14, author = {Zhen Li and Xiangdong Liu and Siu Chung Wong and Yuehui Huang and Xi Chen}, title = {Period-doubling bifurcation and its boundary study of DFIGWind turbine connected with local interacting unbalanced loads in micro-grid}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {486--489}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865178}, doi = {10.1109/ISCAS.2014.6865178}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiLWHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiM14, author = {Lin Li and Andrew J. Mason}, title = {Development of an integrated CMOS-microfluidic instrumentation array for high throughput membrane protein studies}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {638--641}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865216}, doi = {10.1109/ISCAS.2014.6865216}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiXRW14, author = {Yanfeng Li and Ni Xu and Woogeun Rhee and Zhihua Wang}, title = {A 2.5GHz {ADPLL} with PVT-insensitive {\(\Delta\)}{\(\Sigma\)} dithered time-to-digital conversion by utilizing an {ADDLL}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1440--1443}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865416}, doi = {10.1109/ISCAS.2014.6865416}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiXRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiYWYY14, author = {Yang Li and Wenwu Yu and Guanghui Wen and Xinghuo Yu and Lingling Yao}, title = {Observer design for consensus of general fractional-order multi-agent systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1792--1795}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865504}, doi = {10.1109/ISCAS.2014.6865504}, timestamp = {Wed, 20 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiYWYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangHHCL14, author = {Ming{-}Chun Liang and Cheng{-}Han Hsieh and Jia{-}Hua Hong and Shih{-}Yu Chang Chien and Shuenn{-}Yuh Lee}, title = {Live demonstration: {A} wearable wireless {ECG} acquisition and specification system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {438}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865161}, doi = {10.1109/ISCAS.2014.6865161}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangHHCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangLCC14, author = {Luhong Liang and Peng Luo and Wai Keung Cheung and King Hung Chiu}, title = {Fast single frame super-resolution using perceptual visibility optimization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2716--2719}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865734}, doi = {10.1109/ISCAS.2014.6865734}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangZ14, author = {Jinling Liang and Wei Xing Zheng}, title = {Distributed state estimation for sensor networks with randomly occurring sensor saturations}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2305--2308}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865632}, doi = {10.1109/ISCAS.2014.6865632}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiaoCLWZHY14, author = {Kai Liao and Xiaoxin Cui and Nan Liao and Tian Wang and Xiao Zhang and Ying Huang and Dunshan Yu}, title = {High-speed constant-time division module for Elliptic Curve Cryptography based on GF(2\({}^{\mbox{m}}\))}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {818--821}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865261}, doi = {10.1109/ISCAS.2014.6865261}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiaoCLWZHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimKDDKM14, author = {Yang Choon Lim and Abbas Z. Kouzani and Wei Duan and Xiujuan J. Dai and Akif Kaynak and Douglas Mair}, title = {Design and evaluation of a microcantilever aptasensor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {221--224}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865105}, doi = {10.1109/ISCAS.2014.6865105}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LimKDDKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC14, author = {Ching{-}Wen Lin and Chung{-}Ho Chen}, title = {Unambiguous I-cache testing using software-based self-testing methodology}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1756--1759}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865495}, doi = {10.1109/ISCAS.2014.6865495}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCCW14, author = {Yu{-}Min Lin and Yu{-}Hao Chen and Ming{-}Han Chung and An{-}Yeu Wu}, title = {High-throughput {QC-LDPC} decoder with cost-effective early termination scheme for non-volatile memory systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2732--2735}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865738}, doi = {10.1109/ISCAS.2014.6865738}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinCWTJ14, author = {Kuei{-}Cheng Lin and Hwann{-}Kaeo Chiou and Po{-}Chang Wu and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {5-GHz SiGe linearity power amplifier using integrated feedforward architecture for {WLAN} applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1508--1511}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865433}, doi = {10.1109/ISCAS.2014.6865433}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinCWTJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinHK14, author = {Gregory J. Y. Lin and Chienbo B. Hsu and James B. Kuo}, title = {Critical-path aware power consumption optimization methodology {(CAPCOM)} using mixed-VTH cells for low-power {SOC} designs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1740--1743}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865491}, doi = {10.1109/ISCAS.2014.6865491}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinKL14, author = {Kuan{-}Yu Lin and Ming{-}Dou Ker and Chun{-}Yu Lin}, title = {A high-voltage-tolerant stimulator realized in the low-voltage {CMOS} process for cochlear implant}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {237--240}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865109}, doi = {10.1109/ISCAS.2014.6865109}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinLF14, author = {Kuen{-}Chih Lin and Jui{-}Chieh Liao and Wai{-}Chi Fang}, title = {A highly integrated biomedical multiprocessor SoC design for a wireless bedside monitoring system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1392--1395}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865404}, doi = {10.1109/ISCAS.2014.6865404}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinLF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinW14, author = {Qiuzhen Lin and Kwok{-}Wo Wong}, title = {An improved iterative decoding scheme based on error-resistant arithmetic code}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1704--1707}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865482}, doi = {10.1109/ISCAS.2014.6865482}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWXZ14, author = {Chunnan Lin and Quanjun Wu and Lan Xiang and Jin Zhou}, title = {Pinning impulsive synchronization in coupled delayed directed dynamical networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {498--501}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865181}, doi = {10.1109/ISCAS.2014.6865181}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWXZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinY14, author = {Jun Lin and Zhiyuan Yan}, title = {Efficient list decoder architecture for polar codes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1022--1025}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865312}, doi = {10.1109/ISCAS.2014.6865312}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinZ14, author = {Yang Lin and Mark Zwolinski}, title = {A cost-efficient self-checking register architecture for radiation hardened designs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {149--152}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865087}, doi = {10.1109/ISCAS.2014.6865087}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LingAZPYZ14, author = {Yonggen Ling and Oscar C. Au and Ruobing Zou and Jiahao Pang and Haiyan Yang and Amin Zheng}, title = {Photo album compression By leveraging temporal-spatial correlations and {HEVC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1917--1920}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865535}, doi = {10.1109/ISCAS.2014.6865535}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LingAZPYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Linn14, author = {Eike Linn}, title = {Memristive nano-crossbar arrays enabling novel computing paradigms}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2596--2599}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865704}, doi = {10.1109/ISCAS.2014.6865704}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Linn14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuAASA14, author = {Xiaodong Liu and Mattias Andersson and Martin Anderson and Lars Sundstr{\"{o}}m and Pietro Andreani}, title = {An 11mW continuous time delta-Sigma modulator with 20 MHz bandwidth in 65nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2337--2340}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865640}, doi = {10.1109/ISCAS.2014.6865640}, timestamp = {Fri, 20 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuAASA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuBAO14, author = {Tianyi Liu and Ulrich Bihr and Jens Anders and Maurits Ortmanns}, title = {Performance evaluation of a low power optical wireless link for biomedical data transfer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {870--873}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865274}, doi = {10.1109/ISCAS.2014.6865274}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuBAO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuBM14, author = {Yao Liu and Edoardo Bonizzoni and Franco Maloberti}, title = {A 2+1 multi-bit incremental architecture using Smart-DEM algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1668--1671}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865473}, doi = {10.1109/ISCAS.2014.6865473}, timestamp = {Mon, 16 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuBM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuC14, author = {Chih{-}Wei Liu and Le{-}Ren Chang{-}Chien}, title = {Autonomous tuning method for realizing optimal adaptive voltage positioning scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2449--2452}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865668}, doi = {10.1109/ISCAS.2014.6865668}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCPCH14, author = {Jen{-}Chieh Liu and Huan{-}Ke Chiu and Jia{-}Hung Peng and Yuan{-}Hua Chu and Hong{-}Yi Huang}, title = {A radio-controlled receiver for clocks/watches and alarm applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2672--2675}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865723}, doi = {10.1109/ISCAS.2014.6865723}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCPCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuGRW14, author = {Dang Liu and Shuli Geng and Woogeun Rhee and Zhihua Wang}, title = {A high efficiency robust {IR-UWB} receiver design for high data rate CM-range communications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1901--1904}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865531}, doi = {10.1109/ISCAS.2014.6865531}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuGRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuHLC14, author = {Hsi{-}En Liu and Shih{-}Che Hung and Chih{-}Wen Lu and Tsin{-}Yuan Chang}, title = {A low-power Spread Spectrum Clock Generator with an embeddable half-integer division ratio interpolator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1873--1876}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865524}, doi = {10.1109/ISCAS.2014.6865524}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuHLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuLDGC14, author = {Zhiqiang Liu and You Li and Yan Duan and Randall L. Geiger and Degang Chen}, title = {Identification and break of positive feedback loops in Trojan States Vulnerable Circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {289--292}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865122}, doi = {10.1109/ISCAS.2014.6865122}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuLDGC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuSZRLS14, author = {Xilin Liu and Basheer Subei and Milin Zhang and Andrew G. Richardson and Timothy H. Lucas and Jan Van der Spiegel}, title = {The PennBMBI: {A} general purpose wireless Brain-Machine-Brain Interface system for unrestrained animals}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {650--653}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865219}, doi = {10.1109/ISCAS.2014.6865219}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuSZRLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuXMFG14, author = {Hangfan Liu and Ruiqin Xiong and Siwei Ma and Xiaopeng Fan and Wen Gao}, title = {Non-local extension of total variation regularization for image restoration}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1102--1105}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865332}, doi = {10.1109/ISCAS.2014.6865332}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuXMFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYH14, author = {Yao{-}Ping Liu and Chao Yuan and Yvonne Lam Ying Hung}, title = {A capacitor constructed bypass window switching scheme for energy-efficient {SAR} {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1352--1355}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865394}, doi = {10.1109/ISCAS.2014.6865394}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYWWHHCJC14, author = {Wei{-}Chang Liu and Fu{-}Chun Yeh and Chia{-}Yi Wu and Ting{-}Chen Wei and Ya{-}Shiue Huang and Shen{-}Jui Huang and Ching{-}Da Chan and Shyh{-}Jye Jou and Sau{-}Gee Chen}, title = {An {IEEE} 802.15.3c/802.11ad compliant {SC/OFDM} dual-mode baseband receiver for 60 GHz Band}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1006--1009}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865308}, doi = {10.1109/ISCAS.2014.6865308}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYWWHHCJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZH14, author = {Supeng Liu and Yuanjin Zheng and Xiaofeng He}, title = {Design of a wideband low power {FMCW} synthesizer in 65 nm {CMOS} for radar applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1776--1779}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865500}, doi = {10.1109/ISCAS.2014.6865500}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuZH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZK14, author = {Yonggen Liu and Chenchang Zhan and Wing{-}Hung Ki}, title = {Fast-transient-response high-PSR low-dropout regulator based on ultra-fast error amplifier and unity-gain buffer for portable applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {906--909}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865283}, doi = {10.1109/ISCAS.2014.6865283}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuZK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuZYY14, author = {Jing Liu and Guangtao Zhai and Xiaokang Yang and Bing Yang}, title = {Spatial error concealment with adaptive linear predictor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2728--2731}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865737}, doi = {10.1109/ISCAS.2014.6865737}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LiuZYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LoiAK14, author = {Kung Chi Cinnati Loi and Sen An and Seok{-}Bum Ko}, title = {{FPGA} implementation of low latency scalable Elliptic Curve Cryptosystem processor in GF(2\({}^{\mbox{m}}\))}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {822--825}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865262}, doi = {10.1109/ISCAS.2014.6865262}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LoiAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LooOLHM14, author = {Ling Kim Loo and Chia Yee Ooi and V. Y. Liew and Yuan Wen Hau and Muhammad N. Marsono}, title = {Packet logging mechanism for adaptive online fault detection on Network-on-Chip}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1760--1763}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865496}, doi = {10.1109/ISCAS.2014.6865496}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LooOLHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LorenzBRAO14, author = {Matthias Lorenz and Timon Br{\"{u}}ckner and Rudolf Ritter and Jens Anders and Maurits Ortmanns}, title = {A square root unscented Kalman filter for estimating {DAC} and loopfilter nonidealities in continuous-time sigma-delta modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1159--1162}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865346}, doi = {10.1109/ISCAS.2014.6865346}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LorenzBRAO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LouYM14, author = {Xin Lou and Ya Jun Yu and Pramod Kumar Meher}, title = {High-speed multiplier block design based on bit-level critical path optimization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1308--1311}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865383}, doi = {10.1109/ISCAS.2014.6865383}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LouYM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuA14, author = {Ping Lu and Pietro Andreani}, title = {A 1-1 {MASH} 2-D vernier time-to-digital converter with 2\({}^{\mbox{nd}}\)-order noise shaping}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1324--1327}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865387}, doi = {10.1109/ISCAS.2014.6865387}, timestamp = {Thu, 08 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LuA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuC14, author = {Lin{-}Yu Lu and Chia{-}Chi Chu}, title = {Robust consensus-based droop control for multiple power converters in isolated micro-grids}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1820--1823}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865511}, doi = {10.1109/ISCAS.2014.6865511}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuH14, author = {Wu{-}Sheng Lu and Takao Hinamoto}, title = {Design of projection matrix for compressive sensing by nonsmooth optimization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1279--1282}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865376}, doi = {10.1109/ISCAS.2014.6865376}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuLTL14, author = {Qingbo Lu and Zhenbo Lu and Xiaoqing Tao and Houqiang Li}, title = {A new non-local video denoising scheme using low-rank representation and total variation regularization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2724--2727}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865736}, doi = {10.1109/ISCAS.2014.6865736}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuLTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuTG14, author = {Yichao Lu and Guifen Tian and Satoshi Goto}, title = {An efficient decoder architecture for cyclic non-binary {LDPC} codes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {397--400}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865149}, doi = {10.1109/ISCAS.2014.6865149}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuTG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LvWLZJXG14, author = {Hao Lv and Ronggang Wang and Yuan Li and Chuang Zhu and Huizhu Jia and Xiaodong Xie and Wen Gao}, title = {A resolution-adaptive interpolation filter for video codec}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {542--545}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865192}, doi = {10.1109/ISCAS.2014.6865192}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LvWLZJXG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MacKayC14, author = {Scott MacKay and Jie Chen}, title = {Biosensor systems and applications in genomics, proteomics and metabolomics: {A} review}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {217--220}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865104}, doi = {10.1109/ISCAS.2014.6865104}, timestamp = {Thu, 07 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MacKayC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadanayakeUWAD14, author = {Arjuna Madanayake and Nilan Udayanga and Chamith Wijenayake and Mohammad Almalkawi and Vijay Kumar Devabhaktuni}, title = {Directional cyclostationary feature detectors using 2-D {IIR} {RF} spiral-antenna beam digital filters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2499--2502}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865680}, doi = {10.1109/ISCAS.2014.6865680}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MadanayakeUWAD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MadecPJGLH14, author = {Morgan Madec and Fran{\c{c}}ois P{\^{e}}cheux and Fabienne J{\'{e}}z{\'{e}}quel and Yves Gendrault and Christophe Lallement and Jacques Haiech}, title = {Opportunities and challenges for the virtual prototyping of synthetic biological functions}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2013--2016}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865559}, doi = {10.1109/ISCAS.2014.6865559}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MadecPJGLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaghamiSS14, author = {Mohammad Hossein Maghami and Amir M. Sodagar and Mohamad Sawan}, title = {Biphasic, energy-efficient, current-controlled stimulation back-end for retinal visual prosthesis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {241--244}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865110}, doi = {10.1109/ISCAS.2014.6865110}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MaghamiSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Magyari-KopeZNKYS14, author = {Blanka Magyari{-}K{\"{o}}pe and Liang Zhao and Yoshio Nishi and Katsumasa Kamiya and Moon Young Yang and Kenji Shiraishi}, title = {Ab initio modeling of resistive switching mechanism in binary metal oxides}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2021--2024}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865561}, doi = {10.1109/ISCAS.2014.6865561}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Magyari-KopeZNKYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalAAAGB14, author = {Kuntal Mandal and Abdullah Abusorrah and Mohammed M. Al{-}Hindawi and Yusuf Al{-}Turki and Damian Giaouris and Soumitro Banerjee}, title = {Dynamical behaviors of interconnected converters in intermediate bus architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1042--1045}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865317}, doi = {10.1109/ISCAS.2014.6865317}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MandalAAAGB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MandalSGCGKSP14, author = {Dipan Kumar Mandal and Jagadeesh Sankaran and Akshay Gupta and Kyle Castille and Shraddha Gondkar and Sanmati Kamath and Pooja Sundar and Alan Phipps}, title = {An Embedded Vision Engine {(EVE)} for automotive vision processing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {49--52}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865062}, doi = {10.1109/ISCAS.2014.6865062}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MandalSGCGKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MansourARD14, author = {Moussa Reda Mansour and Lu{\'{\i}}s F. C. Alberto and Rodrigo A. Ramos and Alexandre C. B. Delbem}, title = {Determine groups of preventive controls for a set of critical contingencies in voltage stability}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2652--2655}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865718}, doi = {10.1109/ISCAS.2014.6865718}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MansourARD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MargaritTCS14, author = {Josep Maria Margarit and Llu{\'{\i}}s Ter{\'{e}}s and Enric Cabruja and Francisco Serra{-}Graells}, title = {A 10kfps 32{\texttimes}32 integrated test platform for electrical characterization of imagers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {53--56}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865063}, doi = {10.1109/ISCAS.2014.6865063}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MargaritTCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Marinella14, author = {Matthew J. Marinella}, title = {Emerging resistive switching memory technologies: Overview and current status}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {830--833}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865264}, doi = {10.1109/ISCAS.2014.6865264}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Marinella14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarkovSHD14, author = {Maxim Markov and Mehdi Saghafi and Ian A. Hiskens and Harry Dankowicz}, title = {Continuation techniques for reachability analysis of uncertain power systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1816--1819}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865510}, doi = {10.1109/ISCAS.2014.6865510}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MarkovSHD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MarranghelloRR14, author = {Felipe S. Marranghello and Andr{\'{e}} In{\'{a}}cio Reis and Renato P. Ribas}, title = {{CMOS} inverter analytical delay model considering all operating regions}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1452--1455}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865419}, doi = {10.1109/ISCAS.2014.6865419}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MarranghelloRR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MartinO14, author = {Nick Martin and Stephen O'Driscoll}, title = {Match enhancement in {SAR} ADCs by algorithmic unit capacitor assignment}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {313--316}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865128}, doi = {10.1109/ISCAS.2014.6865128}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MartinO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Martinez-GarciaC14, author = {Herminio Mart{\'{\i}}nez{-}Garcia and Jordi Cosp{-}Vilella}, title = {Modified current-mode one-cycle control for linear-assisted {DC/DC} regulator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2688--2691}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865727}, doi = {10.1109/ISCAS.2014.6865727}, timestamp = {Wed, 22 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Martinez-GarciaC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MatosKRCS14, author = {Debora Matos and M{\'{a}}rcio Eduardo Kreutz and Cezar Reinbrecht and Luigi Carro and Altamiro Amadeu Susin}, title = {Adaptive multiple switching strategy toward an ideal NoC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1014--1017}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865310}, doi = {10.1109/ISCAS.2014.6865310}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MatosKRCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MauricioFPGGVMDL14, author = {Joan Mauricio and David Gascon Fora and Eduardo Picatoste and Eugeni Grauges and Llu{\'{\i}}s Garrido and Xavier Vilas{\'{\i}}s{-}Cardona and F. Machefert and O. Duarte and J. Lefrancois}, title = {Radiation tolerant SPI-programmable delay line for high energy physics experiments}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {770--773}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865249}, doi = {10.1109/ISCAS.2014.6865249}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MauricioFPGGVMDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeherMS14, author = {Pramod Kumar Meher and Basant K. Mohanty and Thambipillai Srikanthan}, title = {Area-delay efficient architecture for {MP} algorithm using reconfigurable inner-product circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2628--2631}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865712}, doi = {10.1109/ISCAS.2014.6865712}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MeherMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeherP14, author = {Pramod Kumar Meher and Sang Yoon Park}, title = {A novel DA-based architecture for efficient computation of inner-product of variable vectors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {369--372}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865142}, doi = {10.1109/ISCAS.2014.6865142}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeherP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeloQPG14, author = {Jo{\~{a}}o L. A. de Melo and F{\'{a}}bio Querido and Nuno Paulino and Jo{\~{a}}o Goes}, title = {A 0.4-V 410-nW opamp-less continuous-time {\(\Sigma\)}{\(\Delta\)} modulator for biomedical applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1340--1343}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865391}, doi = {10.1109/ISCAS.2014.6865391}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeloQPG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MendezS14, author = {Arnaldo Mendez and Mohamad Sawan}, title = {A custom signal processor based neuroprosthesis intended to recover urinary bladder functions}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1608--1611}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865458}, doi = {10.1109/ISCAS.2014.6865458}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MendezS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengLHTZR14, author = {Fanman Meng and Bing Luo and Chao Huang and Liangzhi Tang and Bing Zeng and Nini Rao}, title = {Favorite object extraction using web images}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {349--352}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865137}, doi = {10.1109/ISCAS.2014.6865137}, timestamp = {Wed, 18 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MengLHTZR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengLNZR14, author = {Fanman Meng and Hongliang Li and King Ngi Ngan and Bing Zeng and Nini Rao}, title = {Cosegmentation from similar backgrounds}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {353--356}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865138}, doi = {10.1109/ISCAS.2014.6865138}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MengLNZR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengLT14, author = {Xin Meng and Wei Li and Gabor C. Temes}, title = {A fully-differential input amplifier with band-pass filter for biosensors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {21--24}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865055}, doi = {10.1109/ISCAS.2014.6865055}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MengLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MengWT14, author = {Xin Meng and Tao Wang and Gabor C. Temes}, title = {A low-power parasitic-insensitive switched-capacitor integrator for Delta-Sigma ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {986--989}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865303}, doi = {10.1109/ISCAS.2014.6865303}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MengWT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Menzel14, author = {Stephan Menzel}, title = {Modeling and simulation of electrochemical metallization memory cells}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2025--2028}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865562}, doi = {10.1109/ISCAS.2014.6865562}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Menzel14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MercatNMZ14, author = {Alexandre Mercat and Jean{-}Fran{\c{c}}ois Nezan and Daniel M{\'{e}}nard and Jinglin Zhang}, title = {Implementation of a Stereo Matching algorithm onto a Manycore Embedded System}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1296--1299}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865380}, doi = {10.1109/ISCAS.2014.6865380}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MercatNMZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MeulemanHHR14, author = {Gijs Meuleman and Pieter Harpe and Xiongchuan Huang and Arthur H. M. van Roermund}, title = {A 19 {\(\mathrm{\mu}\)}W 20 MHz All-Digital {PLL} for 2-tone envelope detection radios}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {321--324}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865130}, doi = {10.1109/ISCAS.2014.6865130}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MeulemanHHR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiaoFLLC14, author = {Dan Miao and Jingjing Fu and Yan Lu and Shipeng Li and Chang Wen Chen}, title = {High frame rate screen video coding for screen sharing applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2157--2160}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865595}, doi = {10.1109/ISCAS.2014.6865595}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiaoFLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiguelLCRC14, author = {Jos{\'{e}} Mar{\'{\i}}a Algueta{-}Miguel and Antonio J. L{\'{o}}pez{-}Mart{\'{\i}}n and Carlos Aristoteles De la Cruz{-}Blas and Jaime Ram{\'{\i}}rez{-}Angulo and Ram{\'{o}}n Gonz{\'{a}}lez Carvajal}, title = {{CMOS} op-amps for biomedical applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1364--1367}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865397}, doi = {10.1109/ISCAS.2014.6865397}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiguelLCRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MiledS14, author = {Mohamed Amine Miled and Mohamad Sawan}, title = {Reconfigurable Lab-on-Chip platform for algae cell manipulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {646--649}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865218}, doi = {10.1109/ISCAS.2014.6865218}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MiledS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MinZGG14, author = {Xiongkuo Min and Guangtao Zhai and Zhongpai Gao and Ke Gu}, title = {Visual attention data for image quality assessment databases}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {894--897}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865280}, doi = {10.1109/ISCAS.2014.6865280}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MinZGG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MinamiK14, author = {Yutaro Minami and Hirotaka Koizumi}, title = {Class {DE} current driven low di/dt rectifier}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {105--108}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865076}, doi = {10.1109/ISCAS.2014.6865076}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MinamiK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MirbozorgiBRG14, author = {Seyed Abdollah Mirbozorgi and Hadi Bahrami and Leslie Ann Rusch and Benoit Gosselin}, title = {A low-power 2.4-GHz receiver for wireless implantable neural stimulators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1082--1085}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865327}, doi = {10.1109/ISCAS.2014.6865327}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MirbozorgiBRG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MishimaSHKTRKKMMYT14, author = {Tsubasa Mishima and Satoshi Shigemi and Anh{-}Tuan Hoang and Tetsushi Koide and Toru Tamaki and Bisser Raytchev and Kazufumi Kaneda and Yoko Kominami and Rie Miyaki and Taiji Matsuo and Shigeto Yoshida and Shinji Tanaka}, title = {{FPGA} implementation of feature extraction for colorectal endoscopic images with {NBI} magnification}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2515--2518}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865684}, doi = {10.1109/ISCAS.2014.6865684}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MishimaSHKTRKKMMYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ModyGNM14, author = {Mihir N. Mody and Hrushikesh Garud and Soyeb Nagori and Dipan Kumar Mandal}, title = {High throughput {VLSI} architecture for {HEVC} {SAO} encoding for ultra {HDTV}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2620--2623}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865710}, doi = {10.1109/ISCAS.2014.6865710}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ModyGNM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohamedM14, author = {Sherif Ahmed Saleh Mohamed and Yiannos Manoli}, title = {Design and implementation of an {RF} {CMOS} differential {LNA} for 403MHz applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {690--693}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865229}, doi = {10.1109/ISCAS.2014.6865229}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohamedM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohamedM14a, author = {Sherif Ahmed Saleh Mohamed and Yiannos Manoli}, title = {A novel fully integrated low-power {CMOS} {BPSK} demodulator for medical implantable receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1098--1101}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865331}, doi = {10.1109/ISCAS.2014.6865331}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohamedM14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MohammadiR14, author = {Babak Mohammadi and Joachim Neves Rodrigues}, title = {A 65 nm single stage 28 fJ/cycle 0.12 to 1.2V level-shifter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {990--993}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865304}, doi = {10.1109/ISCAS.2014.6865304}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MohammadiR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MonteiroTS14, author = {C{\^{a}}ncio Monteiro and Yasuhiro Takahashi and Toshikazu Sekine}, title = {An {LSI} implementation of a bit-parallel cellular multiplier over GF(2\({}^{\mbox{4}}\)) using secure charge-sharing symmetric adiabatic logic}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {826--829}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865263}, doi = {10.1109/ISCAS.2014.6865263}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MonteiroTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MooreOODS14, author = {Ciara Moore and M{\'{a}}ire O'Neill and Elizabeth O'Sullivan and Yarkin Dor{\"{o}}z and Berk Sunar}, title = {Practical homomorphic encryption: {A} survey}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2792--2795}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865753}, doi = {10.1109/ISCAS.2014.6865753}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MooreOODS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorenoWMMC14, author = {Edson I. Moreno and Thais Webber and C{\'{e}}sar A. M. Marcon and Fernando Moraes and Ney Calazans}, title = {A monitored NoC with runtime path adaptation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1965--1968}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865547}, doi = {10.1109/ISCAS.2014.6865547}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MorenoWMMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MorganHPG14, author = {Katrina A. Morgan and Ruomeng Huang and Stuart Pearce and C. H. De Groot}, title = {The effect of atomic layer deposition temperature on switching properties of HfOx resistive {RAM} devices}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {432--435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865158}, doi = {10.1109/ISCAS.2014.6865158}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MorganHPG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MostafaCSI14, author = {Hesham Mostafa and Federico Corradi and Fabio Stefanini and Giacomo Indiveri}, title = {A hybrid analog/digital Spike-Timing Dependent Plasticity learning circuit for neuromorphic {VLSI} multi-neuron architectures}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {854--857}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865270}, doi = {10.1109/ISCAS.2014.6865270}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/MostafaCSI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MroszczykD14, author = {Przemyslaw Mroszczyk and Piotr Dudek}, title = {The accuracy and scalability of continuous-time Bayesian inference in analogue {CMOS} circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1576--1579}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865450}, doi = {10.1109/ISCAS.2014.6865450}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MroszczykD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MullerMK14, author = {Natalie Muller and Yiannos Manoli and Matthias Kuhl}, title = {A 1.6 nS, 16{\(\mathrm{\mu}\)}W, 30V Gm-C integrator for offset voltage monitoring in neural stimulators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2381--2384}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865651}, doi = {10.1109/ISCAS.2014.6865651}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MullerMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuthalibN14, author = {Mohammed Muthalib and Chika Nwankpa}, title = {Dynamic building model for demand response}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1171--1174}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865349}, doi = {10.1109/ISCAS.2014.6865349}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuthalibN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MuthuswamyJISGSSKSC14, author = {Bharathwaj Muthuswamy and Jovan Jevtic and Herbert H. C. Iu and Chittur Krishnaswamy Subramaniam and Ganesan Kaliyaperumal and V. Sankaranarayanan and K. Sethupathi and Hyongsuk Kim and Maheshwar Pd. Sah and Leon O. Chua}, title = {Memristor modelling}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {490--493}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865179}, doi = {10.1109/ISCAS.2014.6865179}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MuthuswamyJISGSSKSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaRKJKK14, author = {Taehui Na and Kyungho Ryu and Jisu Kim and Seong{-}Ook Jung and Jung Pill Kim and Seung{-}Hyuk Kang}, title = {High-performance low-power magnetic tunnel junction based non-volatile flip-flop}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1953--1956}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865544}, doi = {10.1109/ISCAS.2014.6865544}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NaRKJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NabovatiGMAAS14, author = {Ghazal Nabovati and Ebrahim Ghafar{-}Zadeh and Maryam Mirzaei and Giancarlo Ayala{-}Charca and Falah R. Awwad and Mohamad Sawan}, title = {Fully integrated {CMOS} capacitive sensor for Lab-on-Chip applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {233--236}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865108}, doi = {10.1109/ISCAS.2014.6865108}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NabovatiGMAAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NagashimaIWBAKS14, author = {Tomoharu Nagashima and Kazuhide Inoue and Xiuqin Wei and Elisenda Bou and Eduard Alarc{\'{o}}n and Marian K. Kazimierczuk and Hiroo Sekiya}, title = {Analytical design procedure for resonant inductively coupled wireless power transfer system with class-E\({}^{\mbox{2}}\) {DC-DC} converter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {113--116}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865078}, doi = {10.1109/ISCAS.2014.6865078}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NagashimaIWBAKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NairBHKDC14, author = {Jinesh P. Nair and Kiran Bynam and Young{-}Jun Hong and Joonseong Kang and Pradeep Dwarakanath and Manoj Choudhary}, title = {Timing synchronization in super-regenerative receivers with a single quench cycle per symbol}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {738--741}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865241}, doi = {10.1109/ISCAS.2014.6865241}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NairBHKDC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakagawaIYYKKY14, author = {Tomoki Nakagawa and Shintaro Izumi and Shusuke Yoshimoto and Koji Yanagida and Yuki Kitahara and Hiroshi Kawaguchi and Masahiko Yoshimoto}, title = {A 6T-4C shadow memory using plate line and word line boosting}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2736--2739}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865739}, doi = {10.1109/ISCAS.2014.6865739}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/NakagawaIYYKKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakaiSSKF14, author = {Tsunato Nakai and Megumi Shibatani and Mitsuru Shiozaki and Takaya Kubota and Takeshi Fujino}, title = {Side-channel attack resistant {AES} cryptographic circuits with {ROM} reducing address-dependent {EM} leaks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2547--2550}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865692}, doi = {10.1109/ISCAS.2014.6865692}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakaiSSKF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakamuraO14, author = {Yohei Nakamura and Takashi Oshima}, title = {A 1-GS/s 11.5-ENOB time-interleaved {ADC} with fully digital background calibration}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1332--1335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865389}, doi = {10.1109/ISCAS.2014.6865389}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakamuraO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NakanoKTKN14, author = {Shinsuke Nakano and Hiroaki Katsurai and Minoru Togashi and Hiroshi Koizumi and Masafumi Nogawa}, title = {20.1-mW 8-Gbps {UWB-IR} millimeter-wave transmitter using an {OOK} pulse modulator based on {CMOS} inverters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2696--2699}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865729}, doi = {10.1109/ISCAS.2014.6865729}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NakanoKTKN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NapoliDCGS14, author = {Ettore Napoli and Mauro D'Arco and Pasquale Di Cosmo and Mariangela Genovese and Antonio G. M. Strollo}, title = {{FPGA} based system for the generation of noise with programmable power spectrum}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1300--1303}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865381}, doi = {10.1109/ISCAS.2014.6865381}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NapoliDCGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NaviaskyDA14, author = {Emily Naviasky and Timir Datta{-}Chaudhuri and Pamela Abshire}, title = {High resolution capacitance sensor array for real-time monitoring of cell viability}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {634--637}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865215}, doi = {10.1109/ISCAS.2014.6865215}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NaviaskyDA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NebashiSHMTITMFKHEKOS14, author = {Ryusuke Nebashi and Noboru Sakimura and Hiroaki Honjo and Ayuka Morioka and Yukihide Tsuji and Kunihiko Ishihara and Keiichi Tokutome and Sadahiko Miura and Shunsuke Fukami and Keizo Kinoshita and Takahiro Hanyu and Tetsuo Endoh and Naoki Kasai and Hideo Ohno and Tadahiko Sugibayashi}, title = {A delay circuit with 4-terminal magnetic-random-access-memory device for power-efficient time- domain signal processing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1588--1591}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865453}, doi = {10.1109/ISCAS.2014.6865453}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NebashiSHMTITMFKHEKOS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeggaziHA14, author = {Mehdi Neggazi and Latifa Hamami and Abbes Amira}, title = {Efficient compressive sensing on the shimmer platform for fall detection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2401--2404}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865656}, doi = {10.1109/ISCAS.2014.6865656}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeggaziHA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NematiRF14, author = {Nastaran Nemati and Mark C. Reed and Michael R. Frater}, title = {Asynchronous test hardware for Null Convention Logic}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1744--1747}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865492}, doi = {10.1109/ISCAS.2014.6865492}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NematiRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NesrinePE14, author = {Nesrine Rebzani and Philippe Marty and Edith Clavel}, title = {Influence of the conductor design on the temperature field in a high voltage gas insulated switchgear}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1175--1178}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865350}, doi = {10.1109/ISCAS.2014.6865350}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NesrinePE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NeutzlingMRR14, author = {Augusto Neutzling and Mayler G. A. Martins and Renato P. Ribas and Andr{\'{e}} In{\'{a}}cio Reis}, title = {A constructive approach for threshold logic circuit synthesis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {385--388}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865146}, doi = {10.1109/ISCAS.2014.6865146}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NeutzlingMRR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenH14, author = {Thanh Trung Nguyen and Philipp H{\"{a}}fliger}, title = {A 9.4-bit, 28.8-mV range inverter based readout circuit for implantable pressure bridge piezo-resistive sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2377--2380}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865650}, doi = {10.1109/ISCAS.2014.6865650}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NguyenH14a, author = {Cuong Hung Nguyen and Einar Halvorsen}, title = {Harmonic-balance analysis of nonlinear energy harvester models}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2608--2611}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865707}, doi = {10.1109/ISCAS.2014.6865707}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NguyenH14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NielenTLKSVW14, author = {Lutz Nielen and Stefan Tappertzhofen and Eike Linn and Omid Kavehei and Stan Skafidas and Ilia Valov and Rainer Waser}, title = {Live demonstration: An associative capacitive network based on nanoscale complementary resistive switches}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {439}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865162}, doi = {10.1109/ISCAS.2014.6865162}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NielenTLKSVW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NishimotoUHNF14, author = {Takuya Nishimoto and Yoko Uwate and Yasuteru Hosokawa and Yoshifumi Nishio and Daniele Fournier{-}Prunaret}, title = {N-phase synchronization of asymmetric attractors in a ring of coupled chaotic circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1780--1783}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865501}, doi = {10.1109/ISCAS.2014.6865501}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NishimotoUHNF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NishimuraMH14, author = {Shotaro Nishimura and Aloys Mvuma and Takao Hinamoto}, title = {Frequency estimation of three-phase power systems using complex adaptive notch filters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2297--2300}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865630}, doi = {10.1109/ISCAS.2014.6865630}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NishimuraMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NoackKMPS14, author = {Marko Noack and Markus Krause and Christian Mayr and Johannes Partzsch and Ren{\'{e}} Sch{\"{u}}ffny}, title = {{VLSI} implementation of a conductance-based multi-synapse using switched-capacitor circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {850--853}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865269}, doi = {10.1109/ISCAS.2014.6865269}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NoackKMPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NowackiPG14, author = {Blazej Nowacki and Nuno Paulino and Jo{\~{a}}o Goes}, title = {A low power 4\({}^{\mbox{th}}\) order {MASH} switched-capacitor {\(\Sigma\)}{\(\Delta\)} modulator using ultra incomplete settling}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1344--1347}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865392}, doi = {10.1109/ISCAS.2014.6865392}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NowackiPG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NwankpaJJ14, author = {Chika O. Nwankpa and Juan C. Jim{\'{e}}nez and Sachi Jayasuriya}, title = {Nonlinear analysis of multi-converter power systems for microgrids}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1804--1807}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865507}, doi = {10.1109/ISCAS.2014.6865507}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NwankpaJJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ORiordanBFG14, author = {Eoghan O'Riordan and Elena Blokhina and Orla Feely and Dimitri Galayko}, title = {Modelling and analysis of vibration energy harvesters with charge pump conditioning circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1247--1250}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865368}, doi = {10.1109/ISCAS.2014.6865368}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ORiordanBFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ObiO14, author = {Yuji Obi and Takahide Oya}, title = {Novel single-electron information-processing circuits mimicking behavior of ant groups}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1424--1427}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865412}, doi = {10.1109/ISCAS.2014.6865412}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ObiO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OliveiraPP14, author = {Lu{\'{\i}}s Bica Oliveira and Nuno Paulino and Nuno Pereira}, title = {The design of a light barrier system as an undergraduate laboratory project}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2425--2428}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865662}, doi = {10.1109/ISCAS.2014.6865662}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OliveiraPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrchardMLBP14, author = {Garrick Orchard and Daniel Matolin and Xavier Lagorce and Ryad Benosman and Christoph Posch}, title = {Accelerated frame-free time-encoded multi-step imaging}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2644--2647}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865716}, doi = {10.1109/ISCAS.2014.6865716}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OrchardMLBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrtigueiraOFS14, author = {Eduardo Ortigueira and Lu{\'{\i}}s Bica Oliveira and Jorge R. Fernandes and Manuel Medeiros Silva}, title = {Experimental evaluation of wide range injection locking in a {CMOS} {RC} oscillator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {698--701}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865231}, doi = {10.1109/ISCAS.2014.6865231}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OrtigueiraOFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/OrtigueiraROFS14, author = {Eduardo Ortigueira and Taimur Gibran Rabuske and Lu{\'{\i}}s Bica Oliveira and Jorge R. Fernandes and Manuel Medeiros Silva}, title = {Quadrature relaxation oscillator with FoM of -165 dBc/Hz}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1372--1375}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865399}, doi = {10.1109/ISCAS.2014.6865399}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/OrtigueiraROFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PA14, author = {Edwin Choque P. and Lu{\'{\i}}s Fernando Costa Alberto}, title = {A two-time scale framework for stability analysis of electrical power system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2660--2663}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865720}, doi = {10.1109/ISCAS.2014.6865720}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Paasio14, author = {Ari Paasio}, title = {CheckerBoard binary {CNN} core}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1584--1587}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865452}, doi = {10.1109/ISCAS.2014.6865452}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Paasio14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PaiHKCHL14, author = {Fu{-}Sheng Pai and Shyh{-}Jier Huang and Chen{-}Wei Ku and Ying{-}Rong Chen and Bo{-}Ge Huang and Yu{-}Chie Lin}, title = {Voltage equalization of lithium iron phosphate batteries cooperating with supercapacitors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {618--621}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865211}, doi = {10.1109/ISCAS.2014.6865211}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PaiHKCHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanL14, author = {Yujian Pan and Xiang Li}, title = {Towards a graphic tool of structural controllability of temporal networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1784--1787}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865502}, doi = {10.1109/ISCAS.2014.6865502}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PanL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PanellaP14, author = {Massimo Panella and Andrea Proietti}, title = {A data driven circuit model for rechargeable batteries}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {626--629}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865213}, doi = {10.1109/ISCAS.2014.6865213}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PanellaP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParchamiZC14, author = {Mahdi Parchami and Wei{-}Ping Zhu and Beno{\^{\i}}t Champagne}, title = {Microphone array based speech spectral amplitude estimators with phase estimation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {133--136}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865083}, doi = {10.1109/ISCAS.2014.6865083}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParchamiZC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParhiL14, author = {Keshab K. Parhi and Yin Liu}, title = {Architectures for {IIR} digital filters using stochastic computing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {373--376}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865143}, doi = {10.1109/ISCAS.2014.6865143}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParhiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParinyaSKCNL14, author = {Panom Parinya and Anawach Sangswang and Krissanapong Kirtikara and Dhirayut Chenvidhya and Sumate Naetiladdanon and Chamnan Limsakul}, title = {A study of impact of wind power to power system stability using stochastic stability index}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2656--2659}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865719}, doi = {10.1109/ISCAS.2014.6865719}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ParinyaSKCNL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PatelIF14, author = {Ravi Patel and Engin Ipek and Eby G. Friedman}, title = {Field driven {STT-MRAM} cell for reduced switching latency and energy}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2173--2176}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865599}, doi = {10.1109/ISCAS.2014.6865599}, timestamp = {Mon, 07 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PatelIF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Pavan14, author = {Shanthi Pavan}, title = {Efficient estimation of noise and signal transfer functions of a continuous-time {\(\Sigma\)}{\(\Delta\)} modulator}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {726--729}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865238}, doi = {10.1109/ISCAS.2014.6865238}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Pavan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiL14, author = {Soo{-}Chang Pei and Yun{-}Chiu Lai}, title = {Closed form variable fractional delay using {FFT} with transition band trade-off}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {978--981}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865301}, doi = {10.1109/ISCAS.2014.6865301}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PeiS14, author = {Soo{-}Chang Pei and Chih{-}Tsung Shen}, title = {High-dynamic-range parallel multi-scale retinex enhancement with spatially-adaptive prior}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2720--2723}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865735}, doi = {10.1109/ISCAS.2014.6865735}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PeiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengC14, author = {Chun{-}Hsiang Peng and Ching{-}Hwa Cheng}, title = {A panoramic endoscope design and implementation for Minimally Invasive Surgery}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {453--456}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865168}, doi = {10.1109/ISCAS.2014.6865168}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengH14, author = {Yanjie Peng and Xinming Huang}, title = {Performance comparison of hybrid partial response detectors over frequency-selective fading channels}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1716--1719}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865485}, doi = {10.1109/ISCAS.2014.6865485}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PengH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PengKH14, author = {Yanjie Peng and Andrew G. Klein and Xinming Huang}, title = {Hybrid {DFSF-BP} equalization for {ATSC} {DTV} receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1712--1715}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865484}, doi = {10.1109/ISCAS.2014.6865484}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PengKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PereiraGOD14, author = {Nuno Pereira and Jo{\~{a}}o Goes and Lu{\'{\i}}s Bica Oliveira and Rui Dinis}, title = {Analog-to-Digital Converters with embedded {IF} mixing using variable reference voltages}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {89--92}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865072}, doi = {10.1109/ISCAS.2014.6865072}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PereiraGOD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Perez-PenaESGFJL14, author = {Fernando Perez{-}Pe{\~{n}}a and Arturo Morgado Estevez and Teresa Serrano{-}Gotarredona and Francisco Gomez{-}Rodriguez and V. Ferrer{-}Garcia and Angel Jim{\'{e}}nez{-}Fernandez and Alejandro Linares{-}Barranco}, title = {Spike-based {VITE} control with dynamic vision sensor applied to an arm robot}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {463--466}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865171}, doi = {10.1109/ISCAS.2014.6865171}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Perez-PenaESGFJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PettenghiACS14, author = {H{\'{e}}ctor Pettenghi and Jude Angelo Ambrose and Ricardo Chaves and Leonel Sousa}, title = {Method for designing multi-channel {RNS} architectures to prevent power analysis {SCA}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2233--2236}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865614}, doi = {10.1109/ISCAS.2014.6865614}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PettenghiACS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PiLJLX14, author = {Shuang Pi and Peng Lin and Hao Jiang and Can Li and Qiangfei Xia}, title = {Device engineering and {CMOS} integration of nanoscale memristors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {425--427}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865156}, doi = {10.1109/ISCAS.2014.6865156}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PiLJLX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PingaliDSVRPPMV14, author = {Theja Ram Pingali and Sarthak Dubey and Anurag Shivaprasad and Arpit Varshney and Satish Ravishankar and Govinda Ram Pingali and Niyanth Krishna Polisetty and Nikhil Manjunath and Padmaja K. V.}, title = {Eye-gesture controlled intelligent wheelchair using Electro-Oculography}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2065--2068}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865572}, doi = {10.1109/ISCAS.2014.6865572}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PingaliDSVRPPMV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PirbadianKEK14, author = {Aras Pirbadian and Muhammad S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {State dependent statistical timing model for voltage scaled circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1432--1435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865414}, doi = {10.1109/ISCAS.2014.6865414}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PirbadianKEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PolHRO14, author = {Ketan J. Pol and Hans Hegt and Arthur H. M. van Roermund and Sotir Ouzounov}, title = {Limit cycle counting based smart background calibration of continuous time sigma delta ADCs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {722--725}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865237}, doi = {10.1109/ISCAS.2014.6865237}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PolHRO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoppTZM14, author = {Michael Popp and Tina Thiessen and Christoph Zorn and Wolfgang Mathis}, title = {On the functionality of {RTD} {MOBILE} circuits - {A} geometric approach}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1251--1254}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865369}, doi = {10.1109/ISCAS.2014.6865369}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoppTZM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PoppelmannG14, author = {Thomas P{\"{o}}ppelmann and Tim G{\"{u}}neysu}, title = {Area optimization of lightweight lattice-based encryption on reconfigurable hardware}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2796--2799}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865754}, doi = {10.1109/ISCAS.2014.6865754}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PoppelmannG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PosadaPVA14, author = {Jhonatan Posada and Mauricio Pardo and Juan Carlos Velez and Manuel Alvarado}, title = {Radar-based georeferencing system for estimation of changing river-shores}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2495--2498}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865679}, doi = {10.1109/ISCAS.2014.6865679}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PosadaPVA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PourL14, author = {Golsa Moayeri Pour and Walter D. Leon{-}Salas}, title = {Solar energy harvesting with light emitting diodes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1981--1984}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865551}, doi = {10.1109/ISCAS.2014.6865551}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PourL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PourosVPH14, author = {Sotirios P. Pouros and Vassilios D. Vassios and Dimitrios K. Papakostas and Alkis A. Hatzopoulos}, title = {Input stimulus comparison using an adaptive FPGA-based testing system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {277--280}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865119}, doi = {10.1109/ISCAS.2014.6865119}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PourosVPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PovoaLLCMH14, author = {Ricardo Povoa and Ricardo Lourenco and Nuno Louren{\c{c}}o and Ant{\'{o}}nio Canelas and Ricardo Martins and Nuno Horta}, title = {{LC-VCO} automatic synthesis using multi-objective evolutionary techniques}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {293--296}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865123}, doi = {10.1109/ISCAS.2014.6865123}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/PovoaLLCMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PrabhuERLR14, author = {Hemanth Prabhu and Ove Edfors and Joachim Neves Rodrigues and Liang Liu and Fredrik Rusek}, title = {Hardware efficient approximative matrix inversion for linear pre-coding in massive {MIMO}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1700--1703}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865481}, doi = {10.1109/ISCAS.2014.6865481}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PrabhuERLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PuppoDVMC14, author = {Francesca Puppo and Marie{-}Agnes Doucey and Massimiliano Di Ventra and Giovanni De Micheli and Sandro Carrara}, title = {Memristor-based devices for sensing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2257--2260}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865620}, doi = {10.1109/ISCAS.2014.6865620}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PuppoDVMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QuadirTO14, author = {Nasir Abdul Quadir and Paul D. Townsend and Peter Ossieur}, title = {An inductorless linear optical receiver for 20Gbaud/s (40Gb/s) {PAM-4} modulation using 28nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2473--2476}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865674}, doi = {10.1109/ISCAS.2014.6865674}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/QuadirTO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/QueirozS14, author = {Ant{\^{o}}nio Carlos M. de Queiroz and Mayli Silva de Souza}, title = {Batteryless electrostatic energy harvester and control system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1977--1980}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865550}, doi = {10.1109/ISCAS.2014.6865550}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/QueirozS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RabuskeRF14, author = {Fabio Alex Rabuske and Taimur Gibran Rabuske and Jorge R. Fernandes}, title = {A sub-ranging 2-Step 7-bit self-calibrated comparator-based binary-search {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {97--100}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865074}, doi = {10.1109/ISCAS.2014.6865074}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RabuskeRF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahmanCS14, author = {Manzur Rahman and Long Chen and Nan Sun}, title = {Algorithm and implementation of digital calibration of fast converging Radix-3 {SAR} {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1336--1339}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865390}, doi = {10.1109/ISCAS.2014.6865390}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RahmanCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RahmanSGO14, author = {Mahmudur Rahman and Md A. Sattar and Norman G. Gunther and Tokunbo Ogunfunmi}, title = {A novel pedagogical method for Integrated Circuit and systems education using the Variational Thermodynamic principle}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2417--2420}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865660}, doi = {10.1109/ISCAS.2014.6865660}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RahmanSGO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamachandranWAC14, author = {Harshawardhan Ramachandran and Stefan Weber and Syed Ahmed Aamir and Elisabetta Chicca}, title = {Neuromorphic circuits for Short-Term Plasticity with recovery control}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {858--861}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865271}, doi = {10.1109/ISCAS.2014.6865271}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RamachandranWAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RamanathanRSG14, author = {T. Ramanathan and R. Ramesh and C. K. Subramaniam and K. Ganesan}, title = {Pseudorandom carrier based subharmonic {PWM} for cascaded multilevel inverters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {117--120}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865079}, doi = {10.1109/ISCAS.2014.6865079}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RamanathanRSG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RathmairSK14, author = {Michael Rathmair and Florian Schupfer and Christian Krieg}, title = {Applied formal methods for hardware Trojan detection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {169--172}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865092}, doi = {10.1109/ISCAS.2014.6865092}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RathmairSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RayH14, author = {Sagar Ray and Mona Mostafa Hella}, title = {A 2.2GHz-80dB{\(\Omega\)} {CMOS} receiver front-end for short-range optical communication employing {DMT/OFDM}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2700--2703}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865730}, doi = {10.1109/ISCAS.2014.6865730}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RayH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReddySA14, author = {Basireddy Karunakar Reddy and Srinivas Sabbavarapu and Amit Acharyya}, title = {A new {VLSI} {IC} design automation methodology with reduced {NRE} costs and time-to-market using the {NPN} class Representation and functional symmetry}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {177--180}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865094}, doi = {10.1109/ISCAS.2014.6865094}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ReddySA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ReverterPLGNC14, author = {Ferran Reverter and Themistoklis Prodromakis and Yan Liu and Pantelis Georgiou and Konstantin Nikolic and Timothy G. Constandinou}, title = {Design considerations for a {CMOS} Lab-on-Chip microheater array to facilitate the in vitro thermal stimulation of neurons}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {630--633}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865214}, doi = {10.1109/ISCAS.2014.6865214}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ReverterPLGNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Roberts14, author = {Gordon W. Roberts}, title = {Reducing the analog-digital productivity gap using time-mode signal processing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {782--785}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865252}, doi = {10.1109/ISCAS.2014.6865252}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Roberts14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RooseSVSGRD14, author = {Florian De Roose and Valentijn De Smedt and Wouter Volkaerts and Michiel Steyaert and Georges G. E. Gielen and Patrick Reynaert and Wim Dehaene}, title = {Design of a frequency reference based on a PVT-independent transmission line delay}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1772--1775}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865499}, doi = {10.1109/ISCAS.2014.6865499}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RooseSVSGRD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Rosa14, author = {Jos{\'{e}} M. de la Rosa}, title = {Live demonstration: Using {SIMULINK} S-functions for the efficient modeling and simulation of analog integrated circuits and systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {437}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865160}, doi = {10.1109/ISCAS.2014.6865160}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Rosa14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RovereNBI14, author = {Giovanni Rovere and Qiao Ning and Chiara Bartolozzi and Giacomo Indiveri}, title = {Ultra low leakage synaptic scaling circuits for implementing homeostatic plasticity in neuromorphic architectures}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2073--2076}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865574}, doi = {10.1109/ISCAS.2014.6865574}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/RovereNBI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RoyBPRD14, author = {Pranab Roy and Aatreyi Bal and Mahua Raha Patra and Hafizur Rahaman and Parthasarathi Dasgupta}, title = {Automated two stage detection and analyzer system in multipartitioned Digital Microfluidic Biochips}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1836--1840}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865515}, doi = {10.1109/ISCAS.2014.6865515}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RoyBPRD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/RuaroCM14, author = {Marcelo Ruaro and Everton Alceu Carara and Fernando Gehm Moraes}, title = {Tool-set for NoC-based MPSoC debugging - {A} protocol view perspective}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2531--2534}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865688}, doi = {10.1109/ISCAS.2014.6865688}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/RuaroCM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadeghianSW14, author = {Masoud Sadeghian and James E. Stine and E. George Walters III}, title = {Optimized cubic chebyshev interpolator for elementary function hardware implementations}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1536--1539}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865440}, doi = {10.1109/ISCAS.2014.6865440}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadeghianSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadeghifarWG14, author = {Mohammad Reza Sadeghifar and J. Jacob Wikner and Oscar Gustafsson}, title = {Linear programming design of semi-digital {FIR} filter and {\(\Sigma\)}{\(\Delta\)} modulator for {VDSL2} transmitter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2465--2468}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865672}, doi = {10.1109/ISCAS.2014.6865672}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadeghifarWG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SadreazamiAS14, author = {Hamidreza Sadreazami and M. Omair Ahmad and M. N. S. Swamy}, title = {Contourlet domain image modeling by using the alpha-stable family of distributions}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1288--1291}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865378}, doi = {10.1109/ISCAS.2014.6865378}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SadreazamiAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SafwatJK14, author = {Sally Safwat and Rinkle Jain and Dawson Kesling}, title = {A staircase conductance modulation scheme for input-current-shaping in switched-capacitor {DC-DC} converters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1664--1667}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865472}, doi = {10.1109/ISCAS.2014.6865472}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SafwatJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SahBYK14, author = {Maheshwar Prasad Sah and Ram Kaji Budhathoki and Changju Yang and Hyongsuk Kim}, title = {A mutator-based meminductor emulator circuit}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2249--2252}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865618}, doi = {10.1109/ISCAS.2014.6865618}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SahBYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SahooR14, author = {Manodipan Sahoo and Hafizur Rahaman}, title = {An {ABCD} parameter based modeling and analysis of crosstalk induced effects in Multilayer Graphene Nano Ribbon interconnects}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1138--1142}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865341}, doi = {10.1109/ISCAS.2014.6865341}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SahooR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SakareG14, author = {Mahendra Sakare and Shalabh Gupta}, title = {A high-speed {PRBS} generator using flip-flops employing feedback for distributed equalization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {746--749}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865243}, doi = {10.1109/ISCAS.2014.6865243}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SakareG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalahI14, author = {Khaled Salah and Yehea I. Ismail}, title = {A novel dimensional analysis method for {TSV} modeling and analysis in three dimensional integrated circuits}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2764--2767}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865746}, doi = {10.1109/ISCAS.2014.6865746}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SalahI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalgadoJMR14, author = {Gerardo Molina Salgado and Gordana Jovanovic{-}Dolecek and Alonso Morgado and Jos{\'{e}} M. de la Rosa}, title = {Design considerations of bandpass {CT} {\(\Sigma\)}{\(\Delta\)} modulators for software-defined-radio receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {718--721}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865236}, doi = {10.1109/ISCAS.2014.6865236}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SalgadoJMR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SalgadoJR14, author = {Gerardo Molina Salgado and Gordana Jovanovic{-}Dolecek and Jos{\'{e}} M. de la Rosa}, title = {An overview of decimator structures for efficient sigma-delta converters: Trends, design issues and practical solutions}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1592--1595}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865454}, doi = {10.1109/ISCAS.2014.6865454}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SalgadoJR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanaullahC14, author = {Muhammad Sanaullah and Masud H. Chowdhury}, title = {Analysis of {RLC} interconnect delay model using second order approximation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2756--2759}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865744}, doi = {10.1109/ISCAS.2014.6865744}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SanaullahC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanghviMNMDMS14, author = {Hetul Sanghvi and Mihir N. Mody and Niraj Nandan and Mahesh Mehendale and Subrangshu Das and Dipan Kumar Mandal and Pavan Shastry}, title = {A 28nm programmable and low power ultra-HD video codec engine}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {558--561}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865196}, doi = {10.1109/ISCAS.2014.6865196}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanghviMNMDMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SantarcangeloZ14, author = {Joseph Santarcangelo and Xiao{-}Ping (Steven) Zhang}, title = {Automatic age recommendation system for children's video content}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {750--753}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865244}, doi = {10.1109/ISCAS.2014.6865244}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SantarcangeloZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanyalS14, author = {Arindam Sanyal and Nan Sun}, title = {A low frequency-dependence, energy-efficient switching technique for bottom-plate sampled {SAR} {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {297--300}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865124}, doi = {10.1109/ISCAS.2014.6865124}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanyalS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SanyalS14a, author = {Arindam Sanyal and Nan Sun}, title = {An enhanced {ISI} shaping technique for multi-bit {\(\Delta\)}{\(\Sigma\)} DACs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2341--2344}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865641}, doi = {10.1109/ISCAS.2014.6865641}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SanyalS14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarkerB014, author = {Ankur Sarker and Hafiz Md. Hasan Babu and Md. Saiful Islam}, title = {A novel approach to perform reversible addition/subtraction operations using deoxyribonucleic acid}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1828--1831}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865513}, doi = {10.1109/ISCAS.2014.6865513}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarkerB014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SarwarS14, author = {Mehedi Sarwar and James E. Stine}, title = {Enhancing the Unified Logical Effort algorithm for branching and load distribution}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {173--176}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865093}, doi = {10.1109/ISCAS.2014.6865093}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SarwarS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SasaokaOI14, author = {Naoto Sasaoka and Kazumasa Ono and Yoshio Itoh}, title = {Speech enhancement using normalized cumulant-based adaptive algorithm for transient noise}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2313--2316}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865634}, doi = {10.1109/ISCAS.2014.6865634}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SasaokaOI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SatoAT14, author = {Masatoshi Sato and Hisashi Aomori and Mamoru Tanaka}, title = {Node voltages in nonlinear resistive circuits enable new approach to the minimum cut problem}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2788--2791}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865752}, doi = {10.1109/ISCAS.2014.6865752}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SatoAT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SavkayCYYT14, author = {O. Levent Savkay and Evren Cesur and Nerhun Yildiz and M{\"{u}}stak E. Yal{\c{c}}in and Vedat Tavsanoglu}, title = {Realization of processing blocks of {CNN} based {CASA} system on {CPU} and {FPGA}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2081--2084}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865576}, doi = {10.1109/ISCAS.2014.6865576}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SavkayCYYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchinianakisS14, author = {Dimitrios Schinianakis and Thanos Stouraitis}, title = {An {RNS} barrett modular multiplication architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2229--2232}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865613}, doi = {10.1109/ISCAS.2014.6865613}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchinianakisS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SchmulandJ14, author = {Todd E. Schmuland and Mohsin M. Jamali}, title = {Generation of fixed-point {VHDL} {MIMO-OFDM} {QR} pre-processor for Spherical Detectors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1227--1230}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865363}, doi = {10.1109/ISCAS.2014.6865363}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SchmulandJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SerbBKLVPP14, author = {Alexantrou Serb and Radu Berdan and Ali Khiat and S. L. W. Li and Eleni Vasilaki and Christos Papavassiliou and Themistoklis Prodromakis}, title = {Memristors as synapse emulators in the context of event-based computation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2085--2088}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865577}, doi = {10.1109/ISCAS.2014.6865577}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SerbBKLVPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SerbBKPP14, author = {Alexantrou Serb and Radu Berdan and Ali Khiat and Christos Papavassiliou and Themistoklis Prodromakis}, title = {Live demonstration: {A} versatile, low-cost platform for testing large ReRAM cross-bar arrays}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {441}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865164}, doi = {10.1109/ISCAS.2014.6865164}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SerbBKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SerraSP14, author = {Hugo Serra and Rui Santos{-}Tavares and Nuno Paulino}, title = {A top-down optimization methodology for {SC} filter circuit design}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1672--1675}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865474}, doi = {10.1109/ISCAS.2014.6865474}, timestamp = {Mon, 04 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SerraSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SethM14, author = {Siddharth Seth and Boris Murmann}, title = {Design and optimization of continuous-time filters using geometric programming}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2089--2092}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865578}, doi = {10.1109/ISCAS.2014.6865578}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SethM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SetoO14, author = {Koji Seto and Tokunbo Ogunfunmi}, title = {Packet-loss robust scalable speech coding using the discrete wavelet transform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {129--132}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865082}, doi = {10.1109/ISCAS.2014.6865082}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SetoO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahACH14, author = {Sahil Shah and Karen S. Anderson and Jennifer Blain Christen and Jennifer Hasler}, title = {Floating gate {ISFET} for therapeutic drug screening of breast cancer cells}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {229--232}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865107}, doi = {10.1109/ISCAS.2014.6865107}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahACH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahCAB14, author = {Ikramullah Shah and Denis Guangyin Chen and Moaaz Ahmed and Amine Bermak}, title = {Optical wireless receiver for data delivery to retinal implant}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {866--869}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865273}, doi = {10.1109/ISCAS.2014.6865273}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahCAB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahCS14, author = {Mohit Shah and Chaitali Chakrabarti and Andreas Spanias}, title = {A multi-modal approach to emotion recognition using undirected topic models}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {754--757}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865245}, doi = {10.1109/ISCAS.2014.6865245}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShahJS14, author = {Malaykumar Shah and Jayaraj Joseph and Mohanasankar Sivaprakasam}, title = {Vascular compliance probe with integrated {ECG} for image-free evaluation of arterial stiffness}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1384--1387}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865402}, doi = {10.1109/ISCAS.2014.6865402}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShahJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShanAGZ14, author = {Chuan Shan and Fran{\c{c}}ois Anceau and Dimitri Galayko and Eldar Zianbetov}, title = {"Swimming pool"-like distributed architecture for clock generation in large many-core SoC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2768--2771}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865747}, doi = {10.1109/ISCAS.2014.6865747}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShanAGZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShaoYLW14, author = {Shengjia Shao and Shouyi Yin and Leibo Liu and Shaojun Wei}, title = {Map-reduce inspired loop parallelization on {CGRA}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1231--1234}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865364}, doi = {10.1109/ISCAS.2014.6865364}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShaoYLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenKEK14, author = {Chung{-}An Shen and Muhammad S. Khairy and Ahmed M. Eltawil and Fadi J. Kurdahi}, title = {Low power reduced-complexity error-resilient {MIMO} detector}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1688--1691}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865478}, doi = {10.1109/ISCAS.2014.6865478}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ShenKEK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenL14, author = {Tak{-}Wai Shen and Daniel Pak{-}Kong Lun}, title = {Speech enhancement based on {L1} regularization in the cepstral domain}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {121--124}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865080}, doi = {10.1109/ISCAS.2014.6865080}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SheridanML14, author = {Patrick Sheridan and Wen Ma and Wei D. Lu}, title = {Pattern recognition with memristor networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1078--1081}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865326}, doi = {10.1109/ISCAS.2014.6865326}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SheridanML14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiinoIFIYTNKMM14, author = {Yusuke Shiino and Hiroyuki Ito and Taku Fujiwara and Noboru Ishihara and Hisashi Yamanouchi and Hiroki Tanabe and Satoshi Nomura and Toshifumi Konishi and Katsuyuki Machida and Kazuya Masu}, title = {An ultra low power pH-monitoring {IC} with a duty-cycling wireless FM-transmitter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {882--885}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865277}, doi = {10.1109/ISCAS.2014.6865277}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiinoIFIYTNKMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShimR14, author = {Heejun Shim and Soojung Ryu}, title = {Simulation-based memory dependence checker for CGRA-mapped code verification}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1235--1238}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865365}, doi = {10.1109/ISCAS.2014.6865365}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShimR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinHY14, author = {Dongjoo Shin and Injoon Hong and Hoi{-}Jun Yoo}, title = {An 1.92mW Feature Reuse Engine based on inter-frame similarity for low-power object recognition in video frames}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {758--761}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865246}, doi = {10.1109/ISCAS.2014.6865246}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinLLRK14, author = {Youngsam Shin and Jaedon Lee and Won{-}Jong Lee and Soojung Ryu and Jeongwook Kim}, title = {Full-stream architecture for ray tracing with efficient data transmission}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2165--2168}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865597}, doi = {10.1109/ISCAS.2014.6865597}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinLLRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinPK14, author = {Wongyu Shin and Seungwook Paek and Lee{-}Sup Kim}, title = {An area-efficient on-chip temperature sensor with nonlinearity compensation using injection-locked oscillator {(ILO)}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1845--1848}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865517}, doi = {10.1109/ISCAS.2014.6865517}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShioYT14, author = {Masashi Shio and Masao Yanagisawa and Nozomu Togawa}, title = {Linear and bi-linear interpolation circuits using selector logics and their evaluations}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1436--1439}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865415}, doi = {10.1109/ISCAS.2014.6865415}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShioYT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShirahamaMWH14, author = {Hirokatsu Shirahama and Akira Mochizuki and Yuma Watanabe and Takahiro Hanyu}, title = {Energy-aware current-mode inter-chip link for a dependable {GALS} NoC platform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1865--1868}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865522}, doi = {10.1109/ISCAS.2014.6865522}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShirahamaMWH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiraishiKFI14, author = {Kei Shiraishi and Daisuke Kurose and Masanori Furuta and Tetsuro Itakura}, title = {A power supply noise cancellation scheme for a 2.24-GHz 6-bit current-steering {DAC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1151--1154}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865344}, doi = {10.1109/ISCAS.2014.6865344}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiraishiKFI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SiemonMMNWL14, author = {Anne Siemon and Stephan Menzel and Astrid Marchewka and Yoshifumi Nishi and Rainer Waser and Eike Linn}, title = {Simulation of TaOx-based complementary resistive switches by a physics-based memristive model}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1420--1423}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865411}, doi = {10.1109/ISCAS.2014.6865411}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SiemonMMNWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SilveiraPAZAP14, author = {Dieison Silveira and Guilherme Povala and L{\'{\i}}via Amaral and Bruno Zatt and Luciano Volcan Agostini and Marcelo Schiavon Porto}, title = {Memory bandwidth reduction for {H.264} and {HEVC} encoders using lossless reference frame coding}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2624--2627}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865711}, doi = {10.1109/ISCAS.2014.6865711}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SilveiraPAZAP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SinghEVVA14, author = {Simran Singh and Michael Epp and Georg Vallant and Mikko Valkama and Lauri Anttila}, title = {A blind frequency response mismatch correction algorithm for 4-channel Time-Interleaved {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1304--1307}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865382}, doi = {10.1109/ISCAS.2014.6865382}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SinghEVVA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SirkunanOSHM14, author = {Jeevan Sirkunan and Chia Yee Ooi and Nasir Shaikh{-}Husin and Yuan Wen Hau and Muhammad Nadzir Marsono}, title = {Hardware transactional memory on multi-processor {FPGA} platform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2744--2747}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865741}, doi = {10.1109/ISCAS.2014.6865741}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SirkunanOSHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SiwakotiBLT14, author = {Yam Prasad Siwakoti and Frede Blaabjerg and Poh Chiang Loh and Graham E. Town}, title = {A high voltage gain quasi Z-source isolated {DC/DC} converter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2441--2444}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865666}, doi = {10.1109/ISCAS.2014.6865666}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SiwakotiBLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SmailiM14, author = {Sami Smaili and Yehia Massoud}, title = {Analytic modeling of memristor variability for robust memristor systems designs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {794--797}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865255}, doi = {10.1109/ISCAS.2014.6865255}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SmailiM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SmailiM14a, author = {Sami Smaili and Yehia Massoud}, title = {Accurate and efficient modeling of random demodulation based compressive sensing systems with a general filter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2519--2522}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865685}, doi = {10.1109/ISCAS.2014.6865685}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SmailiM14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SmallJZ14, author = {Michael Small and Kevin Judd and Linjun Zhang}, title = {How is that complex network complex?}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1263--1266}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865372}, doi = {10.1109/ISCAS.2014.6865372}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SmallJZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SofatzisAH14, author = {Richard James Sofatzis and Saeed Afshar and Tara Julia Hamilton}, title = {Rotationally invariant vision recognition with neuromorphic transformation and learning networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {469--472}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865173}, doi = {10.1109/ISCAS.2014.6865173}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SofatzisAH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SofatzisAH14a, author = {Richard James Sofatzis and Saeed Afshar and Tara Julia Hamilton}, title = {The Synaptic Kernel Adaptation Network}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2077--2080}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865575}, doi = {10.1109/ISCAS.2014.6865575}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SofatzisAH14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SohbatiT14, author = {Mohammadreza Sohbati and Christofer Toumazou}, title = {A temperature insensitive continuous time {\(\Delta\)}pH to digital converter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {37--40}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865059}, doi = {10.1109/ISCAS.2014.6865059}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SohbatiT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongLZG14, author = {Tiecheng Song and Hongliang Li and Bing Zeng and Moncef Gabbouj}, title = {Texture classification using joint statistical representation in space-frequency domain with local quantized patterns}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {886--889}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865278}, doi = {10.1109/ISCAS.2014.6865278}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SongLZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongRHRMRC14, author = {Shuang Song and Michael Johannes Rooijakkers and Pieter Harpe and Chiara Rabotti and Massimo Mischi and Arthur H. M. van Roermund and Eugenio Cantatore}, title = {A multiple-channel frontend system with current reuse for fetal monitoring applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {253--256}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865113}, doi = {10.1109/ISCAS.2014.6865113}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SongRHRMRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SongXFMG14, author = {Zhihai Song and Ruiqin Xiong and Xiaopeng Fan and Siwei Ma and Wen Gao}, title = {Transform domain energy modeling of natural images for wireless SoftCast optimization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1114--1117}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865335}, doi = {10.1109/ISCAS.2014.6865335}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SongXFMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SourikopoulosFKC14, author = {Ilias Sourikopoulos and Antoine Frapp{\'{e}} and Andreas Kaiser and Laurent Clavier}, title = {A decision feedback equalizer with channel-dependent power consumption for 60-GHz receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1484--1487}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865427}, doi = {10.1109/ISCAS.2014.6865427}, timestamp = {Fri, 24 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SourikopoulosFKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SpivakY14, author = {Arthur Spivak and Orly Yadid{-}Pecht}, title = {Design of {A} 128 {\texttimes} 128 {CMOS} {APS} with extended noise suppression for high and low light imaging applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {45--48}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865061}, doi = {10.1109/ISCAS.2014.6865061}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SpivakY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SteinerMTFDL14, author = {Andreas Steiner and Rico Moeckel and Reto Thurer and Dario Floreano and Tobi Delbr{\"{u}}ck and Shih{-}Chii Liu}, title = {1kHz 2D silicon retina motion sensor platform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {41--44}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865060}, doi = {10.1109/ISCAS.2014.6865060}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SteinerMTFDL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/StoicaSBRBRWRW14, author = {Lucian{-}Vasile Stoica and Valentyn Solomko and Thorsten Baumheinrich and Renato Del Regno and Reece Beigh and Steve Riches and I. White and Geoff Rickard and Paul Williams}, title = {Design of a high temperature signal conditioning {ASIC} for engine control systems - {HIGHTECS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2117--2120}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865585}, doi = {10.1109/ISCAS.2014.6865585}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/StoicaSBRBRWRW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuWT14, author = {Hung{-}Cheng Su and Tsung{-}Han Wu and Chun{-}Jen Tsai}, title = {Temporal multithreading architecture design for a Java processor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2201--2204}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865606}, doi = {10.1109/ISCAS.2014.6865606}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SuWT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SultanaSFAZA14, author = {Sharifa Sultana and Celia Shahnaz and Shaikh Anowarul Fattah and Istak Ahmmed and Wei{-}Ping Zhu and M. Omair Ahmad}, title = {Speech emotion recognition based on entropy of enhanced wavelet coefficients}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {137--140}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865084}, doi = {10.1109/ISCAS.2014.6865084}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SultanaSFAZA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunAZH14, author = {Lin Sun and Oscar C. Au and Cong Zhao and Fiona H. Huang}, title = {Rate distortion modeling and adaptive rate control scheme for high efficiency video coding {(HEVC)}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1933--1936}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865539}, doi = {10.1109/ISCAS.2014.6865539}, timestamp = {Thu, 05 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SunAZH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SunSSJ14, author = {Zhuochao Sun and Liter Siek and Ravinder Pal Singh and Minkyu Je}, title = {A Fixed-frequency hysteretic controlled buck {DC-DC} converter with improved load regulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {954--957}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865295}, doi = {10.1109/ISCAS.2014.6865295}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SunSSJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TadrosEGI14, author = {Ramy N. Tadros and Abdelrahman H. Elsayed and Maged Ghoneima and Yehea I. Ismail}, title = {A variation tolerant driving technique for all-digital self-timed 3-level signaling high-speed SerDes transceivers for on-chip networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1520--1523}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865436}, doi = {10.1109/ISCAS.2014.6865436}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TadrosEGI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Takeuchi14, author = {Ken Takeuchi}, title = {Hybrid solid-state storage system with storage class memory and {NAND} flash memory for big-data application}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1046--1049}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865318}, doi = {10.1109/ISCAS.2014.6865318}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Takeuchi14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakeuchiYK14, author = {Sho Takeuchi and Naoki Yamashita and Hirotaka Koizumi}, title = {A design method for single phase Z-source inverter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {109--112}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865077}, doi = {10.1109/ISCAS.2014.6865077}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TakeuchiYK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TakihiNN14, author = {Masayuki Takihi and Kiichi Niitsu and Kazuo Nakazato}, title = {Charge-conserved analog-to-time converter for a large-scale {CMOS} biosensor array}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {33--36}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865058}, doi = {10.1109/ISCAS.2014.6865058}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TakihiNN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TallaS14, author = {Vamsi Talla and Joshua R. Smith}, title = {An experimental technique for design of practical Wireless Power Transfer systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2041--2044}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865566}, doi = {10.1109/ISCAS.2014.6865566}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TallaS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanCD14, author = {Xiao Liang Tan and Pak Kwong Chan and Uday Dasgupta}, title = {An asynchronous sub-two-step quantizer for continuous-time sigma-delta modulators}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {710--713}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865234}, doi = {10.1109/ISCAS.2014.6865234}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanCD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanLHO14, author = {Shaolin Tan and Jinhu Lu and Yu Hu and Maciej J. Ogorzalek}, title = {Exploring strategy selection in populations via a continuous evolutionary game dynamics}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2780--2783}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865750}, doi = {10.1109/ISCAS.2014.6865750}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanLHO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanOHSM14, author = {Tze Hon Tan and Chia Yee Ooi and Yuan Wen Hau and Nasir Shaikh{-}Husin and Muhammad N. Marsono}, title = {Remote dynamically reconfigurable platform using NetFPGA}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1239--1242}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865366}, doi = {10.1109/ISCAS.2014.6865366}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TanOHSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TanZK14, author = {Min Tan and Chenchang Zhan and Wing{-}Hung Ki}, title = {A 4{\(\mathrm{\mu}\)}A quiescent current output-capacitor-free low-dropout regulator with fully differential input stage}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2457--2460}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865670}, doi = {10.1109/ISCAS.2014.6865670}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TanZK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TandonYKA14, author = {James S. Tandon and Takahiro J. Yamaguchi and Satoshi Komatsu and Kunihiro Asada}, title = {A subsampling stochastic coarse-fine {ADC} with {SNR} 55.3dB and {\textgreater}5.8TS/s effective sample rate for an on-chip signal analyzer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {93--96}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865073}, doi = {10.1109/ISCAS.2014.6865073}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TandonYKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangZGM14, author = {Xifan Tang and Jian Zhang and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, title = {{TSPC} Flip-Flop circuit design with three-independent-gate silicon nanowire FETs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1660--1663}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865471}, doi = {10.1109/ISCAS.2014.6865471}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangZGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TangZZG14, author = {Kai Tang and Chao Zhou and Xinggong Zhang and Zongming Guo}, title = {Joint multi-CDN and LT-coding for video transport over {HTTP}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {562--565}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865197}, doi = {10.1109/ISCAS.2014.6865197}, timestamp = {Fri, 23 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TangZZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tavsanoglu14, author = {Vedat Tavsanoglu}, title = {An analysis of the mortgage account as a discrete-time {LTI} system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2429--2432}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865663}, doi = {10.1109/ISCAS.2014.6865663}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tavsanoglu14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TayC14, author = {Thian Fatt Tay and Chip{-}Hong Chang}, title = {A new algorithm for single residue digit error correction in Redundant Residue Number System}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1748--1751}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865493}, doi = {10.1109/ISCAS.2014.6865493}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TayC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TehM14, author = {Ying{-}Khai Teh and Philip K. T. Mok}, title = {A piezoelectric energy harvesting interface circuit using one-shot pulse transformer boost converter based on water bucket fountain strategy}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1993--1996}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865554}, doi = {10.1109/ISCAS.2014.6865554}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TehM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThakurHTSL14, author = {Chetan Singh Thakur and Tara Julia Hamilton and Jonathan Tapson and Andr{\'{e}} van Schaik and Richard F. Lyon}, title = {{FPGA} implementation of the {CAR} Model of the cochlea}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1853--1856}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865519}, doi = {10.1109/ISCAS.2014.6865519}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThakurHTSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThakurWHTS14, author = {Chetan Singh Thakur and James Wright and Tara Julia Hamilton and Jonathan Tapson and Andr{\'{e}} van Schaik}, title = {Live demonstration: {FPGA} implementation of the {CAR} model of the cochlea}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {461}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865170}, doi = {10.1109/ISCAS.2014.6865170}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThakurWHTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ThanasoulisVPS14, author = {Vasilis N. Thanasoulis and Bernhard Vogginger and Johannes Partzsch and Ren{\'{e}} Sch{\"{u}}ffny}, title = {A pulse communication flow ready for accelerated neuromorphic experiments}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {265--268}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865116}, doi = {10.1109/ISCAS.2014.6865116}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ThanasoulisVPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TikkaSRV14, author = {Tero Tikka and Kari Stadius and Jussi Ryyn{\"{a}}nen and Martti Voutilainen}, title = {A 1.2 - 6.4 GHz clock generator with a low-power {DCO} and programmable multiplier in 40-nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {506--509}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865183}, doi = {10.1109/ISCAS.2014.6865183}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TikkaSRV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TingC14, author = {Yi{-}Ching Ting and Tian{-}Sheuan Chang}, title = {Gradient-based {PU} size selection for {HEVC} intra prediction}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1929--1932}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865538}, doi = {10.1109/ISCAS.2014.6865538}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TingC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TranON14, author = {Thi Hong Tran and Hiroshi Ochi and Yuhei Nagao}, title = {A 4 {\texttimes} 4 multiplier-divider-less K-best {MIMO} decoder up to 2.7 Gbps}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1696--1699}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865480}, doi = {10.1109/ISCAS.2014.6865480}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TranON14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TrantidouTPTTP14, author = {Tatiana Trantidou and Tatiana Tariq and K. Pinto and Christofer Toumazou and Cesare M. Terracciano and Themistoklis Prodromakis}, title = {A lab-on-chip approach for monitoring the electrochemical activity of biorealistic cell cultures}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {642--645}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865217}, doi = {10.1109/ISCAS.2014.6865217}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TrantidouTPTTP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TripathiMMNMG14, author = {Jai Narayan Tripathi and Ashutosh Mahajan and Jayanta Mukherjee and Raj Kumar Nagpal and Rakesh Malik and Nitin Gupta}, title = {Decoupling network optimization in high speed systems by mixed-integer programming}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1010--1013}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865309}, doi = {10.1109/ISCAS.2014.6865309}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TripathiMMNMG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiLYL14, author = {Chang{-}Hung Tsai and Hui{-}Hsuan Lee and Wan{-}Ju Yu and Chen{-}Yi Lee}, title = {A 2 {GOPS} quad-mean shift processor with early termination for machine learning applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {157--160}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865089}, doi = {10.1109/ISCAS.2014.6865089}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiLYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengWCL14, author = {Hsing{-}Han Tseng and Shiou{-}Wen Wang and Jian{-}Yu Chen and Chien{-}Nan Jimmy Liu}, title = {A novel design space reduction method for efficient simulation-based optimization}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {381--384}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865145}, doi = {10.1109/ISCAS.2014.6865145}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UedaRHH14, author = {Katsuhiko Ueda and Zuiko Rikuhashi and Kentaro Hayashi and Hiroomi Hikawa}, title = {Low-power wiring method in {CMOS} logics circuits by segmentation coding and pseudo majority voting}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {590--593}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865204}, doi = {10.1109/ISCAS.2014.6865204}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UedaRHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UnterriederLMH14, author = {Christoph Unterrieder and Michael Lunglmayr and Stefano Marsili and Mario Huemer}, title = {Battery state-of-charge estimation prototype using {EMF} voltage prediction}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {622--625}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865212}, doi = {10.1109/ISCAS.2014.6865212}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UnterriederLMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UrtasunSM14, author = {Andoni Urtasun and Pablo Sanchis and Luis Marroyo}, title = {{RMS} voltage control with harmonic compensation for parallel-connected inverters feeding non-linear loads}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1179--1182}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865351}, doi = {10.1109/ISCAS.2014.6865351}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/UrtasunSM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/UwateN14, author = {Yoko Uwate and Yoshifumi Nishio}, title = {Effectiveness of artificial neural network with time-varying coupling system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2005--2008}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865557}, doi = {10.1109/ISCAS.2014.6865557}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/UwateN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaisbandF14, author = {Inna Vaisband and Eby G. Friedman}, title = {Computationally efficient clustering of power supplies in heterogeneous real time systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1628--1631}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865463}, doi = {10.1109/ISCAS.2014.6865463}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaisbandF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VaisbandSF14, author = {Boris Vaisband and Ioannis Savidis and Eby G. Friedman}, title = {Thermal conduction path analysis in 3-D ICs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {594--597}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865205}, doi = {10.1109/ISCAS.2014.6865205}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VaisbandSF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ValeroRMC14, author = {Mar{\'{\i}}a R. Valero and Jaime Ram{\'{\i}}rez{-}Angulo and Nicol{\'{a}}s Medrano and Santiago Celma}, title = {{PSRR} enhancement based on {QFG} techniques for low-voltage low-power design}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2684--2687}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865726}, doi = {10.1109/ISCAS.2014.6865726}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ValeroRMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VasudevanC14, author = {Madhu Vasudevan and Chaitali Chakrabarti}, title = {Image processing using approximate datapath units}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1544--1547}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865442}, doi = {10.1109/ISCAS.2014.6865442}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VasudevanC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VentraTBP14, author = {Massimiliano Di Ventra and Fabio L. Traversa and Fabrizio Bonani and Yuriy V. Pershin}, title = {Dynamic computing random access memory: {A} brain-inspired computing paradigm with memelements}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1070--1073}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865324}, doi = {10.1109/ISCAS.2014.6865324}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VentraTBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/VincentLZRBGKGQ14, author = {Adrien F. Vincent and Jerome Larroque and Weisheng Zhao and Nesrine Ben Romdhane and Olivier Bichler and Christian Gamrat and Jacques{-}Olivier Klein and Sylvie Galdin{-}Retailleau and Damien Querlioz}, title = {Spin-transfer torque magnetic memory as a stochastic memristive synapse}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1074--1077}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865325}, doi = {10.1109/ISCAS.2014.6865325}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/VincentLZRBGKGQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WachiKMM14, author = {Yusuke Wachi and Takashi Kawamoto and Akira Maeki and Toru Masuda}, title = {A low-power fully-integrated SP10T-RF-switch-IC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {706--709}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865233}, doi = {10.1109/ISCAS.2014.6865233}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WachiKMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WalkerT14, author = {David M. Walker and Antoinette Tordesillas}, title = {Examining overlapping community structures within grain property networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1275--1278}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865375}, doi = {10.1109/ISCAS.2014.6865375}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WalkerT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WanHTR14, author = {Cheng Wan and Meng Huang and Chi K. Tse and Xinbo Ruan}, title = {Stability of interacting grid-connected power converters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2668--2671}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865722}, doi = {10.1109/ISCAS.2014.6865722}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WanHTR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCH14, author = {Wei Wang and Zhilu Chen and Xinming Huang}, title = {Accelerating leveled fully homomorphic encryption using {GPU}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2800--2803}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865755}, doi = {10.1109/ISCAS.2014.6865755}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangCLY14, author = {Hung{-}Ming Wang and An{-}Ti Chiang and Kuo{-}Hao Lee and Jar{-}Ferr Yang}, title = {A novel view synthesis algorithm based on depth and texture consistency}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {574--577}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865200}, doi = {10.1109/ISCAS.2014.6865200}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangCLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangD14, author = {Kan Wang and Sheqin Dong}, title = {Post-floorplanning power optimization for MSV-driven application specific NoC design}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {994--997}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865305}, doi = {10.1109/ISCAS.2014.6865305}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHCCCCTCH14, author = {Tang{-}Hsuan Wang and Po{-}Tsang Huang and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ho{-}Ming Tong and Ching{-}Te Chuang and Wei Hwang}, title = {Energy-efficient configurable discrete wavelet transform for neural sensing applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1841--1844}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865516}, doi = {10.1109/ISCAS.2014.6865516}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHCCCCTCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHTS14, author = {Runchun Wang and Tara Julia Hamilton and Jonathan Tapson and Andr{\'{e}} van Schaik}, title = {An {FPGA} design framework for large-scale spiking neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {457--460}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865169}, doi = {10.1109/ISCAS.2014.6865169}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHTS14a, author = {Runchun Wang and Tara Julia Hamilton and Jonathan Tapson and Andr{\'{e}} van Schaik}, title = {A compact reconfigurable mixed-signal implementation of synaptic plasticity in spiking neurons}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {862--865}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865272}, doi = {10.1109/ISCAS.2014.6865272}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHTS14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHTS14b, author = {Runchun Wang and Tara Julia Hamilton and Jonathan Tapson and Andr{\'{e}} van Schaik}, title = {A generalised conductance-based silicon neuron for large-scale spiking neural networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1564--1567}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865447}, doi = {10.1109/ISCAS.2014.6865447}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHTS14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangJLLXY14, author = {Yiqun Wang and Hongyang Jia and Yongpan Liu and Qing'an Li and Chun Jason Xue and Huazhong Yang}, title = {Register allocation for hybrid register architecture in nonvolatile processors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1050--1053}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865319}, doi = {10.1109/ISCAS.2014.6865319}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangJLLXY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangL14, author = {Xiaoyang Wang and Qiang Li}, title = {A 10-bit 150MS/s {SAR} {ADC} with parallel segmented {DAC} in 65nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {309--312}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865127}, doi = {10.1109/ISCAS.2014.6865127}, timestamp = {Thu, 13 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLC14, author = {Chua{-}Chin Wang and Chiang{-}Hsiang Liao and Sih{-}Yu Chen}, title = {A single-ended disturb-free 5T loadless {SRAM} with leakage sensor and read delay compensation using 40 nm {CMOS} process}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1126--1129}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865338}, doi = {10.1109/ISCAS.2014.6865338}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLWJ14, author = {Chua{-}Chin Wang and Wen{-}Je Lu and Tzu{-}Chao Wu and Chun{-}Ying Juan}, title = {A {CMOS} wide-range temperature sensor with process compensation and second-order calibration for Battery Management Systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {586--589}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865203}, doi = {10.1109/ISCAS.2014.6865203}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLWJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangMZDLWWLFTCWR14, author = {Li Wang and Rui Ma and Chen Zhang and Zongyu Dong and Fei Lu and Albert Z. Wang and Xin Wang and Jian Liu and Siqiang Fan and He Tang and Baoyong Chi and Liji Wu and Tianling Ren}, title = {Scalable behavior modeling for {SCR} based {ESD} protection structures for circuit simulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2333--2336}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865639}, doi = {10.1109/ISCAS.2014.6865639}, timestamp = {Mon, 08 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangMZDLWWLFTCWR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangS14, author = {Peijun Wang and Nan Sun}, title = {A random {DEM} technique with minimal element transition rate for high-speed DACs}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1155--1158}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865345}, doi = {10.1109/ISCAS.2014.6865345}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangTL14, author = {Sying{-}Jyan Wang and Tsung{-}Huei Tzeng and Katherine Shu{-}Min Li}, title = {Fast and accurate statistical static timing analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2555--2558}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865694}, doi = {10.1109/ISCAS.2014.6865694}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangW14, author = {Xiaofan Wang and Xiaoling Wang}, title = {Consensus of edge dynamics on complex networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1271--1274}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865374}, doi = {10.1109/ISCAS.2014.6865374}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangXlLS14, author = {Yinan Wang and Hui Xu and Qingjiang Li and Nan Li and Zhaolin Sun}, title = {Estimation method for nonlinearity mismatch in time-interleaved analog-to-digital converters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2109--2112}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865583}, doi = {10.1109/ISCAS.2014.6865583}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangXlLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangYLC14, author = {Pei Wang and Xinghuo Yu and Jinhu Lu and Aimin Chen}, title = {Identification of important nodes in artificial bio-molecular networks}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1267--1270}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865373}, doi = {10.1109/ISCAS.2014.6865373}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangYLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangYMLM14, author = {Wei Wang and Zushu Yan and Pui{-}In Mak and Man{-}Kay Law and Rui Paulo Martins}, title = {Micropower two-stage amplifier employing recycling current-buffer Miller compensation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1889--1892}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865528}, doi = {10.1109/ISCAS.2014.6865528}, timestamp = {Fri, 16 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WangYMLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WarrierHZ14, author = {Rakesh Warrier and Hao Liang and Wei Zhang}, title = {Reconfigurable {DSP} block design for dynamically reconfigurable architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2551--2554}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865693}, doi = {10.1109/ISCAS.2014.6865693}, timestamp = {Fri, 22 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WarrierHZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WatanabeI14, author = {Takamoto Watanabe and Hirofumi Isomura}, title = {All-digital {ADC/TDC} using {TAD} architecture for highly-durable time-measurement {ASIC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {674--677}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865225}, doi = {10.1109/ISCAS.2014.6865225}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WatanabeI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WatersMLS14, author = {Benjamin H. Waters and Brody J. Mahoney and Gunbok Lee and Joshua R. Smith}, title = {Optimal coil size ratios for wireless power transfer applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2045--2048}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865567}, doi = {10.1109/ISCAS.2014.6865567}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WatersMLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiLZXY14, author = {Zhenqi Wei and Peilin Liu and Zhencheng Zeng and Jiangwei Xu and Rendong Ying}, title = {Instruction-based high-efficient synchronization in a many-core Network-on-Chip processor}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2193--2196}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865604}, doi = {10.1109/ISCAS.2014.6865604}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WeiLZXY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiMMJRGB14, author = {Lai Wei and Golrokh Mirzaei and Mohammad Wadood Majid and Mohsin M. Jamali and Jeremy Ross and Peter V. Gorsevski and Verner P. Bingman}, title = {Birds/bats movement tracking with {IR} camera for wind farm applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {341--344}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865135}, doi = {10.1109/ISCAS.2014.6865135}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WeiMMJRGB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WeiYKMNM14, author = {Zhiqiang Wei and Ryutaro Yasuhara and Koji Katayama and Takumi Mikawa and Takeki Ninomiya and Shunsaku Muraoka}, title = {Quantitative method for estimating characteristics of conductive filament in ReRAM}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {842--845}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865267}, doi = {10.1109/ISCAS.2014.6865267}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WeiYKMNM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WijenayakeMB14, author = {Chamith Wijenayake and Arjuna Madanayake and Len T. Bruton}, title = {Hexagonal multi-beam analog {RF} aperture array}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1680--1683}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865476}, doi = {10.1109/ISCAS.2014.6865476}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WijenayakeMB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WizenbergKBPP14, author = {Reut Wizenberg and Ali Khiat and Radu Berdan and Christos Papavassiliou and Themistoklis Prodromakis}, title = {Applications of solid-state memristors in tunable filters}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2269--2272}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865623}, doi = {10.1109/ISCAS.2014.6865623}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WizenbergKBPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Wu0Y14, author = {Kejun Wu and Peng Liu and Qiaoyan Yu}, title = {A novel signaling technique for high-speed wireline backplane transceiver: Four phase-shifted sinusoid symbol {(PSS-4)}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2141--2144}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865591}, doi = {10.1109/ISCAS.2014.6865591}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Wu0Y14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuCS14, author = {Yujing Wu and Jin{-}Gyun Chung and Myung Hoon Sunwoo}, title = {Design and implementation of {CAN} data compression algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {582--585}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865202}, doi = {10.1109/ISCAS.2014.6865202}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuDGKP14, author = {Po{-}Hung Wu and Jian{-}Jiun Ding and Jing{-}Ming Guo and Pei{-}Jen Kang and Chang{-}En Pu}, title = {Banknote reconstruction from fragments using quadratic programming and {SIFT} points}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {570--573}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865199}, doi = {10.1109/ISCAS.2014.6865199}, timestamp = {Mon, 14 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuDGKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHSHHC14, author = {Kuan{-}I Wu and Shuo{-}Hong Hung and Shang{-}Yu Shieh and Bor{-}Tsang Hwang and Szu{-}Yao Hung and Charlie Chung{-}Ping Chen}, title = {Current-mode adaptively hysteretic control for buck converters with fast transient response and improved output regulation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {950--953}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865294}, doi = {10.1109/ISCAS.2014.6865294}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHSHHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuKPC14, author = {Yu{-}Hsing Wu and Wei{-}Lin Ku and Wen{-}Hsiao Peng and Hung{-}Chun Chou}, title = {Global image representation using Locality-constrained Linear Coding for large-scale image retrieval}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {766--769}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865248}, doi = {10.1109/ISCAS.2014.6865248}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuKPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuL14, author = {Mingfei Wu and Dylan Dah{-}Chuan Lu}, title = {An active damping method for stabilization of cascaded connected two stage converter systems with constant power loads in {DC} microgrids}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2664--2667}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865721}, doi = {10.1109/ISCAS.2014.6865721}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLBZWYQ14, author = {Huaqiang Wu and Xinyi Li and Yue Bai and Ye Zhang and Minghao Wu and Zhiping Yu and He Qian}, title = {Stack engineering for ReRAM devices performance improvement}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {846--849}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865268}, doi = {10.1109/ISCAS.2014.6865268}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuLBZWYQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLCLCQ14, author = {Qing Wu and Beiye Liu and Yiran Chen and Hai Li and Qiuwen Chen and Qinru Qiu}, title = {Bio-inspired computing with resistive memories - models, architectures and applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {834--837}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865265}, doi = {10.1109/ISCAS.2014.6865265}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuLCLCQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLNZG14, author = {Qingbo Wu and Hongliang Li and King N. Ngan and Bing Zeng and Moncef Gabbouj}, title = {No reference image quality metric via distortion identification and multi-channel label transfer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {530--533}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865189}, doi = {10.1109/ISCAS.2014.6865189}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuLNZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLSCC14, author = {Hsin{-}Fang Wu and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {Error resilience for key frames in distributed video coding with rate-distortion optimized mode decision}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1118--1121}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865336}, doi = {10.1109/ISCAS.2014.6865336}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuLSCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLSX14, author = {Jinjian Wu and Weisi Lin and Guangming Shi and Long Xu}, title = {Reduced-reference image quality assessment with local binary structural pattern}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {898--901}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865281}, doi = {10.1109/ISCAS.2014.6865281}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuLSX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuP0Y14, author = {Kejun Wu and Hoda Pahlevanzadeh and Peng Liu and Qiaoyan Yu}, title = {A new fault injection method for evaluation of combining {SEU} and {SET} effects on circuit reliability}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {602--605}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865207}, doi = {10.1109/ISCAS.2014.6865207}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuP0Y14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuTL14, author = {Jiajing Wu and Chi Kong Tse and Francis Chung{-}Ming Lau}, title = {Effective routing algorithms based on node usage probability from a complex network perspective}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2209--2212}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865608}, doi = {10.1109/ISCAS.2014.6865608}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuZ14, author = {Ruizhe Wu and Dan Zhao}, title = {Load adaptive multi-channel distribution and arbitration in unequal {RF} interconnected WiNoC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1973--1976}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865549}, doi = {10.1109/ISCAS.2014.6865549}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoC14, author = {Chenglong Xiao and Emmanuel Casseau}, title = {Improving high-level synthesis effectiveness through custom operator identification}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {161--164}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865090}, doi = {10.1109/ISCAS.2014.6865090}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoC14a, author = {Ran Xiao and Chunhong Chen}, title = {Implementation of the conscience mechanism using single-electron transfer in competitive learning}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1652--1655}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865469}, doi = {10.1109/ISCAS.2014.6865469}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoLW14, author = {Yang Xiao and Pengpeng Lan and Dong Wang}, title = {A novel secure {MIMO} cognitive network}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1476--1479}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865425}, doi = {10.1109/ISCAS.2014.6865425}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XiaoZW14, author = {Yang Xiao and Jinfeng Zou and Dong Wang}, title = {The diffserv cognitive network node with Controlled-UDP}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2477--2481}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865675}, doi = {10.1109/ISCAS.2014.6865675}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XiaoZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XieN14, author = {Shuang Xie and Wai Tung Ng}, title = {Delay-line temperature sensors and {VLSI} thermal management demonstrated on a 60nm {FPGA}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2571--2574}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865698}, doi = {10.1109/ISCAS.2014.6865698}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/XieN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuLBAO14, author = {Hongcheng Xu and Matthias Lorenz and Ulrich Bihr and Jens Anders and Maurits Ortmanns}, title = {Wide-band efficiency-enhanced {CMOS} rectifier}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {614--617}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865210}, doi = {10.1109/ISCAS.2014.6865210}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuLBAO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuLLYW14, author = {Wei Xu and Xiyan Li and Wuxi Li and Hang Yuan and Guoxing Wang}, title = {Live demonstration: An optimization software and a design case of a novel dual band wireless power and data transmission system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {436}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865159}, doi = {10.1109/ISCAS.2014.6865159}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuLLYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuRRC14, author = {Jingwei Xu and Ehsan Rohani and Mehnaz Rahman and Gwan Choi}, title = {Signal reconstruction processor design for compressive sensing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2539--2542}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865690}, doi = {10.1109/ISCAS.2014.6865690}, timestamp = {Thu, 29 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuRRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/XuSP14, author = {Xiaoke Xu and Michael Small and F. Javier Perez{-}Barberia}, title = {Uncovering interaction patterns of multi-agent collective motion via complex network analysis}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2213--2216}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865609}, doi = {10.1109/ISCAS.2014.6865609}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/XuSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YamamotoHOK14, author = {Masaharu Yamamoto and Anh{-}Tuan Hoang and Mutsumi Omori and Tetsushi Koide}, title = {Compact hardware oriented number recognition algorithm for real-time speed traffic-sign recognition}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2535--2538}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865689}, doi = {10.1109/ISCAS.2014.6865689}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YamamotoHOK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanTY14, author = {Jin{-}Tai Yan and Yu{-}Jen Tseng and Chia{-}Heng Yen}, title = {Feasible region assignment of routing nets in single-layer routing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {393--396}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865148}, doi = {10.1109/ISCAS.2014.6865148}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanXZ14, author = {Shi Yan and Li Xu and Qinglin Zhao}, title = {Order evaluation for realization of {MIMO} multidimensional systems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2309--2312}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865633}, doi = {10.1109/ISCAS.2014.6865633}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YanXZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YanagiharaHKF14, author = {Yuki Yanagihara and Toshiya Honda and Takeshi Kumaki and Takeshi Fujino}, title = {Live Demonstration: Hierarchical masked image filtering technology on security-camera for privacy protection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {473}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865174}, doi = {10.1109/ISCAS.2014.6865174}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YanagiharaHKF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangFLCF14, author = {Ming Yang and Jingjing Fu and Yan Lu and Jianfei Cai and Chuan Heng Foh}, title = {An adaptive multi-layer low-latency transmission scheme for {H.264} based screen sharing system}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2153--2156}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865594}, doi = {10.1109/ISCAS.2014.6865594}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangFLCF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLCCL14, author = {Chih{-}Wen Yang and Xin{-}Ru Lee and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Area-efficient TFM-based stochastic decoder design for non-binary {LDPC} codes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {409--412}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865152}, doi = {10.1109/ISCAS.2014.6865152}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLD14, author = {Minhao Yang and Shih{-}Chii Liu and Tobi Delbr{\"{u}}ck}, title = {Comparison of spike encoding schemes in asynchronous vision sensors: Modeling and design}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2632--2635}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865713}, doi = {10.1109/ISCAS.2014.6865713}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YangLD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLDX14, author = {Huan Yang and Weisi Lin and Chenwei Deng and Long Xu}, title = {Study on subjective quality assessment of Digital Compound Images}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2149--2152}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865593}, doi = {10.1109/ISCAS.2014.6865593}, timestamp = {Fri, 07 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLDX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLLG14, author = {Saboya Yang and Jiaying Liu and Qiaochu Li and Zongming Guo}, title = {Segmentation-based scale-invariant nonlocal means super resolution}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1106--1109}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865333}, doi = {10.1109/ISCAS.2014.6865333}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangM14, author = {Yuning Yang and Andrew J. Mason}, title = {Optimization of nonlinear energy operator based spike detection circuit for high density neural recordings}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1396--1399}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865405}, doi = {10.1109/ISCAS.2014.6865405}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangM14a, author = {Fan Yang and Philip K. T. Mok}, title = {Area-efficient capacitor-less {LDR} with enhanced transient response for SoC in 65-nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2325--2328}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865637}, doi = {10.1109/ISCAS.2014.6865637}, timestamp = {Wed, 19 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangM14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangQLWY14, author = {Xinghua Yang and Fei Qiao and Chang Liu and Qi Wei and Huazhong Yang}, title = {Design of multi-stage latency adders using detection and sequence-dependence between successive calculations}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {998--1001}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865306}, doi = {10.1109/ISCAS.2014.6865306}, timestamp = {Mon, 03 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangQLWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangYCHWLTHLTCTLWCYH14, author = {Shang{-}Hsien Yang and Yuan{-}Han Yang and Ke{-}Horng Chen and Chung{-}Chih Hung and Chin{-}Long Wey and Ying{-}Hsi Lin and Tsung{-}Yen Tsai and Chen{-}Chih Huang and Chao{-}Cheng Lee and Zhih Han Tai and Yi Hsuan Cheng and Chi Chung Tsai and Hsin{-}Yu Luo and Shih{-}Ming Wang and Long{-}Der Chen and Cheng{-}Chen Yang and Huang Tian Hui}, title = {A dual-level dual-phase pulse-width modulation class-D amplifier with 0.001{\%} THD, 112 dB {SNR}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2676--2679}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865724}, doi = {10.1109/ISCAS.2014.6865724}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangYCHWLTHLTCTLWCYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangYJWLJ14, author = {Wendi Yang and Kai Yang and Hanjun Jiang and Zhihua Wang and Qingliang Lin and Wen Jia}, title = {Fetal heart rate monitoring system with mobile internet}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {443--446}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865165}, doi = {10.1109/ISCAS.2014.6865165}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangYJWLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoHHHM14, author = {Ziyou Yao and Weifeng He and Liang Hong and Guanghui He and Zhigang Mao}, title = {Area and throughput efficient {IDCT/IDST} architecture for {HEVC} standard}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2511--2514}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865683}, doi = {10.1109/ISCAS.2014.6865683}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoHHHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YaoWC14, author = {X. Z. Yao and Qing Wu and Shing{-}Chow Chan}, title = {An {AVS-} and object- based approach to scalable coding of plenoptic videos}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {546--549}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865193}, doi = {10.1109/ISCAS.2014.6865193}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YaoWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YazakiCYTLM14, author = {Toru Yazaki and Norio Chujo and Hiroki Yamashita and Takashi Takemoto and Yong Lee and Yasunobu Matsuoka}, title = {25-Gbps{\texttimes}4 optical transmitter with adjustable asymmetric pre-emphasis in 65-nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2692--2695}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865728}, doi = {10.1109/ISCAS.2014.6865728}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YazakiCYTLM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeHS14, author = {Jheng{-}Hao Ye and Szu{-}Han Huang and Ming{-}Der Shieh}, title = {An efficient countermeasure against power attacks for {ECC} over GF(p)}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {814--817}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865260}, doi = {10.1109/ISCAS.2014.6865260}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeY14, author = {Wen Bin Ye and Ya Jun Yu}, title = {A polynomial-time algorithm for the design of multiplierless linear-phase {FIR} filters with low hardware cost}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {970--973}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865299}, doi = {10.1109/ISCAS.2014.6865299}, timestamp = {Fri, 30 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YeY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YeungYC14, author = {Ho Chuen Jackson Yeung and Evangeline F. Y. Young and Chiu{-}sing Choy}, title = {Reducing pin count on cross-referencing Digital Microfluidic Biochip}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {790--793}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865254}, doi = {10.1109/ISCAS.2014.6865254}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YeungYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YinL14, author = {Xinyu Yin and Hongge Li}, title = {An 8-bit {QVGA} {AMOLED} driver {IC} with a polynomial interpolation {DAC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2321--2324}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865636}, doi = {10.1109/ISCAS.2014.6865636}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YinL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoonLWS14, author = {Yeonam Yoon and Kyoungtae Lee and Peijun Wang and Nan Sun}, title = {A purely-VCO-based single-loop high-order continuous-time {\(\Sigma\)}{\(\Delta\)} {ADC}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {926--929}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865288}, doi = {10.1109/ISCAS.2014.6865288}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YoonLWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoonSP14, author = {Ji{-}Hwan Yoon and Dongyeob Shin and Jongsun Park}, title = {A low-complexity composite {QR} decomposition architecture for {MIMO} detector}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1692--1695}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865479}, doi = {10.1109/ISCAS.2014.6865479}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YoonSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YorkGSR14, author = {Timothy York and Viktor Gruev and Debajit Saha and Baranidharan Raman}, title = {A 220 {\texttimes} 128 120 mW 60 frames/s current mode polarization imager for in vivo optical neural recording}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1849--1852}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865518}, doi = {10.1109/ISCAS.2014.6865518}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YorkGSR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YoshizakiSLC14, author = {Satoshi Yoshizaki and Alexantrou Serb and Yan Liu and Timothy G. Constandinou}, title = {Octagonal CMOs image sensor with strobed {RGB} {LED} illumination for wireless capsule endoscopy}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1857--1860}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865520}, doi = {10.1109/ISCAS.2014.6865520}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YoshizakiSLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yu14, author = {Shimeng Yu}, title = {Orientation classification by a winner-take-all network with oxide {RRAM} based synaptic devices}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1058--1061}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865321}, doi = {10.1109/ISCAS.2014.6865321}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Yu14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Yu14a, author = {Shimeng Yu}, title = {Overview of resistive switching memory {(RRAM)} switching mechanism and device modeling}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2017--2020}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865560}, doi = {10.1109/ISCAS.2014.6865560}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Yu14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuCPMWY14, author = {Zhengqiang Yu and Luc Claesen and Yun Pan and Andy Motten and Yimu Wang and Xiaolang Yan}, title = {SoC processor for real-time object labeling in life camera streams with low line level latency}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {345--348}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865136}, doi = {10.1109/ISCAS.2014.6865136}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuCPMWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuDGHCLKCJW14, author = {Shimeng Yu and Yexin Deng and Bin Gao and Peng Huang and Bing Chen and Xiaoyan Liu and Jinfeng Kang and Hong{-}Yu Chen and Zizhen Jiang and H.{-}S. Philip Wong}, title = {Design guidelines for 3D {RRAM} cross-point architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {421--424}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865155}, doi = {10.1109/ISCAS.2014.6865155}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuDGHCLKCJW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuKW14, author = {Shimeng Yu and Duygu Kuzum and H.{-}S. Philip Wong}, title = {Design considerations of synaptic device for neuromorphic computing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1062--1065}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865322}, doi = {10.1109/ISCAS.2014.6865322}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuKW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuanP14, author = {Bo Yuan and Keshab K. Parhi}, title = {Architectures for polar {BP} decoders using folding}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {205--208}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865101}, doi = {10.1109/ISCAS.2014.6865101}, timestamp = {Tue, 12 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuanP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZamanlooyM14, author = {Babak Zamanlooy and Mitra Mirhassani}, title = {Area efficient low-sensitivity lumped madaline based on Continuous Valued Number System}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2241--2244}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865616}, doi = {10.1109/ISCAS.2014.6865616}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZamanlooyM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZeinolabedinZLK14, author = {Seyed Mohammad Ali Zeinolabedin and Jun Zhou and Xin Liu and Tony T. Kim}, title = {An area- and power-efficient {FIFO} with error-reduced data compression for image/video processing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2277--2280}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865625}, doi = {10.1109/ISCAS.2014.6865625}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZeinolabedinZLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZengHW14, author = {Ming{-}Jhe Zeng and Ren{-}Yuan Huang and Ro{-}Min Weng}, title = {A 0.8V 8GHz low power sub-harmonic self-oscillating mixer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1376--1379}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865400}, doi = {10.1109/ISCAS.2014.6865400}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZengHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZezzaMN14, author = {Simone Zezza and Guido Masera and Saeid Nooshabadi}, title = {A novel decoder architecture for error resilient {JPEG2000} applications based on {MQ} arithmetic}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {902--905}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865282}, doi = {10.1109/ISCAS.2014.6865282}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZezzaMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCHMT14, author = {Yi Zhang and Chia{-}Hung Chen and Tao He and Xin Meng and Gabor C. Temes}, title = {A continuous-time {\(\Delta\)}{\(\Sigma\)} modulator with a digital technique for excess loop delay compensation}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {934--937}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865290}, doi = {10.1109/ISCAS.2014.6865290}, timestamp = {Wed, 10 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCHMT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCLT14, author = {Shuai Zhang and Shing{-}Chow Chan and Bin Liao and Kai Man Tsui}, title = {A new visual object tracking algorithm using Bayesian Kalman filter}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {522--525}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865187}, doi = {10.1109/ISCAS.2014.6865187}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCLX14, author = {Li Zhang and Ying Chen and Xiang Li and Shanhua Xue}, title = {Low-complexity advanced residual prediction design in 3D-HEVC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {13--16}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865053}, doi = {10.1109/ISCAS.2014.6865053}, timestamp = {Wed, 03 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCLX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangCTLCALGGG14, author = {Li Zhang and Ying Chen and Vijayaraghavan Thirumalai and Jian{-}Liang Lin and Yi{-}Wen Chen and Jicheng An and Shawmin Lei and Laurent Guillo and Thomas Guionnet and Christine Guillemot}, title = {Inter-view motion prediction in 3D-HEVC}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {17--20}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865054}, doi = {10.1109/ISCAS.2014.6865054}, timestamp = {Thu, 04 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangCTLCALGGG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangFCKR14, author = {Le Zhang and Xuanyao Fong and Chip{-}Hong Chang and Zhi{-}Hui Kong and Kaushik Roy}, title = {Highly reliable memory-based Physical Unclonable Function using Spin-Transfer Torque {MRAM}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2169--2172}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865598}, doi = {10.1109/ISCAS.2014.6865598}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangFCKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangH14, author = {Qinfeng Zhang and Fengyi Huang}, title = {A study on linear single-loop feedback systems using geometric vectors}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {69--72}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865067}, doi = {10.1109/ISCAS.2014.6865067}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangKLHA14, author = {Xiao{-}Ping (Steven) Zhang and Ahmed Shaharyar Khwaja and Ji{-}an Luo and Alon Shalev Housfater and Alagan Anpalagan}, title = {Convergence analysis of multiple imputations particle filters for dealing with missing data in nonlinear problems}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2567--2570}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865697}, doi = {10.1109/ISCAS.2014.6865697}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangKLHA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLLJFG14, author = {Yuang Zhang and Li Li and Zhonghai Lu and Axel Jantsch and Yuxiang Fu and Minglun Gao}, title = {Performance and network power evaluation of tightly mixed {SRAM} {NUCA} for 3D Multi-core Network on Chips}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1961--1964}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865546}, doi = {10.1109/ISCAS.2014.6865546}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLLJFG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangLWI14, author = {Ming Zhang and Nicolas Llaser and X. Wang and D. Ibrahima}, title = {Exploiting a micro Pirani gauge for multifonction}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1877--1880}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865525}, doi = {10.1109/ISCAS.2014.6865525}, timestamp = {Tue, 04 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangLWI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangP14, author = {Chuan Zhang and Keshab K. Parhi}, title = {Interleaved successive cancellation polar decoders}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {401--404}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865150}, doi = {10.1109/ISCAS.2014.6865150}, timestamp = {Mon, 01 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangPLEO14, author = {Chenxin Zhang and Hemanth Prabhu and Liang Liu and Ove Edfors and Viktor {\"{O}}wall}, title = {Energy efficient {SQRD} processor for {LTE-A} using a group-sort update scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {193--196}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865098}, doi = {10.1109/ISCAS.2014.6865098}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangPLEO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangWXLGW14, author = {Jianlong Zhang and Dan Wang and Xiang Xie and Guolin Li and Yingke Gu and Zhihua Wang}, title = {A low-complexity intestinal lumen detection method for wireless endoscopy images}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2061--2064}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865571}, doi = {10.1109/ISCAS.2014.6865571}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangWXLGW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangYOLW14, author = {Yuchi Zhang and Shouyi Yin and Peng Ouyang and Leibo Liu and Shaojun Wei}, title = {A parallel hardware architecture for fast integral image computing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2189--2192}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865603}, doi = {10.1109/ISCAS.2014.6865603}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhangYOLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangYS14, author = {Chuan Zhang and Xiaohu You and Jin Sha}, title = {Hardware architecture for list successive cancellation polar decoder}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {209--212}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865102}, doi = {10.1109/ISCAS.2014.6865102}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhangYW14, author = {Chuan Zhang and Xiaohu You and Zhongfeng Wang}, title = {Efficient column-layered decoders for single block-row quasi-cyclic {LDPC} codes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {413--416}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865153}, doi = {10.1109/ISCAS.2014.6865153}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhangYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoWZ14, author = {Liyun Zhao and Quanjun Wu and Jin Zhou}, title = {Impulsive consensus for second-order multi-agent systems with a reference velocity and input delays}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1026--1029}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865313}, doi = {10.1109/ISCAS.2014.6865313}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoWZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoYL14, author = {Bo Zhao and Huazhong Yang and Yong Lian}, title = {A novel quasi-static channel enhancing technique for body channel communication}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1094--1097}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865330}, doi = {10.1109/ISCAS.2014.6865330}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhaoYMGS14, author = {Yingbo Zhao and Yintang Yang and Kaushik Mazumdar and Xinfei Guo and Mircea R. Stan}, title = {A multi-output on-chip switched-capacitor {DC-DC} converter for near- and sub-threshold power modes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1632--1635}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865464}, doi = {10.1109/ISCAS.2014.6865464}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhaoYMGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengKM14, author = {Nan Zheng and Jaeyoung Kim and Pinaki Mazumder}, title = {A low-power reconfigurable {CMOS} power amplifier for wireless sensor network applications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1086--1089}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865328}, doi = {10.1109/ISCAS.2014.6865328}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhengKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengLLC14, author = {Lirong Zheng and Lieuwe B. Leene and Yan Liu and Timothy G. Constandinou}, title = {An adaptive 16/64 kHz, 9-bit {SAR} {ADC} with peak-aligned sampling for neural spike recording}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2385--2388}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865652}, doi = {10.1109/ISCAS.2014.6865652}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhengLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengQG14, author = {Ning Zheng and Lin Qi and Ling Guan}, title = {Incremental {GMMSD2} with applications to feature extraction}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {890--893}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865279}, doi = {10.1109/ISCAS.2014.6865279}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengQG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengS14, author = {Yushan Zheng and Mohamad Sawan}, title = {A microsystem for magnetic immunoassay towards protein toxins detection}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {225--228}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865106}, doi = {10.1109/ISCAS.2014.6865106}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengSK14, author = {Le Zheng and Sangho Shin and Sung{-}Mo Steve Kang}, title = {Memristors-based Ternary Content Addressable Memory (mTCAM)}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2253--2256}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865619}, doi = {10.1109/ISCAS.2014.6865619}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhengSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouC14, author = {Liang Zhou and Shantanu Chakrabartty}, title = {A 7-transistor-per-cell, high-density analog storage array with 500{\(\mathrm{\mu}\)}V update accuracy and greater than 60dB linearity}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1572--1575}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865449}, doi = {10.1109/ISCAS.2014.6865449}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhouC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouCGCH14, author = {Rong Zhou and Kwen{-}Siong Chong and Bah{-}Hwee Gwee and Joseph S. Chang and Weng{-}Geng Ho}, title = {Synthesis of asynchronous {QDI} circuits using synchronous coding specifications}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {153--156}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865088}, doi = {10.1109/ISCAS.2014.6865088}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouCGCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouHC14, author = {Jiangyun Zhou and Jianhao Hu and Jienan Chen}, title = {High performance absolute value calculator based on stochastic computing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {365--368}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865141}, doi = {10.1109/ISCAS.2014.6865141}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouHZ14, author = {Quan Zhou and Junying Hu and Qiang Zhou}, title = {An effective iterative density aware detailed placement algorithm}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1444--1447}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865417}, doi = {10.1109/ISCAS.2014.6865417}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhouHZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhouSCZW14, author = {Leixin Zhou and Jin Sha and Yun Chen and Chuan Zhang and Zhongfeng Wang}, title = {Efficient symbol reliability based decoding for {QCNB-LDPC} codes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {405--408}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865151}, doi = {10.1109/ISCAS.2014.6865151}, timestamp = {Mon, 20 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhouSCZW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuJLJLXG14, author = {Chuang Zhu and Huizhu Jia and Jie Liu and Xianghu Ji and Hao Lv and Xiaodong Xie and Wen Gao}, title = {Multi-level low-complexity coefficient discarding scheme for video encoder}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {5--8}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865051}, doi = {10.1109/ISCAS.2014.6865051}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuJLJLXG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuK14, author = {Hong Zhu and Volkan Kursun}, title = {A comprehensive comparison of superior triple-threshold-voltage 7-transistor, 8-transistor, and 9-transistor {SRAM} cells}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2185--2188}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865602}, doi = {10.1109/ISCAS.2014.6865602}, timestamp = {Wed, 06 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuKE14, author = {Shucheng Zhu and Cornelis Jan Kikkert and Nesimi Ertugrul}, title = {A wide bandwidth, on-line impedance measurement method for power systems, based On {PLC} techniques}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1167--1170}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865348}, doi = {10.1109/ISCAS.2014.6865348}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuKE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuLYDWTSP14, author = {Wenping Zhu and Leibo Liu and Shouyi Yin and Yuan Dong and Shaojun Wei and Eugene Y. Tang and Jiqiang Song and Jinzhan Peng}, title = {A 65 nm uneven-dual-core SoC based platform for multi-device collaborative computing}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2527--2530}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865687}, doi = {10.1109/ISCAS.2014.6865687}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhuLYDWTSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhuZG14, author = {Shuyuan Zhu and Bing Zeng and Moncef Gabbouj}, title = {Adaptive reweighted compressed sensing for image compression}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865050}, doi = {10.1109/ISCAS.2014.6865050}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZhuZG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZieglerHIK14, author = {Martin Ziegler and Mirko Hansen and Marina Ignatov and Hermann Kohlstedt}, title = {Building memristive neurons and synapses}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1066--1069}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865323}, doi = {10.1109/ISCAS.2014.6865323}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZieglerHIK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZografosGM14, author = {Odysseas Zografos and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, title = {Novel grid-based power routing scheme for regular controllable-polarity {FET} arrangements}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1416--1419}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865410}, doi = {10.1109/ISCAS.2014.6865410}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZografosGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZouKSMW14, author = {Liang Zou and Udo Karthaus and Deepti Sukumaran and Nasser Mehrtash and Horst Wagner}, title = {A 6\({}^{\mbox{th}}\) order, 700-1100 MHz, 3.6 Gb/s {RF} bandpass {\(\Sigma\)}{\(\Delta\)} {ADC} with two-tone {SFDR} 67.2 dB in 65nm {CMOS}}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {714--717}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865235}, doi = {10.1109/ISCAS.2014.6865235}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ZouKSMW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscas/2014, title = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6852006/proceeding}, isbn = {978-1-4799-3431-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.