Search dblp for Publications

export results for "toc:db/conf/issoc/issoc2011.bht:"

 download as .bib file

@inproceedings{DBLP:conf/issoc/BadawiH11,
  author       = {Mohammad Badawi and
                  Ahmed Hemani},
  title        = {A coarse-grained reconfigurable protocol processor},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {102--107},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089688},
  doi          = {10.1109/ISSOC.2011.6089688},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BadawiH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BhattiPBMW11,
  author       = {Zubair Wadood Bhatti and
                  Davy Preuveneers and
                  Yolande Berbers and
                  Narasinga Rao Miniskar and
                  Roel Wuyts},
  title        = {{SAMOSA:} Scratchpad aware mapping of streaming applications},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {48--55},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089687},
  doi          = {10.1109/ISSOC.2011.6089687},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BhattiPBMW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BortolottiPPMRB11,
  author       = {Daniele Bortolotti and
                  Francesco Paterna and
                  Christian Pinto and
                  Andrea Marongiu and
                  Martino Ruggiero and
                  Luca Benini},
  title        = {Exploring instruction caching strategies for tightly-coupled shared-memory
                  clusters},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {34--41},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089691},
  doi          = {10.1109/ISSOC.2011.6089691},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/BortolottiPPMRB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/BrunelliAB11,
  author       = {Claudio Brunelli and
                  Eero Aho and
                  Heikki Berg},
  title        = {OpenCL implementation of Cholesky matrix decomposition},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {62--67},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089694},
  doi          = {10.1109/ISSOC.2011.6089694},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/BrunelliAB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/Damaj11,
  author       = {Issam W. Damaj},
  title        = {Co-designs of parallel Rijndael},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {72--77},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089220},
  doi          = {10.1109/ISSOC.2011.6089220},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/Damaj11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/FerraresiGLB11,
  author       = {Marco Ferraresi and
                  Giuseppina Gobbo and
                  Daniele Ludovici and
                  Davide Bertozzi},
  title        = {Bringing Network-on-Chip links to 45nm},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {122--127},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089686},
  doi          = {10.1109/ISSOC.2011.6089686},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/FerraresiGLB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/GuzmaPT11,
  author       = {Vladim{\'{\i}}r Guzma and
                  Teemu Pitk{\"{a}}nen and
                  Jarmo Takala},
  title        = {Effects of loop unrolling and use of instruction buffer on processor
                  energy consumption},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {82--85},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089224},
  doi          = {10.1109/ISSOC.2011.6089224},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/GuzmaPT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/HoppnerWES11,
  author       = {Sebastian H{\"{o}}ppner and
                  Dennis Walter and
                  Georg Ellguth and
                  Ren{\'{e}} Sch{\"{u}}ffny},
  title        = {Mismatch characterization of high-speed NoC links using asynchronous
                  sub-sampling},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {112--115},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089695},
  doi          = {10.1109/ISSOC.2011.6089695},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/HoppnerWES11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/IkegayaOYTMN11,
  author       = {Tomoki Ikegaya and
                  Ryosuke Oda and
                  Tatsuhiro Yamada and
                  Tomoaki Tsumura and
                  Hiroshi Matsuo and
                  Yasuhiko Nakashima},
  title        = {A hybrid model of speculative execution and scout threading for auto-memoization
                  processor},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {22--28},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089225},
  doi          = {10.1109/ISSOC.2011.6089225},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/IkegayaOYTMN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/JaaskelainenSET11,
  author       = {Pekka J{\"{a}}{\"{a}}skel{\"{a}}inen and
                  Erno Salminen and
                  Otto Esko and
                  Jarmo Takala},
  title        = {Customizable Datapath Integrated Lock Unit},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {29--33},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089685},
  doi          = {10.1109/ISSOC.2011.6089685},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/JaaskelainenSET11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KhanSMHN11,
  author       = {Subayal Khan and
                  Jukka Saastamoinen and
                  Mikko Majanen and
                  Jyrki Huusko and
                  Jari Nurmi},
  title        = {Analyzing transport and {MAC} layer in system-level performance simulation},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089223},
  doi          = {10.1109/ISSOC.2011.6089223},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KhanSMHN11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KretzschmarALJZ11,
  author       = {Uli Kretzschmar and
                  Armando Astarloa and
                  Jes{\'{u}}s L{\'{a}}zaro and
                  Jaime Jimenez and
                  Aitzol Zuloaga},
  title        = {An automatic experimental set-up for robustness analysis of designs
                  implemented on {SRAM} {FPGAS}},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {96--101},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089684},
  doi          = {10.1109/ISSOC.2011.6089684},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/KretzschmarALJZ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/KrsticFGHSBKSB11,
  author       = {Milos Krstic and
                  Xin Fan and
                  Eckhard Grass and
                  Christoph Heer and
                  Birgit Sanders and
                  Luca Benini and
                  Mohammad Reza Kakoee and
                  Alessandro Strano and
                  Davide Bertozzi},
  title        = {Moonrake chip - {GALS} demonstrator in 40 nm {CMOS} technology},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {9--13},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089693},
  doi          = {10.1109/ISSOC.2011.6089693},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/KrsticFGHSBKSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MalikH11,
  author       = {Omer Malik and
                  Ahmed Hemani},
  title        = {Synchronizing distributed state machines in a coarse grain reconfigurable
                  architecture},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {128--135},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089690},
  doi          = {10.1109/ISSOC.2011.6089690},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MalikH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ModarressiNJ11,
  author       = {Mehdi Modarressi and
                  Seyed Hossein Nikounia and
                  Amir Hossein Jahangir},
  title        = {Low-power arithmetic unit for {DSP} applications},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {68--71},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089696},
  doi          = {10.1109/ISSOC.2011.6089696},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/ModarressiNJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/MoiseevZKS11,
  author       = {Mikhail J. Moiseev and
                  Alexey V. Zakharov and
                  Ilya Klotchkov and
                  Sergey I. Salishev},
  title        = {Static analysis method for deadlock detection in SystemC designs},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {42--47},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089227},
  doi          = {10.1109/ISSOC.2011.6089227},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/MoiseevZKS11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/OlivaPNPA11,
  author       = {Yaset Oliva and
                  Maxime Pelcat and
                  Jean{-}Fran{\c{c}}ois Nezan and
                  Jean{-}Christophe Pr{\'{e}}votet and
                  Slaheddine Aridhi},
  title        = {Building a {RTOS} for MPSoC dataflow programming},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {143--146},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089218},
  doi          = {10.1109/ISSOC.2011.6089218},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/issoc/OlivaPNPA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/PekkarinenLSH11,
  author       = {Esko Pekkarinen and
                  Lasse Lehtonen and
                  Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen},
  title        = {A set of traffic models for Network-on-Chip benchmarking},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {78--81},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089221},
  doi          = {10.1109/ISSOC.2011.6089221},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/PekkarinenLSH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/RethinagiriAD11,
  author       = {Santhosh Kumar Rethinagiri and
                  Rabie Ben Atitallah and
                  Jean{-}Luc Dekeyser},
  title        = {A system level power consumption estimation for MPSoC},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {56--61},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089692},
  doi          = {10.1109/ISSOC.2011.6089692},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/RethinagiriAD11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SalminenHH11,
  author       = {Erno Salminen and
                  Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen and
                  Marko H{\"{a}}nnik{\"{a}}inen},
  title        = {Applying {IP-XACT} in product data management},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {86--91},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089226},
  doi          = {10.1109/ISSOC.2011.6089226},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SalminenHH11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SchmutzlerLSB11,
  author       = {Christoph Schmutzler and
                  Abdallah Lakhtel and
                  Martin Simons and
                  J{\"{u}}rgen Becker},
  title        = {Increasing energy efficiency of automotive E/E-architectures with
                  Intelligent Communication Controllers for FlexRay},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {92--95},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089228},
  doi          = {10.1109/ISSOC.2011.6089228},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SchmutzlerLSB11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/ShengSOLA11,
  author       = {Weihua Sheng and
                  Stefan Sch{\"{u}}rmans and
                  Maximilian Odendahl and
                  Rainer Leupers and
                  Gerd Ascheid},
  title        = {Automatic calibration of streaming applications for software mapping
                  exploration},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {136--142},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089217},
  doi          = {10.1109/ISSOC.2011.6089217},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/ShengSOLA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/SiyoumGMNC11,
  author       = {Firew Siyoum and
                  Marc Geilen and
                  Orlando Moreira and
                  Rick J. M. Nas and
                  Henk Corporaal},
  title        = {Analyzing synchronous dataflow scenarios for dynamic software-defined
                  radio applications},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {14--21},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089222},
  doi          = {10.1109/ISSOC.2011.6089222},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/SiyoumGMNC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/TiteVOC11,
  author       = {Teodor Tite and
                  Adelina Vig and
                  Nicolae Olteanu and
                  Cristian Cuna},
  title        = {moviTest: {A} Test Environment dedicated to multi-core embedded architectures},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {108--111},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089689},
  doi          = {10.1109/ISSOC.2011.6089689},
  timestamp    = {Tue, 23 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/TiteVOC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WegnerGT11,
  author       = {Tim Wegner and
                  Martin Gag and
                  Dirk Timmermann},
  title        = {Impact of proactive temperature management on performance of Networks-on-Chip},
  booktitle    = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  pages        = {116--121},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISSOC.2011.6089219},
  doi          = {10.1109/ISSOC.2011.6089219},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WegnerGT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/issoc/2011,
  title        = {2011 International Symposium on System on Chip, SoC 2011, Tampere,
                  Finland, October 31 - November 2, 2011},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6085645/proceeding},
  isbn         = {978-1-4577-0671-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/2011.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics