Search dblp for Publications

export results for "toc:db/conf/sbcci/sbcci2009.bht:"

 download as .bib file

@inproceedings{DBLP:conf/sbcci/AksoyJC09,
  author       = {Levent Aksoy and
                  Diego Jaccottet and
                  Eduardo Costa},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design of low complexity digital {FIR} filters},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601951},
  doi          = {10.1145/1601896.1601951},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AksoyJC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AlvesB09,
  author       = {Diogo Jos{\'{e}} Costa Alves and
                  Edna Barros},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A logic built-in self-test architecture that reuses manufacturing
                  compressed scan test patterns},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601923},
  doi          = {10.1145/1601896.1601923},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AlvesB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/AraujoMC09,
  author       = {Genival Mariano de Araujo and
                  Heider Marconi G. Madureira and
                  Jos{\'{e}} Camargo da Costa},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design and characterization of a 0.35 micron {CMOS} voltage-to-current
                  converter},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601934},
  doi          = {10.1145/1601896.1601934},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/AraujoMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ArgyridesDPLC09,
  author       = {Costas Argyrides and
                  Giorgos Dimosthenous and
                  Dhiraj K. Pradhan and
                  Carlos Arthur Lang Lisb{\^{o}}a and
                  Luigi Carro},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Reliability aware yield improvement technique for nanotechnology based
                  circuits},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601958},
  doi          = {10.1145/1601896.1601958},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ArgyridesDPLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/BerejuckZ09,
  author       = {Marcelo Daniel Berejuck and
                  C{\'{e}}sar Albenes Zeferino},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Adding mechanisms for QoS to a network-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601928},
  doi          = {10.1145/1601896.1601928},
  timestamp    = {Fri, 13 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/BerejuckZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CarrilloRVN09,
  author       = {Juan Jos{\'{e}} Carrillo and
                  Elkim Roa and
                  Jos{\'{e}} Vieira and
                  Wilhelmus A. M. Van Noije},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A low-voltage bandgap reference source based on the current-mode technique},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601932},
  doi          = {10.1145/1601896.1601932},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CarrilloRVN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ChaparroPRN09,
  author       = {Sergio Chaparro and
                  Armando Ayala Pab{\'{o}}n and
                  Elkim Roa and
                  Wilhelmus A. M. Van Noije},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A merged {RF} {CMOS} LNA-Mixer design using geometric programming},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601917},
  doi          = {10.1145/1601896.1601917},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ChaparroPRN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ConcattoMCKSCK09,
  author       = {Caroline Concatto and
                  Debora Matos and
                  Luigi Carro and
                  Fernanda Lima Kastensmidt and
                  Altamiro Amadeu Susin and
                  {\'{E}}rika F. Cota and
                  M{\'{a}}rcio Eduardo Kreutz},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Fault tolerant mechanism to improve yield in NoCs using a reconfigurable
                  router},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601929},
  doi          = {10.1145/1601896.1601929},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ConcattoMCKSCK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/CotaCPRL09,
  author       = {{\'{E}}rika F. Cota and
                  Luigi Carro and
                  Felipe Pinto and
                  Ricardo Augusto da Luz Reis and
                  Marcelo Lubaszewski},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Resource-and-time-aware test strategy for configurable quaternary
                  logic blocks},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601922},
  doi          = {10.1145/1601896.1601922},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/CotaCPRL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/DornellesSPA09,
  author       = {Robson Dornelles and
                  Felipe Sampaio and
                  Daniel Palomino and
                  Luciano Volcan Agostini},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Transforms and quantization design targeting the {H.264/AVC} intra
                  prediction constraints},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601914},
  doi          = {10.1145/1601896.1601914},
  timestamp    = {Sat, 30 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/DornellesSPA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/EusseHJ09,
  author       = {Juan Fernando Eusse Giraldo and
                  Michael H{\"{u}}bner and
                  Ricardo Pezzuol Jacobi},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{BRICK:} a multi-context expression grained reconfigurable architecture},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601942},
  doi          = {10.1145/1601896.1601942},
  timestamp    = {Wed, 28 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/EusseHJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FernandesOS09,
  author       = {S{\'{\i}}lvio R. F. de Fernandes and
                  Bruno Cruz de Oliveira and
                  Ivan Saraiva Silva},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Using NoC routers as processing elements},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601927},
  doi          = {10.1145/1601896.1601927},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FernandesOS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/FiorelliSP09,
  author       = {Rafaella Fiorelli and
                  Fernando Silveira and
                  Eduardo J. Peral{\'{\i}}as},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Phase noise - consumption trade-off in low power {RF-LC-VCO} design
                  in micro and nanometric technologies},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601918},
  doi          = {10.1145/1601896.1601918},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/FiorelliSP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/GoncalvesBHL09,
  author       = {Luiz Fernando Gon{\c{c}}alves and
                  Jefferson Luiz Bosa and
                  Renato V. B. Henriques and
                  Marcelo Lubaszewski},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design of an embedded system for the proactive maintenance of electrical
                  valves},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601906},
  doi          = {10.1145/1601896.1601906},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/GoncalvesBHL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/HalderDNS09,
  author       = {Raju Halder and
                  Parthasarathi Dasgupta and
                  Saptarshi Naskar and
                  Samar Sen{-}Sarma},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {An internet-based {IP} protection scheme for circuit designs using
                  linear feedback shift register (LFSR)-based locking},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601915},
  doi          = {10.1145/1601896.1601915},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/HalderDNS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/KleinBACA09,
  author       = {Felipe Klein and
                  Alexandro Baldassin and
                  Guido Araujo and
                  Paulo Centoducatte and
                  Rodolfo Azevedo},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {On the energy-efficiency of software transactional memory},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601938},
  doi          = {10.1145/1601896.1601938},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/KleinBACA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LacerdaSAC09,
  author       = {Luciano A. de Lacerda and
                  Edson P. Santana and
                  Cleber Vin{\'{\i}}cius A. de Almeida and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Distortion analysis of analog multiplier circuits using two-dimensional
                  integral nonlinear function},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601908},
  doi          = {10.1145/1601896.1601908},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/LacerdaSAC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/LeyssenneKDB09,
  author       = {Laurent Leyssenne and
                  Eric Kerherve and
                  Yann Deval and
                  Didier Belot},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A novel delta sigma built-in-current-sensor as a signal strength indicator
                  for {RF} transceiver reconfiguration},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601919},
  doi          = {10.1145/1601896.1601919},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/LeyssenneKDB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Lima09,
  author       = {Jader A. De Lima},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A compact low-distortion low-power instrumentation amplifier},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601931},
  doi          = {10.1145/1601896.1601931},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Lima09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Maharbiz09,
  author       = {Michel M. Maharbiz},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A cyborg beetle: wireless neural flight control of a free-flying insect},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601900},
  doi          = {10.1145/1601896.1601900},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Maharbiz09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MajekDLB09,
  author       = {C{\'{e}}dric Majek and
                  Yann Deval and
                  Herv{\'{e}} Lapuyade and
                  Jean{-}Baptiste B{\'{e}}gueret},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Voltage controlled delay line with phase quadrature outputs for {[0.9-4]}
                  GHz {F-DLL} dedicated to zero-IF multi-standard {LO}},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601953},
  doi          = {10.1145/1601896.1601953},
  timestamp    = {Mon, 04 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MajekDLB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MansanoBOS09,
  author       = {Andr{\'{e}} Mansano and
                  Andre Vilas Boas and
                  Alfredo Olmos and
                  Jefferson Soldera},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Zero quiescent current startup circuit with automatic turning-off
                  for low power current and voltage reference},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601933},
  doi          = {10.1145/1601896.1601933},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MansanoBOS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MansanoLS09,
  author       = {Andr{\'{e}} Mansano and
                  Jader A. De Lima and
                  Jacobus W. Swart},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A compact fast-response charge-pump gate driver},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601909},
  doi          = {10.1145/1601896.1601909},
  timestamp    = {Wed, 25 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/MansanoLS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MarquezSC09,
  author       = {Carlos Ivan Castro Marquez and
                  Marius Strum and
                  Jiang Chau Wang},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A PD-based methodology to enhance efficiency in testbenches with random
                  stimulation},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601921},
  doi          = {10.1145/1601896.1601921},
  timestamp    = {Fri, 04 Jan 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MarquezSC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MatroseG09,
  author       = {Valerij Matrose and
                  Carsten Gremzow},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Improved placement for hierarchical FPGAs exploiting local interconnect
                  resources},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601943},
  doi          = {10.1145/1601896.1601943},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MatroseG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MiguezAG09,
  author       = {Mat{\'{\i}}as R. Miguez and
                  Alfredo Arnaud and
                  Joel Gak},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A self-protected integrated switch in a {HV} technology},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601910},
  doi          = {10.1145/1601896.1601910},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MiguezAG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MirandaC09,
  author       = {Igor Dantas dos Santos Miranda and
                  Ana Isabela Ara{\'{u}}jo Cunha},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{ASIC} design of a novel high performance neuroprocessor architecture
                  for multi layered perceptron networks},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601941},
  doi          = {10.1145/1601896.1601941},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MirandaC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/MoreiraNPF09,
  author       = {Luiz Carlos Moreira and
                  Wilhelmus A. M. Van Noije and
                  Armando Ayala Pab{\'{o}}n and
                  Andr{\'{e}}s Farf{\'{a}}n{-}Pel{\'{a}}ez},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Comparison of small cross inductors and rectangular inductors designed
                  in 0.35um {CMOS} technology},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601955},
  doi          = {10.1145/1601896.1601955},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/MoreiraNPF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NascimentoOW09,
  author       = {Francisco Assis Moreira do Nascimento and
                  Marcio F. da S. Oliveira and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Using {MDE} for the formal verification of embedded systems modeled
                  by {UML} sequence diagrams},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601962},
  doi          = {10.1145/1601896.1601962},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NascimentoOW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/NeubergerWR09,
  author       = {Gustavo Neuberger and
                  Gilson I. Wirth and
                  Ricardo Reis},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Protecting digital circuits against hold time violation due to process
                  variability},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601959},
  doi          = {10.1145/1601896.1601959},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/NeubergerWR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Ochoa-MontielAK09,
  author       = {M. A. Ochoa{-}Montiel and
                  Bashir M. Al{-}Hashimi and
                  Peter Kollig},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design of a low power {MPEG-1} motion vector reconstructor},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601913},
  doi          = {10.1145/1601896.1601913},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/Ochoa-MontielAK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OliveiraFNRW09,
  author       = {Marcio F. da S. Oliveira and
                  Ronaldo Rodrigues Ferreira and
                  Francisco Assis Moreira do Nascimento and
                  Franz J. Rammig and
                  Fl{\'{a}}vio Rech Wagner},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Exploiting the model-driven engineering approach to improve design
                  space exploration of embedded systems},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601904},
  doi          = {10.1145/1601896.1601904},
  timestamp    = {Fri, 03 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OliveiraFNRW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/OstGIRRM09,
  author       = {Luciano Ost and
                  Guilherme Montez Guindani and
                  Leandro Soares Indrusiak and
                  Cezar Reinbrecht and
                  Thiago Raupp da Rosa and
                  Fernando Moraes},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A high abstraction, high accuracy power estimation model for networks-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601936},
  doi          = {10.1145/1601896.1601936},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/OstGIRRM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/PlettL09,
  author       = {Calvin Plett and
                  Robson Nunes de Lima},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Low-power {CMOS} transceivers with on-chip antennas for short-range
                  radio-frequency communication},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601899},
  doi          = {10.1145/1601896.1601899},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/PlettL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/RavottoSRS09,
  author       = {Danilo Ravotto and
                  Edgar E. S{\'{a}}nchez and
                  Matteo Sonza Reorda and
                  Giovanni Squillero},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Design validation of multithreaded architectures using concurrent
                  threads evolution},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601964},
  doi          = {10.1145/1601896.1601964},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/RavottoSRS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/ReisF09,
  author       = {Andr{\'{e}} In{\'{a}}cio Reis and
                  Roner G. Fabris},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {What about the {IP} of your IP?: an introduction to intellectual property
                  law for engineers and scientists},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601898},
  doi          = {10.1145/1601896.1601898},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/ReisF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Reyes-BarrancaMAGF09,
  author       = {Mario Alfredo Reyes{-}Barranca and
                  Salvador Mendoza{-}Acevedo and
                  Alejandro {\'{A}}vila{-}Garc{\'{\i}}a and
                  Jos{\'{e}} Luis Gonz{\'{a}}lez{-}Vidal and
                  Luis M. Flores{-}Nava},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Floating gate {MOSFET} circuit design for a monolithic {MEMS} {GAS}
                  sensor},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601946},
  doi          = {10.1145/1601896.1601946},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Reyes-BarrancaMAGF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SamrowCSTT09,
  author       = {Hagen S{\"{a}}mrow and
                  Claas Cornelius and
                  Frank Sill and
                  Andreas Tockhorn and
                  Dirk Timmermann},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Twin logic gates: improved logic reliability by redundancy concerning
                  gate oxide breakdown},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601960},
  doi          = {10.1145/1601896.1601960},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SamrowCSTT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/San-UmM09,
  author       = {Wimol San{-}Um and
                  Masayoshi Tachibana},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Simultaneous impulse stimulation and response sampling technique for
                  built-in self test of linear analog integrated circuits},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601924},
  doi          = {10.1145/1601896.1601924},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/San-UmM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanchezMLA09,
  author       = {Diego F. S{\'{a}}nchez and
                  Daniel M. Mu{\~{n}}oz and
                  Carlos H. Llanos and
                  Mauricio Ayala{-}Rinc{\'{o}}n},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Parameterizable floating-point library for arithmetic operations in
                  FPGAs},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601948},
  doi          = {10.1145/1601896.1601948},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanchezMLA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SanderRSB09,
  author       = {Oliver Sander and
                  Christoph Roth and
                  Vitali Stuckert and
                  J{\"{u}}rgen Becker},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {System concept for an {FPGA} based real-time capable automotive {ECU}
                  simulation system},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601940},
  doi          = {10.1145/1601896.1601940},
  timestamp    = {Fri, 19 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SanderRSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SawanG09,
  author       = {Mohamad Sawan and
                  Benoit Gosselin},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Multichannel intracortical neurorecording: integration and packaging
                  challenges},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601901},
  doi          = {10.1145/1601896.1601901},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SawanG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SchmidtW09,
  author       = {Daniel Schmidt and
                  Norbert Wehn},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{DRAM} power management and energy consumption: a critical assessment},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601937},
  doi          = {10.1145/1601896.1601937},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SchmidtW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SillM09,
  author       = {Frank Sill and
                  Davies W. de Lima Monteiro},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Pipelined successive approximation conversion {(PSAC)} with error
                  correction for a {CMOS} ophthalmic sensor},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601945},
  doi          = {10.1145/1601896.1601945},
  timestamp    = {Fri, 27 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SillM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/Silva-FilhoA09,
  author       = {Abel G. Silva{-}Filho and
                  Cristiano C. de Ara{\'{u}}jo},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A methodology for tuning two-level cache hierarchy considering energy
                  and performance},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601905},
  doi          = {10.1145/1601896.1601905},
  timestamp    = {Fri, 10 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/Silva-FilhoA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaCAPB09,
  author       = {Andr{\'{e}} Silva and
                  Eduardo A. C. da Costa and
                  S{\'{e}}rgio J. M. de Almeida and
                  Marcelo Schiavon Porto and
                  Sergio Bampi},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {High performance motion estimation architecture using efficient adder-compressors},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601912},
  doi          = {10.1145/1601896.1601912},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaCAPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaPSBA09,
  author       = {Tha{\'{\i}}sa Leal da Silva and
                  F{\'{a}}bio I. Pereira and
                  Altamiro Amadeu Susin and
                  Sergio Bampi and
                  Luciano Volcan Agostini},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {High performance and low cost architecture for {H.264/AVC} {CAVLD}
                  targeting {HDTV}},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601949},
  doi          = {10.1145/1601896.1601949},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaPSBA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilvaS09,
  author       = {Antonio Felipe de Freitas Silva and
                  Fernando Rangel de Sousa},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Highly improved {IIP2} direct conversion receiver},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601954},
  doi          = {10.1145/1601896.1601954},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilvaS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SilveiraBM09,
  author       = {George Sobral Silveira and
                  Alisson Vasconcelos de Brito and
                  Elmar U. K. Melcher},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Functional verification of power gate design in SystemC {RTL}},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601963},
  doi          = {10.1145/1601896.1601963},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SilveiraBM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/SouzaML09,
  author       = {Viviane Lucy Santos de Souza and
                  Victor Wanderley Costa de Medeiros and
                  Manoel Eus{\'{e}}bio de Lima},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Architecture for dense matrix multiplication on a high-performance
                  reconfigurable system},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601950},
  doi          = {10.1145/1601896.1601950},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/SouzaML09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/TedescoCM09,
  author       = {Leonel Tedesco and
                  Fabien Clermidy and
                  Fernando Moraes},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {A path-load based adaptive routing algorithm for networks-on-chip},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601926},
  doi          = {10.1145/1601896.1601926},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/sbcci/TedescoCM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/UddinIHAR09,
  author       = {Md Jasim Uddin and
                  Muhammad I. Ibrahimy and
                  Muhammad A. Hasan and
                  Mohd. Alauddin Mohd. Ali and
                  Mamun Bin Ibne Reaz},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {{CMOS} 2.45GHz {RF} power amplifier for {RFID} reader},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601956},
  doi          = {10.1145/1601896.1601956},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/UddinIHAR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/WuergesSFR09,
  author       = {Emilio Wuerges and
                  Luiz C. V. dos Santos and
                  Olinto J. V. Furtado and
                  Sandro Rigo},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {An early real-time checker for retargetable compile-time analysis},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601965},
  doi          = {10.1145/1601896.1601965},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/WuergesSFR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sbcci/YuZP09,
  author       = {Chenjie Yu and
                  Xiangrong Zhou and
                  Peter Petrov},
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Low-power inter-core communication through cache partitioning in embedded
                  multiprocessors},
  booktitle    = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1601896.1601903},
  doi          = {10.1145/1601896.1601903},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/YuZP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/sbcci/2009,
  editor       = {Ivan Saraiva Silva and
                  Renato P. Ribas and
                  Calvin Plett},
  title        = {Proceedings of the 22st Annual Symposium on Integrated Circuits and
                  Systems Design: Chip on the Dunes, {SBCCI} 2009, Natal, Brazil, August
                  31 - September 3, 2009},
  publisher    = {{ACM}},
  year         = {2009},
  isbn         = {978-1-60558-705-9},
  timestamp    = {Mon, 19 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sbcci/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics