Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/journals/ieiceee/ieiceee15.bht:"
@article{DBLP:journals/ieiceee/Ahn18, author = {Jeongseob Ahn}, title = {Deduplicating {TLB} entries for shared pages}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180528}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180528}, doi = {10.1587/ELEX.15.20180528}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ahn18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AhnJSULK18, author = {Jeong{-}Keun Ahn and Jaeseung Jeong and Jung{-}Duk Suh and Youngdo Um and Dongha Lee and Bai{-}Sun Kong}, title = {Pair-wise staggering transmitter for single-ended parallel signaling}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20171238}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171238}, doi = {10.1587/ELEX.15.20171238}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhnJSULK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AhnKONLLCK18, author = {Chi{-}Hyung Ahn and Younghoon Kim and Sungwon Oh and Yeonjun Noh and Dong{-}Ho Lee and Sanghun Lee and Kunhee Cho and Jusung Kim}, title = {Design and realization of low-cost 10 {W} power amplifier module at 7.9-8.4 GHz}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180775}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180775}, doi = {10.1587/ELEX.15.20180775}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AhnKONLLCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Akita18, author = {Junichi Akita}, title = {{CMOS} image sensor for high speed and low latency eye tracking}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180785}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180785}, doi = {10.1587/ELEX.15.20180785}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Akita18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AliLKHK18, author = {Inayat Ali and Hyunjae Lee and Yong Sung Kil and Ayaz Hussain and Sang{-}Hyo Kim}, title = {Spatially coupled {LDPC} codes for power line communications with impulsive noise}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180486}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180486}, doi = {10.1587/ELEX.15.20180486}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AliLKHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AnHFMD18, author = {Wenxing An and Liuyan Hong and Haipeng Fu and Jianguo Ma and Jiaxiang Dong}, title = {Low-profile and wideband dipole antenna with unidirectional radiation pattern for 5G}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180121}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180121}, doi = {10.1587/ELEX.15.20180121}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AnHFMD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AragaNVPB18, author = {Yuuki Araga and Makoto Nagata and Joeri De Vos and Geert Van der Plas and Eric Beyne}, title = {A study on substrate noise coupling among TSVs in 3D chip stack}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180460}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180460}, doi = {10.1587/ELEX.15.20180460}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/AragaNVPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AriyoshiITSBA18, author = {Tetsuya Ariyoshi and Jumpei Iwasa and Yuta Takane and Kenji Sakamoto and Akiyoshi Baba and Yutaka Arima}, title = {Modulation transfer function analysis of silicon X-ray sensor with trench-structured photodiodes}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180177}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180177}, doi = {10.1587/ELEX.15.20180177}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AriyoshiITSBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AsadaNII18, author = {Kunihiro Asada and Toru Nakura and Tetsuya Iizuka and Makoto Ikeda}, title = {Time-domain approach for analog circuits in deep sub-micron {LSI}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20182001}, doi = {10.1587/ELEX.15.20182001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AsadaNII18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AsgariLL18, author = {Mohammadreza Asgari and Kye{-}Shin Lee and Yong{-}Min Lee}, title = {Touch sensor readout circuit with comparator threshold self-adjustment}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171065}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171065}, doi = {10.1587/ELEX.14.20171065}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AsgariLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/AuS18, author = {NgocDuc Au and Chulhun Seo}, title = {A design of passive negative shunt inductance circuit using negative group delay network}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180428}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180428}, doi = {10.1587/ELEX.15.20180428}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/AuS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaeSTS18, author = {Jongsuck Bae and Tomoyuki Sugawara and Taichi Tsunekawa and Hitomi Suzuki}, title = {An oscillator-based sensor using a capacitive metal mesh for sensitive detection of dielectric materials in the terahertz region}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180619}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180619}, doi = {10.1587/ELEX.15.20180619}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BaeSTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaekANHYL18, author = {Seungjun Baek and Hyunjin Ahn and Ilku Nam and Joonhoi Hur and Youngchang Yoon and Ockgoo Lee}, title = {A 5.5-GHz {CMOS} power amplifier using parallel-combined transistors with cascode adaptive biasing for {WLAN} applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180336}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180336}, doi = {10.1587/ELEX.15.20180336}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BaekANHYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaiSGL18, author = {Xia Bai and Ming Su and Zhaodong Gao and Yuanan Liu}, title = {Broadband dual-polarized omnidirectional antenna based on magnetic dipoles}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20171149}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171149}, doi = {10.1587/ELEX.15.20171149}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/BaiSGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BaiSGL18a, author = {Xia Bai and Ming Su and Zhaodong Gao and Yuanan Liu}, title = {Erratum: Broadband dual-polarized omnidirectional antenna based on magnetic dipoles {[IEICE} Electronics Express Vol. 15 {(2018)} No. 5 pp. 20171149]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20188002}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188002}, doi = {10.1587/ELEX.15.20188002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/BaiSGL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BangKLP18, author = {Duk{-}Jun Bang and Min{-}Kwan Kee and Hong{-}Yeol Lim and Gi{-}Ho Park}, title = {An adaptive cache replacement policy based on fine-grain reusability monitor}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171099}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171099}, doi = {10.1587/ELEX.14.20171099}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BangKLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/BasikoloIA18, author = {Thomas Basikolo and Koichi Ichige and Hiroyuki Arai}, title = {A note on {CRLB} formulation for underdetermined {DOA} estimation in circularly configured planar arrays}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180193}, doi = {10.1587/ELEX.15.20180193}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/BasikoloIA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiC18, author = {Zhikuang Cai and Chao Chen}, title = {A 0.6 {V} temperature-stable {CMOS} voltage reference circuit with sub-threshold voltage compensation technique}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180760}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180760}, doi = {10.1587/ELEX.15.20180760}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaiXXY18, author = {Zhikuang Cai and Haobo Xu and Jian Xiao and Jun Yang}, title = {An improved {BIJM} circuit based on undersampling technique}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180124}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180124}, doi = {10.1587/ELEX.15.20180124}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/CaiXXY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CaoCCQZ18, author = {Yufan Cao and Yang Cai and Wenquan Cao and Zuping Qian and Lei Zhu}, title = {Wideband microstrip patch antenna loaded with parasitic metal strips and coupling slots}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180671}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180671}, doi = {10.1587/ELEX.15.20180671}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/CaoCCQZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/CarrascoQAN18, author = {Jos{\'{e}} A. Carrasco and Francisco Garc{\'{\i}}a de Quir{\'{o}}s and Higinio Alav{\'{e}}s and Mois{\'{e}}s Naval{\'{o}}n}, title = {A {PWM} multiplier for maximum power point estimation of a solar panel}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180496}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180496}, doi = {10.1587/ELEX.15.20180496}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/CarrascoQAN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChaiLC18, author = {Yuan Chai and Lianming Li and Tiejun Cui}, title = {Design of a 60-GHz receiver front-end with broadband matching techniques in 65-nm {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {24}, pages = {20180935}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180935}, doi = {10.1587/ELEX.15.20180935}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChaiLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChangDXSLZ18, author = {Luxun Chang and Kaijie Ding and Zhiwei Xu and Chunyi Song and Jipeng Li and Dingkai Zou}, title = {A 2 GS/s 14-bit current-steering {DAC} in 65 nm {CMOS} technology for wireless transmitter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180509}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180509}, doi = {10.1587/ELEX.15.20180509}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChangDXSLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChangchunXJLP18, author = {Zhang Changchun and Wang Xinwen and Fang Junliang and Tang Lu and Sung Min Park}, title = {A {CMOS} high-performance inductorless ring {VCO} with extended monotonic tuning voltage range}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180941}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180941}, doi = {10.1587/ELEX.15.20180941}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChangchunXJLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenCCJLC18, author = {Tsair{-}Rong Chen and Chun{-}Ming Chen and Po{-}Hsuan Chen and Yu{-}Lin Juan and Yi{-}Lung Lee and Hui{-}Mei Chang}, title = {Coreless inductive power supply for ultrasonic transducer on machine tool}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180833}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180833}, doi = {10.1587/ELEX.15.20180833}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenCCJLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenGFZ18, author = {Gang Chen and Min Gong and Dongbing Fu and Junan Zhang}, title = {A high efficient {CTLE} for 12.5 Gbps receiver of {JESD204B} standard}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180617}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180617}, doi = {10.1587/ELEX.15.20180617}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenGFZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenJLZXM18, author = {Zhijian Chen and Menghan Jia and Jiahui Luo and Tao{-}Tao Zhu and Xiaoyan Xiang and Jianyi Meng}, title = {A low power {QRS} detection processor with adaptive scaling of processing resolution}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20170882}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20170882}, doi = {10.1587/ELEX.14.20170882}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenJLZXM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenLB18, author = {Yang Chen and Wenyuan Li and Yuanxin Bao}, title = {Electronic {UWB} tunable true-time delay line for timed array antennas}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171139}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171139}, doi = {10.1587/ELEX.15.20171139}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenLB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenQB18, author = {Changwei Chen and Kaiyu Qin and Tang Bo}, title = {One-step model extraction method for joint polynomial/interpolating lookup-table two-box nonlinear model of power amplifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180634}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180634}, doi = {10.1587/ELEX.15.20180634}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenQB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenSYW18, author = {Xu Chen and Feng Shi and Fei Yin and Xiaojun Wang}, title = {A novel look-ahead routing algorithm based on graph theory for triplet-based network-on-chip router}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180300}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180300}, doi = {10.1587/ELEX.15.20180300}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenSYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenSZY18, author = {Zhongjie Chen and Jin Sha and Chuan Zhang and Feng Yan}, title = {A low complexity {LDPC-BCH} concatenated decoder for {NAND} flash memory}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180103}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180103}, doi = {10.1587/ELEX.15.20180103}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenSZY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenXCZLWK18, author = {Dong Chen and Zhao Xing and Zhilin Chen and Chenxi Zhao and Huihua Liu and Yunqiu Wu and Kai Kang}, title = {A package-level wideband driver amplifier with 134{\%} fractional bandwidth}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180179}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180179}, doi = {10.1587/ELEX.15.20180179}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenXCZLWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenXQTX18, author = {Yang Chen and Yuehang Xu and Jinhai Quan and Wei Tong and Ruimin Xu}, title = {A broadband GaAs high power millimeter wave amplifier with high gain and flatness}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180229}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180229}, doi = {10.1587/ELEX.15.20180229}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenXQTX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenYZKZ18, author = {Yan Chen and Zhiqiang You and Yingjie Zhang and Jishun Kuang and Jing Zhang}, title = {A novel memristor-based restricted Boltzmann machine for contrastive divergence}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171062}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171062}, doi = {10.1587/ELEX.15.20171062}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenYZKZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenYZWL18, author = {Feng Chen and Jun Yuan and Chaojun Zheng and Canbo Wang and Zhan Li}, title = {A highly-integrated and efficient commercial distributed {EV} battery balancing system}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180005}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180005}, doi = {10.1587/ELEX.15.20180005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenYZWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenZH18, author = {Guohong Chen and Shengjun Zhou and Hao Huang}, title = {An integrated moisture and temperature sensor with model based temperature-dependent nonlinearity compensation}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180200}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180200}, doi = {10.1587/ELEX.15.20180200}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenZJ18, author = {Yan Chen and Yong Zheng and Li Jiang}, title = {Nonlinear dynamic behavior of high-frequency isolation quasi-Z-source photovoltaic inverter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180839}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180839}, doi = {10.1587/ELEX.15.20180839}, timestamp = {Thu, 15 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChenZXWBLLK18, author = {Dong Chen and Chenxi Zhao and Yitong Xiong and Yunqiu Wu and Yong{-}Ling Ban and Ying Liu and Huihua Liu and Kai Kang}, title = {An asynchronous dual switch envelope tracking supply modulator with 86{\%} efficiency}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180206}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180206}, doi = {10.1587/ELEX.15.20180206}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChenZXWBLLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoKKA18, author = {Kang{-}Il Cho and Yong{-}Sik Kwak and Ho{-}Jin Kim and Gil{-}Cho Ahn}, title = {A 101 dB dynamic range, 2 kHz bandwidth delta-sigma modulator with a modified feed-forward architecture}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180848}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180848}, doi = {10.1587/ELEX.15.20180848}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoKKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoM18, author = {Jeong Cho and Young{-}Jae Min}, title = {An all-digital duty-cycle and phase-skew correction circuit for {QDR} DRAMs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180331}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180331}, doi = {10.1587/ELEX.15.20180331}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiKKCPL18, author = {Younchang Choi and Jinseong Kim and Jaehak Kim and Yongwha Chung and Daihee Park and Sungju Lee}, title = {{CPU-GPU} heterogeneous implementations of depth-based foreground detection}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20170950}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20170950}, doi = {10.1587/ELEX.15.20170950}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiKKCPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Dai-guoPSZKYJJ18, author = {Daiguo Xu and Pu{-}Jie and Shiliu Xu and Zhengping Zhang and Chen Kai{-}rang and Cheng Yi{-}yi and Zhang Jun{-}an and Wang Jian{-}an}, title = {A 10-bit 1.2 GS/s 45 mW time-interleaved {SAR} {ADC} with background calibration}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171235}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171235}, doi = {10.1587/ELEX.15.20171235}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Dai-guoPSZKYJJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DaiGZZW18, author = {Yunzhong Dai and Zichao Guan and Rongfei Zhang and Shengxian Zhuang and Yan Wang}, title = {Research on common-mode leakage current for a novel non-isolated dual-buck photovoltaic grid-connected inverter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180400}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180400}, doi = {10.1587/ELEX.15.20180400}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DaiGZZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DangTWO18, author = {Tao Dang and Yin Tian and Guangming Wang and Jun Ouyang}, title = {Switch antenna array capable of high gain coverage in half space}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180712}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180712}, doi = {10.1587/ELEX.15.20180712}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DangTWO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DengYG18, author = {Chun Deng and Hong{-}Qiang Yang and Min Gong}, title = {30-43 GHz cascode sub-harmonic mixer in 0.13-{\(\mathrm{\mu}\)}m {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180793}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180793}, doi = {10.1587/ELEX.15.20180793}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DengYG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DingYZTLT18, author = {Bowen Ding and Shengyue Yuan and Chen Zhao and Li Tao and Xiaoyun Li and Tong Tian}, title = {A Ka band {CMOS} {LO} distribution buffer using transformer-based three-way power divider}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180198}, doi = {10.1587/ELEX.15.20180198}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DingYZTLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DingYZTLT18a, author = {Bowen Ding and Shengyue Yuan and Chen Zhao and Li Tao and Xiaoyun Li and Tong Tian}, title = {A Ka band {CMOS} differential {LNA} with 25 dB gain using neutralized bootstrapped cascode amplifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180230}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180230}, doi = {10.1587/ELEX.15.20180230}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DingYZTLT18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuHLL18, author = {Yongqian Du and Wei Hu and Gui{-}fang Li and Shibin Liu}, title = {Low power hybrid PG{\_}Filter-AGC analog baseband for wireless receivers}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171197}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171197}, doi = {10.1587/ELEX.15.20171197}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/DuWWLXL18, author = {Pei Du and Xiang Wang and Weike Wang and Lin Li and Tongsheng Xia and Hongge Li}, title = {Hardware-assisted integrity monitor based on lightweight hash function}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180107}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180107}, doi = {10.1587/ELEX.15.20180107}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/DuWWLXL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/EbuchiTWTI18, author = {Tsuyoshi Ebuchi and Taku Toshikawa and Seiji Watanabe and Yutaka Terada and Toru Iwata}, title = {An ultra-wide range {(0.01-240} Gbps) transmitter with latched AC-coupled driver and dummy data transient generator}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171151}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171151}, doi = {10.1587/ELEX.15.20171151}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/EbuchiTWTI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FanLLZL18, author = {Lingyan Fan and Yongping Long and Jianjun Luo and Liangliang Zhu and Hailuan Liu}, title = {A true random number generator based on meta-stable state}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171122}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171122}, doi = {10.1587/ELEX.14.20171122}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/FanLLZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FangDLZZJ18, author = {Yong Fang and Shuai Ding and Jianlong Liu and Xiaoling Zhong and Xiaoyun Zhao and Haiyan Jin}, title = {Graphene frequency tripler design using reflector networks}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171190}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171190}, doi = {10.1587/ELEX.15.20171190}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FangDLZZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FujiedaI18, author = {Naoki Fujieda and Shuichi Ichikawa}, title = {A latch-latch composition of metastability-based true random number generator for Xilinx FPGAs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180386}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180386}, doi = {10.1587/ELEX.15.20180386}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/FujiedaI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/FukunagaF18, author = {Shuhei Fukunaga and Tsuyoshi Funaki}, title = {An experimental study on estimating dynamic junction temperature of SiC {MOSFET}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180251}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180251}, doi = {10.1587/ELEX.15.20180251}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/FukunagaF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GaoF18, author = {Fei Gao and Yongjian Feng}, title = {Digital non-interleaved high-power totem pole {PFC} based on double integral sliding mode}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180114}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180114}, doi = {10.1587/ELEX.15.20180114}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GaoF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GaoYXLCX18, author = {Zixin Gao and Chen Yang and Yizhuang Xie and Bingyi Li and He Chen and Yu Xie}, title = {Design and implementation of a multi-channel space-borne {SAR} imaging system on Vivado {HLS}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180254}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180254}, doi = {10.1587/ELEX.15.20180254}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GaoYXLCX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/GuoZW18, author = {Yu Guo and Jinghui Zhang and Haodong Wu}, title = {A compact wideband {SIW-DGS} filter with two independently controllable transmission zeros and sharp attenuation slopes}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180598}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180598}, doi = {10.1587/ELEX.15.20180598}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/GuoZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanL18, author = {Youil Han and Eunji Lee}, title = {{CRAST:} Crash-resilient data management for a key-value store in persistent memory}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180919}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180919}, doi = {10.1587/ELEX.15.20180919}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HanZGC18, author = {Chong Han and Jiajian Zhang and Chen Guo and Lijun Cao}, title = {Cross phase modulation model based on Volterra series transfer function in hybrid coherent {QPSK/OOK} systems}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180453}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180453}, doi = {10.1587/ELEX.15.20180453}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HanZGC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HashiguchiBA18, author = {Hiroshi Hashiguchi and Toshihiko Baba and Hiroyuki Arai}, title = {Plane wave excitation by taper array for optical leaky waveguide antenna}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171153}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171153}, doi = {10.1587/ELEX.14.20171153}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HashiguchiBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeLGX18, author = {Xi He and Jin Li and Cheng Guo and Jun Xu}, title = {An X-band bandpass {WR-90} filtering antenna with offset resonators}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20170391}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20170391}, doi = {10.1587/ELEX.14.20170391}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeLGX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HeMCXC18, author = {Yan He and Jianyi Meng and Zhijian Chen and Xiaoyan Xiang and Chen Chen}, title = {An effectiveness-oriented greedy heuristic for padding short paths in ultra-low supply voltage designs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171229}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171229}, doi = {10.1587/ELEX.15.20171229}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HeMCXC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Herrera-MorenoG18, author = {Alfonso Herrera{-}Moreno and Jose Luis Garcia{-}Gervacio and H{\'{e}}ctor Villacorta{-}Minaya and H{\'{e}}ctor V{\'{a}}zquez{-}Leal}, title = {{TCAD} analysis and modeling for {NBTI} mechanism in FinFET transistors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180502}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180502}, doi = {10.1587/ELEX.15.20180502}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Herrera-MorenoG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HoangLP18, author = {Trong{-}Thuc Hoang and Duc{-}Hung Le and Cong{-}Kha Pham}, title = {Minimum adder-delay architecture of 8/16/32-point {DCT} based on fixed-rotation adaptive {CORDIC}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180302}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180302}, doi = {10.1587/ELEX.15.20180302}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HoangLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuDZLZ18, author = {Zhiyuan Hu and Lihua Dai and Zhengxuan Zhang and Xiaoyun Li and Shichang Zou}, title = {Total dose radiation induced changes of the floating body effects in the partially depleted {SOI} {NMOS} with ultrathin gate oxide}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171236}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171236}, doi = {10.1587/ELEX.15.20171236}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuDZLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuLYWL18, author = {Chengyu Hu and Peng Lu and Meng Yang and Jian Wang and Jinmei Lai}, title = {A SA-based parallel method for {FPGA} placement}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {24}, pages = {20180943}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180943}, doi = {10.1587/ELEX.15.20180943}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuLYWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuW18, author = {Liang Hu and Xueye Wei}, title = {Improved Watkins-Johnson topology-based inverter with dual low-side switch and synchronous control strategy}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180091}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180091}, doi = {10.1587/ELEX.15.20180091}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuWMZ18, author = {Liang Hu and Xueye Wei and Jianguang Ma and Junhong Zhang}, title = {Single stage high-frequency non-isolated step-up sinusoidal inverter with three ground-side power switches}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180335}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180335}, doi = {10.1587/ELEX.15.20180335}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuWMZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuXZSDLL18, author = {Jinlong Hu and Huachao Xu and Yuanzhi Zhang and Jie Sun and Tao Du and Chao Lu and Guofeng Li}, title = {A 1.2 {V} supply 0.58 ppm/{\textdegree}C {CMOS} bandgap voltage reference}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {16}, pages = {20180521}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180521}, doi = {10.1587/ELEX.15.20180521}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HuXZSDLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuYHWZCG18, author = {Shanwen Hu and Shu Yu and Yunqing Hu and Zixuan Wang and Bo Zhou and Zhikuang Cai and Yufeng Guo}, title = {A 0.2-6 GHz linearized Darlington-cascode broadband power amplifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180298}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180298}, doi = {10.1587/ELEX.15.20180298}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuYHWZCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangKLL18, author = {Ji Wei Huang and Tao Kou and Yuan Li and Fanyang Li}, title = {A {ECG} offset cancelling readout circuit using a current mode feedback loop technique}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20170891}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20170891}, doi = {10.1587/ELEX.14.20170891}, timestamp = {Mon, 22 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangLZL18, author = {Shuigen Huang and Min Lin and Zongkun Zhou and Xiaoyun Li}, title = {An ultra-low-power 2.4 GHz {RF} receiver in {CMOS} 55 nm process}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180016}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180016}, doi = {10.1587/ELEX.15.20180016}, timestamp = {Fri, 29 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangLZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangLZL18a, author = {Shuigen Huang and Min Lin and Zongkun Zhou and Xiaoyun Li}, title = {A novel {AGC} scheme in a wideband receiver}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180068}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180068}, doi = {10.1587/ELEX.15.20180068}, timestamp = {Fri, 29 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangLZL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangSQWZ18, author = {You Huang and Junzhong Shen and Yuran Qiao and Mei Wen and Chunyuan Zhang}, title = {{MALMM:} {A} multi-array architecture for large-scale matrix multiplication on {FPGA}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180286}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180286}, doi = {10.1587/ELEX.15.20180286}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangSQWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangTCX18, author = {Xiao Huang and Yuegang Tan and Li Cai and Ping Xia}, title = {Influence of the incident angle of strain wave on the sensing sensitivity of fiber Bragg grating}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171255}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171255}, doi = {10.1587/ELEX.15.20171255}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangTCX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangWZY18, author = {Kai Huang and Ke Wang and Xiaoxu Zhang and Xiaolang Yan}, title = {Curve fitting based shared cache partitioning scheme for energy saving}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180886}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180886}, doi = {10.1587/ELEX.15.20180886}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangWZY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangY18, author = {Qinjin Huang and Fengqi Yu}, title = {A 10-bit 0.41-mW 3-MSps {R-I} {DAC} with full-swing output voltage}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180377}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180377}, doi = {10.1587/ELEX.15.20180377}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/HuangYLWGR18, author = {Hai Huang and Bin Yu and Zhiwei Liu and Rui Weng and Junfeng Gao and Mingyuan Ren}, title = {{DPA} countermeasures for reconfigurable crypto processor using non-deterministic execution}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {24}, pages = {20180987}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180987}, doi = {10.1587/ELEX.15.20180987}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/HuangYLWGR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IbrahimEG18, author = {Atef Ibrahim and Hamed Elsimary and Fayez Gebali}, title = {New systolic array architecture for finite field division}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180255}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180255}, doi = {10.1587/ELEX.15.20180255}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IbrahimEG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IbuchiMF18, author = {Takaaki Ibuchi and Eisuke Masuda and Tsuyoshi Funaki}, title = {Visualization of noise current propagation in a power module with scanning time-synchronized near magnetic field measurement}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180669}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180669}, doi = {10.1587/ELEX.15.20180669}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IbuchiMF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IdrisJH18, author = {Ahmad Syahrin Idris and Haisong Jiang and Kiichi Hamamoto}, title = {Multi-layer stacking scheme of sol-gel based SiO\({}_{\mbox{2}}\) towards thicker ({\textgreater}0.8 {\(\mathrm{\mu}\)}m) cladding layers for optical waveguides}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180783}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180783}, doi = {10.1587/ELEX.15.20180783}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/IdrisJH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/IguchiTYH18, author = {Akito Iguchi and Yasuhide Tsuji and Takashi Yasui and Koichi Hirayama}, title = {Topology optimal design for optical waveguides using time domain beam propagation method}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180417}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180417}, doi = {10.1587/ELEX.15.20180417}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/IguchiTYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/InoueHP18, author = {Katsumi Inoue and Trong{-}Thuc Hoang and Cong{-}Kha Pham}, title = {Frequent items counter based on binary decoders}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180808}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180808}, doi = {10.1587/ELEX.15.20180808}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/InoueHP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeonKKKKC18, author = {Su{-}Jin Jeon and Jihoon Kim and Eudum Kim and Sun{-}Ho Kim and Do{-}Hyun Kim and Young{-}Wan Choi}, title = {Significantly enhanced sensor signal detection method using harmonic frequency in lock-in amplifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180657}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180657}, doi = {10.1587/ELEX.15.20180657}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/JeonKKKKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiGX18, author = {Rui Ji and Jinchun Gao and Gang Xie}, title = {Modeling and analysis of the effects of electrical contact degradation on high-speed signal transmission}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180243}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180243}, doi = {10.1587/ELEX.15.20180243}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/JiGX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangLCS18, author = {Linli Jiang and Chunlan Lu and Wenquan Cao and Ligong Sun}, title = {A broadband CPW-fed dual circularly polarized slot antenna with modified L-shaped monopoles}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {16}, pages = {20180645}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180645}, doi = {10.1587/ELEX.15.20180645}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangLCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangLLZWK18, author = {Zhengdong Jiang and Zhiqing Liu and Huihua Liu and Chenxi Zhao and Yunqiu Wu and Kai Kang}, title = {A 24 GHz enhanced neutralized cascode {LNA} with 4.7 dB {NF} and 19.8 dB gain}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180464}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180464}, doi = {10.1587/ELEX.15.20180464}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangLLZWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangLTZ18, author = {Yuan Jiang and Xian Qi Lin and Cong Tang and Tiedi Zhang}, title = {Frequency reconfigurable filtering power divider with a single varactor}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180022}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180022}, doi = {10.1587/ELEX.15.20180022}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangLTZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiangZZLYLWK18, author = {Zhengdong Jiang and Chenxi Zhao and Xiaoning Zhang and Weiqiang Lu and Yiming Yu and Huihua Liu and Yunqiu Wu and Kai Kang}, title = {A {CMOS} Ku-band receiver chain for phased array system}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180888}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180888}, doi = {10.1587/ELEX.15.20180888}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiangZZLYLWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiaoHF18, author = {Jiajia Jiao and Dezhi Han and Yuzhuo Fu}, title = {A virtual filter based fast assessment methodology for fault tolerant NoCs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180655}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180655}, doi = {10.1587/ELEX.15.20180655}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiaoHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JiaoWH18, author = {Jiajia Jiao and JiaBin Wang and Dezhi Han}, title = {A place-aware redundancy methodology for multi-cell upsets mitigation in NoC}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180777}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180777}, doi = {10.1587/ELEX.15.20180777}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JiaoWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Jing18, author = {Jianli Jing}, title = {A torque ripple suppression technique for brushless {DC} motor based on {PFC} buck converter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180145}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180145}, doi = {10.1587/ELEX.15.20180145}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Jing18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangSKCC18, author = {Jong{-}Ik Kang and Hyuk{-}Kee Sung and Hyungtak Kim and Eugene Chong and Ho{-}Young Cha}, title = {Diode quenching for Geiger mode avalanche photodiode}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180062}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180062}, doi = {10.1587/ELEX.15.20180062}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangSKCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KawataWK18, author = {Ryo Kawata and Tatsuhiko Watanabe and Yasuo Kokubun}, title = {Full-set high-speed mode analysis in few-mode fibers by polarization-split segmented coherent detection method: Proposal and simulation of calculation error}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171132}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171132}, doi = {10.1587/ELEX.14.20171132}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KawataWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimB18, author = {Jongsun Kim and B. H. Bae}, title = {An anti-harmonic {MDLL} for phase-aligned on-chip clock multiplication}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180042}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180042}, doi = {10.1587/ELEX.15.20180042}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimC18, author = {Ki{-}Chai Kim and Young{-}Ki Cho}, title = {Resonance transmission of small narrow slots loaded with two parallel wires in a conducting screen}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20180015}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180015}, doi = {10.1587/ELEX.15.20180015}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimCS18, author = {Youngil Kim and Seungdo Choi and Yong Ho Song}, title = {Design of memory efficient FIFO-based merge sorter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20171272}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171272}, doi = {10.1587/ELEX.15.20171272}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimH18, author = {Jongsun Kim and S. W. Han}, title = {A low-power fast-lock {DCC} with a digital duty-cycle adjuster for {LPDDR3} and {LPDDR4} DRAMs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180156}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180156}, doi = {10.1587/ELEX.15.20180156}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimHYC18, author = {Byung{-}Su Kim and Tae Hee Han and Joon{-}Sung Yang and Jaeyong Chung}, title = {New library development method by {FSM} based cell pattern extraction}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20171226}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171226}, doi = {10.1587/ELEX.15.20171226}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimHYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimK18, author = {Kee{-}Won Kim and Seung{-}Hoon Kim}, title = {Efficient bit-parallel systolic architecture for multiplication and squaring over \emph{GF}(2\emph{\({}^{\mbox{m}}\)})}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171195}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171195}, doi = {10.1587/ELEX.14.20171195}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimL18, author = {Seung{-}Ryeol Kim and Seung{-}Woo Lee}, title = {Auto-brightness control technology depending on user's pupil area}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20171239}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171239}, doi = {10.1587/ELEX.15.20171239}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimPK18, author = {Jinhyun Kim and Jeongsoo Park and Jeong{-}Geun Kim}, title = {A low phase noise multi-band {LC} {VCO} using a switched differential inductor}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180155}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180155}, doi = {10.1587/ELEX.15.20180155}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KimPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KitaharaSAH18, author = {Daisuke Kitahara and Ryosuke Suga and Kiyomichi Araki and Osamu Hashimoto}, title = {A cross polarization suppression of circular patch array absorber with perturbation elements}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171071}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171071}, doi = {10.1587/ELEX.14.20171071}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KitaharaSAH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KongDXDY18, author = {Wa Kong and Da{-}Wei Ding and Jing Xia and Xiao{-}Dong Ding and Lixia Yang}, title = {Optimization design of fragment-type microstrip filter using boundary-based filtering operator}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180499}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180499}, doi = {10.1587/ELEX.15.20180499}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/KongDXDY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KongYLYQ18, author = {Debin Kong and Jia Yuan and Shan{-}Shan Li and Heng You and Shushan Qiao}, title = {A robust, subthreshold 12T {SRAM} bitcell with {BL} leakage compensation and bit-interleaving capability}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180758}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180758}, doi = {10.1587/ELEX.15.20180758}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KongYLYQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KuangLLZW18, author = {Jiwei Kuang and Bin Luo and Shengbin Liu and Chenming Zhong and Yiqiang Wu}, title = {Appropriate compensation method for ensuring stable output voltage and maximum transfer efficiency for wireless power transfer}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180727}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180727}, doi = {10.1587/ELEX.15.20180727}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KuangLLZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KuboMSFTSHTY18, author = {Ryogo Kubo and Marino Matsunaga and Takahiro Shobudani and Takuto Fujimoto and Hiroyuki Tsuda and Makoto Sudo and Tadashi Hajikano and Yasunori Tomomatsu and Katsumi Yoshizawa}, title = {Demonstration of 10-Gbit/s transmission over {G.652} fiber for T-band optical access systems using quantum-dot semiconductor devices}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180810}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180810}, doi = {10.1587/ELEX.15.20180810}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KuboMSFTSHTY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeIHH18, author = {Kyoung{-}Min Lee and Yunhyeok Im and Jun Ho Huh and Tae Hee Han}, title = {Adaptive thermal property control technique for holistic thermal management of mobile devices}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180187}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180187}, doi = {10.1587/ELEX.15.20180187}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeIHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeJ18, author = {Sangkwon Lee and Jinseong Jeong}, title = {A 25-mV input boost converter with 91{\%} {MPPT} efficiency for energy harvesting application}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180877}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180877}, doi = {10.1587/ELEX.15.20180877}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeK18, author = {Changwoo Lee and Oh{-}Kyong Kwon}, title = {A highly accurate solenoid valve driver with current sensing circuits for brake systems}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171029}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171029}, doi = {10.1587/ELEX.15.20171029}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeKCH18, author = {In{-}Gon Lee and Nam Kim and In{-}Kui Cho and Ic{-}Pyo Hong}, title = {Design of a Mu-Near-Zero metamaterial for reducing the backward magnetic flux leakage of a wireless power transfer system}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180641}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180641}, doi = {10.1587/ELEX.15.20180641}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeKCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeYZWY18, author = {ChengKuei Lee and Sen Yin and Jinyu Zhang and Yan Wang and Zhiping Yu}, title = {Study on scalability of hybrid junctionless FinFET and multi-stacked nanowire {FET} by {TCAD} simulation}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180884}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180884}, doi = {10.1587/ELEX.15.20180884}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeYZWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiGLZ18, author = {Zerun Li and Yang Guo and Sheng Liu and Junyang Zhang}, title = {A task-based multi-core allocation mechanism for packet acceleration}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180414}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180414}, doi = {10.1587/ELEX.15.20180414}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiGLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiGXJ18, author = {Qingya Li and Jinchun Gao and Gang Xie and Rui Ji}, title = {A novel miniaturized-element frequency selective surface with a second-order bandpass response}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171257}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171257}, doi = {10.1587/ELEX.15.20171257}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiGXJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiKC18, author = {Fanyang Li and Tao Kou and Shuying Cheng}, title = {An accuracy improved hearing aid readout circuit using a gain-enhanced and OTA-free pseudo-PLL feedback technique}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {16}, pages = {20180285}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180285}, doi = {10.1587/ELEX.15.20180285}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLZZWA18, author = {Shaojun Li and HongLiang Lv and Yimen Zhang and Yuming Zhang and Yue Wu and Muhammad Asif}, title = {A high linearity, 8-GSa/s track-and-hold amplifier in GaAs {HBT} technology}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180946}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180946}, doi = {10.1587/ELEX.15.20180946}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLZZWA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiLZZZA18, author = {Shaojun Li and HongLiang Lv and Yimen Zhang and Yuming Zhang and Yansong Zhang and Muhammad Asif}, title = {A broadband high efficiency monolithic power amplifier with GaAs {HBT}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180245}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180245}, doi = {10.1587/ELEX.15.20180245}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiLZZZA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiTLLHSZC18, author = {Ruiya Li and Yuegang Tan and Tianliang Li and Hu Liu and Bing Huang and Han Song and Zude Zhou and Li Cai}, title = {A temperature-insensitive {FBG} displacement sensor with a 10-nanometer-grade resolution}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180694}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180694}, doi = {10.1587/ELEX.15.20180694}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiTLLHSZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWXH18, author = {Qianhui Li and Qi Wang and Qikang Xu and Zongliang Huo}, title = {A fast read retry method for 3D {NAND} flash memories using novel valley search algorithm}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180921}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180921}, doi = {10.1587/ELEX.15.20180921}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWXH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiWZ18, author = {Gang Li and Pengjun Wang and Huihong Zhang}, title = {High performance bistable weak physical unclonable function for IoT security}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180879}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180879}, doi = {10.1587/ELEX.15.20180879}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiXZCYCYN18, author = {Xinchang Li and Dawei Xu and Hongyue Zhu and Zhuojun Chen and Zhiqiang Yang and Xinhong Cheng and Yuehui Yu and Wai Tung Ng}, title = {A high voltage multiplexer with rail to rail output swing for battery management system applications {[IEICE} Electronics Express Vol. 14(2017) No. 1 pp. 20161144]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20188006}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188006}, doi = {10.1587/ELEX.15.20188006}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiXZCYCYN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiYLLZ18, author = {Tiehu Li and Yintang Yang and Liang Li and Jia Liu and Junan Zhang}, title = {Effectiveness of the layout approach in mitigating single event transients in 65-nm bulk {CMOS} process}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180540}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180540}, doi = {10.1587/ELEX.15.20180540}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiYLLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZC18, author = {Bao Li and Long Zhao and Yuhua Cheng}, title = {A 4 GS/s 6-bit 4-2 segmented current-steering {DAC} with compact current cells}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {16}, pages = {20180660}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180660}, doi = {10.1587/ELEX.15.20180660}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiZZSY18, author = {Chao Li and Fushun Zhang and Fan Zhang and Fukun Sun and Kaiwen Yang}, title = {A dual-band circularly polarized antenna with wide HPBWs for {CNSS} applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180409}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180409}, doi = {10.1587/ELEX.15.20180409}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiZZSY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiangLCX18, author = {Jie Liang and Yongkun Li and Hao Chen and Yinlong Xu}, title = {Boosting performance of {SSD} with chip-level {RAID} by deferring garbage collection}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180407}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180407}, doi = {10.1587/ELEX.15.20180407}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiangLCX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiangLW18, author = {Chengtuo Liang and Liping Liang and Zhijun Wang}, title = {A fully integrated digital {LDO} with voltage peak detecting and push-pull feedback loop control}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180611}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180611}, doi = {10.1587/ELEX.15.20180611}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiangLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinXLXJRZZZ18, author = {Dianpeng Lin and Yiran Xu and Xiaoyun Li and Xin Xie and Jianwei Jiang and Jiangchuan Ren and Huilong Zhu and Zhengxuan Zhang and Shichang Zou}, title = {A novel {SEU} tolerant memory cell for space applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180656}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180656}, doi = {10.1587/ELEX.15.20180656}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinXLXJRZZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinXLXJRZZZ18a, author = {Dianpeng Lin and Yiran Xu and Xiaoyun Li and Xin Xie and Jianwei Jiang and Jiangchuan Ren and Huilong Zhu and Zhengxuan Zhang and Shichang Zou}, title = {A novel self-recoverable and triple nodes upset resilience {DICE} latch}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180753}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180753}, doi = {10.1587/ELEX.15.20180753}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinXLXJRZZZ18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LinXLZDZLXJZZZ18, author = {Dianpeng Lin and Yiran Xu and Xiaonian Liu and Wenyi Zhu and Lihua Dai and Mengying Zhang and Xiaoyun Li and Xin Xie and Jianwei Jiang and Huilong Zhu and Zhengxuan Zhang and Shichang Zou}, title = {A novel highly reliable and low-power radiation hardened {SRAM} bit-cell design}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171129}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171129}, doi = {10.1587/ELEX.15.20171129}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LinXLZDZLXJZZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuAL18, author = {Xinyu Liu and Muhammad Amin and Jiajun Liang}, title = {Wideband {MIMO} antenna with enhanced isolation for wireless communication application}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180948}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180948}, doi = {10.1587/ELEX.15.20180948}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuCZCG18, author = {Guohua Liu and Zhiqun Cheng and Ming Zhang and Shichang Chen and Steven Gao}, title = {Bandwidth enhancement of three-device Doherty power amplifier based on symmetric devices}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171222}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171222}, doi = {10.1587/ELEX.15.20171222}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuCZCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuDCLZWK18, author = {Zhiqing Liu and Jiayu Dong and Zhilin Chen and Huihua Liu and Chenxi Zhao and Yunqiu Wu and Kai Kang}, title = {A 39 GHz broadband high-isolation {CMOS} mixer using magnetic-coupling {CG} Gm stage for 5G applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180726}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180726}, doi = {10.1587/ELEX.15.20180726}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuDCLZWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuHC18, author = {Chang Liu and Xiangdong Huang and Qian{-}Fu Cheng}, title = {High-efficiency class E/F\({}_{\mbox{3}}\) power amplifiers with extended maximum operating frequency}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180503}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180503}, doi = {10.1587/ELEX.15.20180503}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuHW18, author = {Changjian Liu and Wuhuang Huang and Houjun Wang}, title = {Wideband spectrum sensing based on serial multi-coset sampling for cognitive radio}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180457}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180457}, doi = {10.1587/ELEX.15.20180457}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuLFZX18, author = {Jiangfan Liu and Hao Lv and Yun Fang and Yu{-}Chen Zhao and Xiaoli Xi}, title = {A {D-H} scheme stochastic {FDTD} method and its {SC-PML} implementation}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180606}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180606}, doi = {10.1587/ELEX.15.20180606}, timestamp = {Wed, 21 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuLFZX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuLL18, author = {Tieqiao Liu and Peng Liu and Yi Liu}, title = {An efficient controlled {LFSR} hybrid {BIST} scheme}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180144}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180144}, doi = {10.1587/ELEX.15.20180144}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuLLLLLW18, author = {Jingfeng Liu and Quan Li and Xin Liu and Zhiqiang Li and Yu Liu and Zhiting Lin and Xiulong Wu}, title = {Picowatt 0.5 {V} supply with 3 ppm/{\textdegree}C {CMOS} voltage reference for energy harvesting system}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180372}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180372}, doi = {10.1587/ELEX.15.20180372}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuLLLLLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuPLWCZLCZH18, author = {Changyong Liu and Chunyu Peng and Zhiting Lin and Xiulong Wu and Ziyang Chen and Qiang Zhao and Xuan Li and Junning Chen and Xuan Zeng and Xiangdong Hu}, title = {A dual-output hardening design of inverter chain for P-hit single-event transient pulse elimination}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180604}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180604}, doi = {10.1587/ELEX.15.20180604}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuPLWCZLCZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuSR18, author = {Yuntao Liu and Xin Sui and Mingyuan Ren}, title = {A current-mode {\(\Sigma\)}{\(\Delta\)} {AD} based integrated potentiostat}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180876}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180876}, doi = {10.1587/ELEX.15.20180876}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuWLLL18, author = {Guiqing Liu and Yinan Wang and Xiangyu Liu and Husheng Liu and Nan Li}, title = {Efficient real-time blind calibration for frequency response mismatches in two-channel TI-ADCs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180358}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180358}, doi = {10.1587/ELEX.15.20180358}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuWLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuXF18, author = {Yuntao Liu and Yihan Xiao and Qiang Fu}, title = {A low power consumption inverter-based {\(\Sigma\)}{\(\Delta\)} interface for capacitive accelerometer}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171152}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171152}, doi = {10.1587/ELEX.14.20171152}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuXF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuYWZ18, author = {Zhen Liu and Tianchun Ye and Bin Wu and Xiao Ge Zhu}, title = {An improved implementation of MAX\({}^{\mbox{*}}\) operation for Turbo decoder}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171145}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171145}, doi = {10.1587/ELEX.14.20171145}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuYWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZ18, author = {Xu Liu and Yingxiao Zhao}, title = {A novel oversampling scheme for design of hybrid filter bank based ADCs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180007}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180007}, doi = {10.1587/ELEX.15.20180007}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZC18, author = {Guohua Liu and Zhiwei Zhang and Zhiqun Cheng}, title = {Broadband high-efficiency Doherty power amplifier based on novel phase and impedance transform structure}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180747}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180747}, doi = {10.1587/ELEX.15.20180747}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZLGG18, author = {Yang Liu and Zhangming Zhu and Xiaoxian Liu and Huaxi Gu and Lixin Guo}, title = {Temperature-dependent characterizations on parasitic capacitance of tapered through silicon via {(T-TSV)}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {24}, pages = {20180878}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180878}, doi = {10.1587/ELEX.15.20180878}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZLGG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZPC18, author = {Hui Liu and Li{-}Jun Zhang and Ya{-}Tao Peng and Xian{-}Hong Chen}, title = {A novel automatic attenuator with ultra-fast response time}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171204}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171204}, doi = {10.1587/ELEX.15.20171204}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LiuZW18, author = {Yan Liu and Lulu Zhang and Beibei Wang}, title = {A low power accelerometer system with hybrid signal output}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171091}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171091}, doi = {10.1587/ELEX.15.20171091}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LiuZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LvCYFLY18, author = {Risheng Lv and Weiping Chen and Liang Yin and Qiang Fu and Xiaowei Liu and Jingmin Yan}, title = {A closed-loop {\(\Sigma\)}{\(\Delta\)} modulator for micromechanical capacitive sensors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171112}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171112}, doi = {10.1587/ELEX.15.20171112}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LvCYFLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MaWWS18, author = {Hui Ma and Wei Wei and Liangkai Wang and Zeyu Shi}, title = {Research on SMC-predictive {DPC} strategy for Vienna rectifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180600}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180600}, doi = {10.1587/ELEX.15.20180600}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MaWWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MartinME18, author = {Honorio Mart{\'{\i}}n and Enrique San Mill{\'{a}}n and Luis Entrena{-}Arrontes}, title = {Dynamic control of entropy and power consumption in TRNGs for IoT applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171157}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171157}, doi = {10.1587/ELEX.14.20171157}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MartinME18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatsukawaKNKNIK18, author = {Go Matsukawa and Taisuke Kodama and Yuri Nishizumi and Koichi Kajihara and Chikako Nakanishi and Shintaro Izumi and Hiroshi Kawaguchi and Toshio Goto and Takeo Kato and Masahiko Yoshimoto}, title = {A low power, {VLSI} object recognition processor using Sparse {FIND} feature for 60 fps {HDTV} resolution video {[IEICE} Electronics Express Vol. 14(2017) No. 15 pp. 20170668]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20188003}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188003}, doi = {10.1587/ELEX.15.20188003}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MatsukawaKNKNIK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MatsuzawaM18, author = {Akira Matsuzawa and Masaya Miyahara}, title = {SAR+{\(\Delta\)}{\(\Sigma\)} ADCs with open-loop integrator using dynamic amplifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20182002}, doi = {10.1587/ELEX.15.20182002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/MatsuzawaM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MengYLW18, author = {Hongyu Meng and Lei Yang and Zijun Liu and Donglin Wang}, title = {A high-throughput network on-chip in full-mesh architecture}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180635}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180635}, doi = {10.1587/ELEX.15.20180635}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MengYLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/MurakamiKKMY18, author = {Toshinori Murakami and Osanori Koyama and Akihiro Kusama and Makoto Matsui and Makoto Yamada}, title = {Loss peak adjustment of long period fiber grating fabricated with CO\({}_{\mbox{2}}\) laser by applying tension}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180844}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180844}, doi = {10.1587/ELEX.15.20180844}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/MurakamiKKMY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NakamuraASTOYMS18, author = {Fumi Nakamura and Hideaki Asakura and Keijiro Suzuki and Ken Tanizawa and Minoru Ohtsuka and Nobuyuki Yokoyama and Kazuyuki Matsumaro and Miyoshi Seki and Keiji Koshino and Kazuhiro Ikeda and Shu Namiki and Hitoshi Kawashima and Hiroyuki Tsuda}, title = {Silicon photonics based 1 {\texttimes} 2 wavelength selective switch using fold-back arrayed-waveguide gratings}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180532}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180532}, doi = {10.1587/ELEX.15.20180532}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NakamuraASTOYMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NakamuraASWN18, author = {Ryoichiro Nakamura and Kenta Amino and Kawori Sekine and Kazuyuki Wada and Moriya Nakamura}, title = {Multilevel pre-equalization using an analog {FIR} filter with multiple binary delay lines for 20-Gb/s 4-PAM multimode fiber transmission}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171117}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171117}, doi = {10.1587/ELEX.14.20171117}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NakamuraASWN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NamPP18, author = {Hyohyun Nam and Junsik Park and Jung{-}Dong Park}, title = {A 1-13 GHz {CMOS} low-noise amplifier using compact transformer-based inter-stage networks}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171019}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171019}, doi = {10.1587/ELEX.14.20171019}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NamPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NanZLWD18, author = {Longmei Nan and Xiaoyang Zeng and Wei Li and Zhouchuang Wang and Zibin Dai}, title = {A single-supply sub-threshold level shifter with an internal supply feedback loop for multi-voltage applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180078}, doi = {10.1587/ELEX.15.20180078}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NanZLWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NaraharaM18, author = {Koichi Narahara and Koichi Maezawa}, title = {Characterization of a hard-type oscillator using series-connected tunnel diodes}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180355}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180355}, doi = {10.1587/ELEX.15.20180355}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NaraharaM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NaraharaM18a, author = {Koichi Narahara and Koichi Maezawa}, title = {Large-amplitude voltage edge oscillating in a transmission line with regularly spaced series-connected resonant-tunneling diodes}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180678}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180678}, doi = {10.1587/ELEX.15.20180678}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NaraharaM18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NatarajanS18, author = {Baluprithviraj Krishnaswamy Natarajan and Vijayachitra Senniappan}, title = {Logic obfuscation technique using configurable gate diffusion input for improved hardware security}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180802}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180802}, doi = {10.1587/ELEX.15.20180802}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NatarajanS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NguyenNHP18, author = {Hong{-}Thu Nguyen and Xuan{-}Thuan Nguyen and Trong{-}Thuc Hoang and Cong{-}Kha Pham}, title = {A CORDIC-based {QR} decomposition for {MIMO} signal detector}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180174}, doi = {10.1587/ELEX.15.20180174}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NguyenNHP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NguyenP18, author = {Vu Quan Nguyen and Sang Yoon Park}, title = {High-performance {ASIC} realization of orthogonal matching pursuit algorithm}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180075}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180075}, doi = {10.1587/ELEX.15.20180075}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NguyenP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NiCQH18, author = {Tianming Ni and Hao Chang and Haochen Qi and Zhengfeng Huang}, title = {A novel in-field {TSV} repair method for latent faults}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180873}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180873}, doi = {10.1587/ELEX.15.20180873}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NiCQH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NiCZXH18, author = {Tianming Ni and Hao Chang and Xiaoqiang Zhang and Hao Xiao and Zhengfeng Huang}, title = {Research on physical unclonable functions circuit based on three dimensional integrated circuit}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180782}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180782}, doi = {10.1587/ELEX.15.20180782}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/NiCZXH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/NooruzzamanSSTA18, author = {Md. Nooruzzaman and Kunimasa Saitoh and Yusuke Sasaki and Katsuhiro Takenaga and Kazuhiko Aikawa and Toshio Morioka}, title = {Non-circular multi-core fibers for super-dense {SDM}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180776}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180776}, doi = {10.1587/ELEX.15.20180776}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/NooruzzamanSSTA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Ohira18, author = {Takashi Ohira}, title = {Power transfer efficiency formulation for reciprocal and non-reciprocal linear passive two-port systems}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171196}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171196}, doi = {10.1587/ELEX.15.20171196}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Ohira18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OuyangWSC18, author = {Qiangqiang Ouyang and Juan Wu and Zhiyu Shao and Dapeng Chen}, title = {A vibrotactile belt to display precise directional information for visually impaired}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180615}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180615}, doi = {10.1587/ELEX.15.20180615}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OuyangWSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/OzakiY18, author = {Ryosuke Ozaki and Tsuneki Yamasaki}, title = {Analysis of pulse responses from conducting strips with dispersion medium sandwiched air layer}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180112}, doi = {10.1587/ELEX.15.20180112}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/OzakiY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Padilla-Cantoya18, author = {Ivan R. Padilla{-}Cantoya and Luis Rizo Dom{\'{\i}}nguez and Jesus E. Molinar{-}Solis}, title = {Capacitance multiplier with large multiplication factor, high accuracy, and low power and silicon area for floating applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171191}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171191}, doi = {10.1587/ELEX.15.20171191}, timestamp = {Sat, 21 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Padilla-Cantoya18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Padilla-Cantoya18a, author = {Ivan R. Padilla{-}Cantoya and Jesus E. Molinar{-}Solis and Jaime Ram{\'{\i}}rez{-}Angulo}, title = {Class {AB} flipped voltage follower with very low output resistance and no additional power}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171170}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171170}, doi = {10.1587/ELEX.15.20171170}, timestamp = {Sat, 21 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/Padilla-Cantoya18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PanDHCS18, author = {Dongfang Pan and Zongming Duan and Lu Huang and Rui Cao and Liguo Sun}, title = {Design of ultra-wideband {LNA} with 3.6 {\(\pm\)} 0.4 dB {NF} and 15.9 {\(\pm\)} 1.1 dB gain}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180403}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180403}, doi = {10.1587/ELEX.15.20180403}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PanDHCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkHYKAB18, author = {Himchan Park and Qiwei Huang and Changzhi Yu and Seulki Kim and Gil{-}Cho Ahn and Jinwook Burm}, title = {Two {CMOS} time to digital converters using successive approximation register logic}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180840}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180840}, doi = {10.1587/ELEX.15.20180840}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkHYKAB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkK18, author = {Junho Park and Dong Gun Kam}, title = {Edge plating for building large arrays and low-inductance board-to-board connection}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {24}, pages = {20180953}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180953}, doi = {10.1587/ELEX.15.20180953}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkO18, author = {Kangyeob Park and Wonseok Oh}, title = {A {PWM} based readout circuit for optical sensors with adaptive frequency control}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {16}, pages = {20180613}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180613}, doi = {10.1587/ELEX.15.20180613}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkSL18, author = {Hyunwoo Park and Hyun So and Hyukjun Lee}, title = {Application specific cache design using {STT-RAM} based block-RAM for FPGA-based soft processors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180330}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180330}, doi = {10.1587/ELEX.15.20180330}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PeiJ18, author = {Songwei Pei and Song Jin}, title = {An effective structure and flow for pre-bond {TSV} test}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180160}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180160}, doi = {10.1587/ELEX.15.20180160}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PeiJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PeiZW18, author = {Songwei Pei and Jingdong Zhang and Ruonan Wang}, title = {A low-overhead {RO} {PUF} design for Xilinx FPGAs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180093}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180093}, doi = {10.1587/ELEX.15.20180093}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PeiZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengKWLXCZ18, author = {Chunyu Peng and Lingyu Kong and Xiulong Wu and Zhiting Lin and Hua Xu and Junning Chen and Xuan Zeng}, title = {Offset voltage suppressed sense amplifier with self-adaptive distribution transformation technique}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180332}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180332}, doi = {10.1587/ELEX.15.20180332}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PengKWLXCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengLZZC18, author = {Xiangyu Peng and Jingyu Li and Jian Zhang and Yingxiao Zhao and Zengping Chen}, title = {Calibration technique for new-structure, two-channel hybrid filter banks {ADC}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180290}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180290}, doi = {10.1587/ELEX.15.20180290}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/PengLZZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengQM18, author = {Xuan Peng and Xin Qiu and Fuqi Mu}, title = {A digital harmonic canceling algorithm for power amplifiers in analysis way}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180391}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180391}, doi = {10.1587/ELEX.15.20180391}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PengQM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/PengZ18, author = {Na Peng and Dixian Zhao}, title = {Ku-band compact Wilkinson power divider based on multi-tap inductor technique in 65-nm {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180973}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180973}, doi = {10.1587/ELEX.15.20180973}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/PengZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RamonVVLBTB18, author = {Hannes Ramon and Jochem Verbist and Michael Vanhoecke and Joris Lambrecht and Laurens Breyne and Guy Torfs and Johan Bauwelinck}, title = {A DC-coupled 50 Gb/s 0.064 pJ/bit thin-oxide level shifter in 28 nm {FDSOI} {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171085}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171085}, doi = {10.1587/ELEX.15.20171085}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RamonVVLBTB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RenMLGWWO18, author = {Baoping Ren and Zhewang Ma and Haiwen Liu and Xuehui Guan and Pin Wen and Chuanyun Wang and Masataka Ohira}, title = {Balanced tri-band bandpass filter using sext-mode stepped-impedance square ring loaded resonators}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180670}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180670}, doi = {10.1587/ELEX.15.20180670}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RenMLGWWO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RenS18, author = {Dao Ren and Jin Sha}, title = {Improved gradient descent bit flipping decoder for {LDPC} codes on {BSC} channel}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180195}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180195}, doi = {10.1587/ELEX.15.20180195}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RenS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RodriguezGV18, author = {Gilberto Dom{\'{\i}}nguez Rodr{\'{\i}}guez and Jose Luis Garcia{-}Gervacio and H{\'{e}}ctor V{\'{a}}zquez{-}Leal}, title = {Exploring a homotopy approach for the design of nanometer digital circuits tolerant to process variations}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180475}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180475}, doi = {10.1587/ELEX.15.20180475}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RodriguezGV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SakataHIK18, author = {Kazuyuki Sakata and Takashi Hasegawa and Kouji Ichikawa and Toshiki Kanamoto}, title = {Impact of mutual inductance on timing in nano-scale SoC}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180376}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180376}, doi = {10.1587/ELEX.15.20180376}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SakataHIK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SatoT18, author = {Keita Sato and Masaya Tamura}, title = {Filter using cylindrical quadruple mode {SIW} resonator}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180295}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180295}, doi = {10.1587/ELEX.15.20180295}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SatoT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SeongKK18, author = {Jin{-}Taek Seong and Sung{-}Hyun Kim and Yong{-}Hoon Kim}, title = {Error analysis of an analog correlator for polarimetry}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171207}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171207}, doi = {10.1587/ELEX.15.20171207}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SeongKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShabbirSRS18, author = {Tayyab Shabbir and Rashid Saleem and Sabih ur Rehman and Muhammad Farhan Shafique}, title = {A single layer delay-lines based reflectarray for X-band applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171150}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171150}, doi = {10.1587/ELEX.14.20171150}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShabbirSRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SharmaSI18, author = {Yash Sharma and Hiroaki Satoh and Hiroshi Inokawa}, title = {Application of bow-tie surface plasmon antenna to silicon on insulator nanowire photodiode for enhanced light absorption}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180328}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180328}, doi = {10.1587/ELEX.15.20180328}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SharmaSI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShenCS18, author = {Wei Shen and Cheng Chen and Jin Sha}, title = {Multi-column parallel {QC-LDPC} decoder architecture for {NAND} flash memory}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180397}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180397}, doi = {10.1587/ELEX.15.20180397}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShenCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShiXWMZ18, author = {Zeyu Shi and Yunxiang Xie and Yingpin Wang and Hui Ma and Jienan Zhang}, title = {Improved model predictive control for three-phase Vienna rectifiers}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180398}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180398}, doi = {10.1587/ELEX.15.20180398}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShiXWMZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Shin18, author = {Ilhoon Shin}, title = {Applying fast shallow write to short-lived data in solid state drives}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180523}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180523}, doi = {10.1587/ELEX.15.20180523}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Shin18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinCL18, author = {Uisub Shin and Min Ji Cho and Hee Chul Lee}, title = {Mismatch-tolerant read-in {IC} with voltage-drop compensation for infrared scene projectors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180182}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180182}, doi = {10.1587/ELEX.15.20180182}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinCL18a, author = {Uisub Shin and Min Ji Cho and Hee Chul Lee}, title = {Mismatch-tolerant read-in {IC} with voltage-drop compensation for infrared scene projectors {[IEICE} Electronics Express Vol. 15(2018) No. 11 pp. 20180182]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20188007}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188007}, doi = {10.1587/ELEX.15.20188007}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinCL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinJL18, author = {Dongsuk Shin and Hakbeom Jang and Jae W. Lee}, title = {Erratum: Energy-efficient heterogeneous memory system for mobile platforms {[IEICE} Electronics Express Vol. 14 {(2017)} No. 24 pp. 20171002]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20188001}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188001}, doi = {10.1587/ELEX.15.20188001}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinK18, author = {Ilhoon Shin and Jundo Kim}, title = {Performance analysis of buffer management policy considering internal parallelism of solid state drives}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180419}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180419}, doi = {10.1587/ELEX.15.20180419}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShinM18, author = {Hee{-}am Shin and Young{-}Jae Min}, title = {A unified DLL-controlled active rectifier in 6.78 MHz resonant-coupling wireless power receivers for space-limited portable and wearable applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180399}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180399}, doi = {10.1587/ELEX.15.20180399}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShinM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongW18, author = {Yang Song and Cailin Wang}, title = {Research on hard-drive circuit simulation model of Dual-GCT}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180101}, doi = {10.1587/ELEX.15.20180101}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SongXLM18, author = {Yi Song and Yichen Xu and Haiwen Liu and Zhewang Ma}, title = {Design of triple-band bandpass filter using quad-mode stepped impedance resonator {(SIR)} with shorted stub}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171219}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171219}, doi = {10.1587/ELEX.15.20171219}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SongXLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SuLL18, author = {Yali Su and Junhua Lai and Feng Liang}, title = {The impact of heat loss paths on the electrothermal models of self-heating effects in nanoscale tri-gate {SOI} MOSFETs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {24}, pages = {20180905}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180905}, doi = {10.1587/ELEX.15.20180905}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SuLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SubramaniamSM18, author = {Shahmini Subramaniam and Ajay Kumar Singh and Gajula Ramana Murthy}, title = {Design of power efficient stable 1-bit full adder circuit}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180552}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180552}, doi = {10.1587/ELEX.15.20180552}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SubramaniamSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunYGL18, author = {Daying Sun and Yu Yao and Wenhua Gu and Li Li}, title = {Design and implementation of the optimized digital controller with the simplified control algorithm for boost power factor correction converter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171142}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171142}, doi = {10.1587/ELEX.15.20171142}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunYGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunYLFL18, author = {Zhiyuan Sun and Liang Yin and Xiangyu Li and Qiang Fu and Xiaowei Liu}, title = {Study of closed-loop high-resolution sigma-delta for a {MEMS} accelerometer}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171090}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171090}, doi = {10.1587/ELEX.14.20171090}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunYLFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/SunZZXZ18, author = {Fukun Sun and Fushun Zhang and Fan Zhang and Guojun Xie and Hongyin Zhang}, title = {Wideband frequency reconfigurable antenna array}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171210}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171210}, doi = {10.1587/ELEX.15.20171210}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/SunZZXZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakayasuDIYKMIM18, author = {Motohiro Takayasu and Shiro Dosho and Hiroyuki Ito and Daisuke Yamane and Toshifumi Konishi and Katsuyuki Machida and Noboru Ishihara and Kazuya Masu}, title = {A 0.18-{\(\mathrm{\mu}\)}m {CMOS} time-domain capacitive-sensor interface for sub-1mG {MEMS} accelerometers}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171227}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171227}, doi = {10.1587/ELEX.15.20171227}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakayasuDIYKMIM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TakedaT18, author = {Kentaro Takeda and Hiroyuki Torikai}, title = {A novel hardware-efficient {CPG} model based on asynchronous cellular automaton}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180387}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180387}, doi = {10.1587/ELEX.15.20180387}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TakedaT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TanamotoTTK18, author = {Tetsufumi Tanamoto and Chika Tanaka and Satoshi Takaya and Masato Koyama}, title = {{SPICE} simulation of tunnel {FET} aiming at 32 kHz crystal-oscillator operation}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171232}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171232}, doi = {10.1587/ELEX.15.20171232}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TanamotoTTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TangHHC18, author = {Song{-}Nien Tang and Chih{-}Yu Hsiang and Sheng{-}Jie Huang and Wan{-}Wei Chen}, title = {{FDOCT} imaging processor for portable {OCT} systems with high imaging rate}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171128}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171128}, doi = {10.1587/ELEX.15.20171128}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TangHHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TaoFC18, author = {Jian Tao and Xiangning Fan and Xin Chen}, title = {A SAW-less receiver front-end with continuously variable bandwidth and enhanced blocker-filtering}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180444}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180444}, doi = {10.1587/ELEX.15.20180444}, timestamp = {Thu, 21 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TaoFC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ThomasP18, author = {Sam Thomas and Savarimuthu Prakash}, title = {An accurate analytical memristor model for {SPICE} simulators}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180724}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180724}, doi = {10.1587/ELEX.15.20180724}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ThomasP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ToanTL18, author = {Nguyen Van Toan and Dam Minh Tung and Jeong{-}Gun Lee}, title = {Measurements of metastability in {MUTEX} on an {FPGA}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171165}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171165}, doi = {10.1587/ELEX.14.20171165}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ToanTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TojimaSKCNS18, author = {Yuya Tojima and Hiroki Sudo and Takayuki Kubota and Keizo Cho and Hiroaki Nakabayashi and Koji Suizu}, title = {Nondestructive measurement of layer structures in dielectric substrates by collimated terahertz time domain spectroscopy}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180579}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180579}, doi = {10.1587/ELEX.15.20180579}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/TojimaSKCNS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TokgozKOM18, author = {Korkut Kaan Tokgoz and Seitaro Kawai and Kenichi Okada and Akira Matsuzawa}, title = {Design of low-loss 60 GHz integrated antenna switch in 65 nm {CMOS}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180067}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180067}, doi = {10.1587/ELEX.15.20180067}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TokgozKOM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/TongQCCL18, author = {Yanghui Tong and Zuping Qian and Wenquan Cao and Yufan Cao and Xinmeng Lv}, title = {An integrated low frequency ratio wideband filtering duplex slot antenna}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180881}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180881}, doi = {10.1587/ELEX.15.20180881}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/TongQCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/UeharaTK18, author = {Tomoyuki Uehara and Kenichiro Tsuji and Toshihisa Kamei}, title = {Radiation pattern control of phased array antenna using optical frequency-dependent phase shift}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180737}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180737}, doi = {10.1587/ELEX.15.20180737}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/UeharaTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WanDLM18, author = {Shuting Wan and Longjiang Dou and Cong Li and Xiaodi Ma}, title = {Fault diagnosis for high voltage circuit breaker based on timing parameters and {FCM}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180227}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180227}, doi = {10.1587/ELEX.15.20180227}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WanDLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCL18, author = {Guohua Wang and Tian Congsheng and Dongming Luo}, title = {An online fault injection method for the dynamic partial reconfiguration system based on a lightweight {ICAP} controller}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180720}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180720}, doi = {10.1587/ELEX.15.20180720}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCLMCWY18, author = {Gang Wang and Wei Chen and Jiarui Liu and Jiongjiong Mo and Hua Chen and Zhiyu Wang and Fa{-}Xin Yu}, title = {Design of a broadband Ka-band {MMIC} {LNA} using deep negative feedback loop}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180317}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180317}, doi = {10.1587/ELEX.15.20180317}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCLMCWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangCZG18, author = {Zicong Wang and Xiaowen Chen and Junyang Zhang and Yang Guo}, title = {VP-Router: On balancing the traffic load in on-chip networks}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180883}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180883}, doi = {10.1587/ELEX.15.20180883}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangCZG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangDXZHJXC18, author = {Zixuan Wang and Hao Ding and Hao Xu and Cong Zhang and Shanwen Hu and Xincun Ji and Xiaojuan Xia and Zhikuang Cai}, title = {An all-digital phase-locked loop with a PGTA-based {TDC} and a 0.6-V {DCO}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180889}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180889}, doi = {10.1587/ELEX.15.20180889}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangDXZHJXC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangGLNZ18, author = {Yong Wang and Bo Gao and Peng Li and Xian Ni and Ranran Zhou}, title = {A {RF} {CMOS} {GNSS} receiver with a passive mixer for {GPS} L1/Galileo E1/Compass {B1} band}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180551}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180551}, doi = {10.1587/ELEX.15.20180551}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangGLNZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangHY18, author = {Fengjuan Wang and Jia Huang and Ningmei Yu}, title = {A novel guard method of through-silicon-via {(TSV)}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180421}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180421}, doi = {10.1587/ELEX.15.20180421}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangLW18, author = {Wei Wang and Zou Le and Xuetian Wang}, title = {A novel 94 GHz planar integrated monopulse array antenna with hybrid feeding networks}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180381}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180381}, doi = {10.1587/ELEX.15.20180381}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangMJWGL18, author = {Weimin Wang and Linglong Meng and Rui Ji and Zhongbao Wang and Jinchun Gao and Yuanan Liu}, title = {A cpw-fed dual-beam shorted-patch antenna}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180100}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180100}, doi = {10.1587/ELEX.15.20180100}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangMJWGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangSGL18, author = {Xilu Wang and Yongjun Sun and Huaxi Gu and Zujun Liu}, title = {{WOAGA:} {A} new metaheuristic mapping algorithm for large-scale mesh-based NoC}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180738}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180738}, doi = {10.1587/ELEX.15.20180738}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangSGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangSJMSD18, author = {Zhen Wang and Chao Sun and Fang Jin and Wenqin Mo and Junlei Song and Kaifeng Dong}, title = {A widely amplitude-adjustable chaotic oscillator based on a physical model of {HP} memristor}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20171251}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171251}, doi = {10.1587/ELEX.15.20171251}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangSJMSD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangTC18, author = {Fang Wang and Zongxi Tang and Xin Cao}, title = {Design of broadband power amplifier based on a continuous harmonic control mode}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180367}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180367}, doi = {10.1587/ELEX.15.20180367}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangWY18, author = {Zhou Wang and Bin Wu and Tianchun Ye}, title = {{FPGA} and {ASIC} implementation of reliable and effective architecture for a {LTE} downlink transmitter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180790}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180790}, doi = {10.1587/ELEX.15.20180790}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangWY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangXHQ18, author = {Yang Wang and Lin{-}Lin Xie and Yong Hei and Shushan Qiao}, title = {A low power and glitch-free circular rotation phase modulator for outphasing transmitter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180406}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180406}, doi = {10.1587/ELEX.15.20180406}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangXHQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangXLRGYGY18, author = {Zhen Wang and Mengwen Xia and Bo Liu and Xing Ruan and Yu Gong and Jinjiang Yang and Wei Ge and Jun Yang}, title = {{EERA-DNN:} An energy-efficient reconfigurable architecture for DNNs with hybrid bit-width and logarithmic multiplier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180212}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180212}, doi = {10.1587/ELEX.15.20180212}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangXLRGYGY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangXWHQ18, author = {Yang Wang and Lin{-}Lin Xie and Yong{-}sen Wang and Yong Hei and Shushan Qiao}, title = {An anti-alias harmonic-reject phase modulation for digital outphasing transmitter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171258}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171258}, doi = {10.1587/ELEX.15.20171258}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangXWHQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangY18, author = {Xiaogang Wang and Jingyu Yang}, title = {Single-phase three-level grid-connected inverter based on direct adaptive fuzzy control}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180838}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180838}, doi = {10.1587/ELEX.15.20180838}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangYCPGX18, author = {Yeqin Wang and Yan Yang and Yuyan Chen and Tung Chin Pan and Chang Guo and Aoyun Xia}, title = {Adaptive total sliding mode control for the current of power factor correction circuit}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180505}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180505}, doi = {10.1587/ELEX.15.20180505}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangYCPGX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZC18, author = {Tong Wang and Ye Zhao and Jie Chen}, title = {A battery monitoring {IC} with an isolated communication interface for electric vehicles}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180513}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180513}, doi = {10.1587/ELEX.15.20180513}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZC18a, author = {Tong Wang and Ye Zhao and Jie Chen}, title = {A battery monitoring {IC} with an isolated communication interface for electric vehicles {[IEICE} Electronics Express Vol. 15(2018) No. 12 pp. 20180513]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20188008}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188008}, doi = {10.1587/ELEX.15.20188008}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZC18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZC18b, author = {Tong Wang and Ye Zhao and Jie Chen}, title = {A battery monitoring {IC} with an isolated communication interface for electric vehicles {[IEICE} Electronics Express Vol. 15 {(2018)} No. 12 pp. 20180513]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20188009}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188009}, doi = {10.1587/ELEX.15.20188009}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZC18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZL18, author = {Mingjiang Wang and Boya Zhao and Ming Liu}, title = {A high-speed realization of the delayed dual sign {LMS} algorithm}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180116}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180116}, doi = {10.1587/ELEX.15.20180116}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WangZZWLFS18, author = {Li Wang and Rui Zhang and Chang{-}liang Zhao and Yang{-}tao Wan and Chao Li and Guang Fu and Xiaowei Shi}, title = {High efficiency broadband ellipse antenna array with tapered parallel-double transmission line feed network}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180687}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180687}, doi = {10.1587/ELEX.15.20180687}, timestamp = {Sun, 11 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WangZZWLFS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WannaboonJST18, author = {Chatchai Wannaboon and Nattagit Jiteurtragool and Wimol San{-}Um and Masayoshi Tachibana}, title = {Phase difference analysis technique for parametric faults {BIST} in {CMOS} analog circuits}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180175}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180175}, doi = {10.1587/ELEX.15.20180175}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WannaboonJST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiWS18, author = {Rongshan Wei and Jue Wang and Haiji Su}, title = {A fast-response reference voltage buffer for a sigma-delta modulator}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180159}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180159}, doi = {10.1587/ELEX.15.20180159}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiYZYGH18, author = {Wentao Wei and Peng Ye and Yu Zhao and Kuojun Yang and Jian Gao and Wuhuang Huang}, title = {Explicit analysis of nonlinearities in time-interleaved {ADC}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180373}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180373}, doi = {10.1587/ELEX.15.20180373}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiYZYGH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WeiguoYYK18, author = {Dang Weiguo and Zhu Yongzhong and Yu Yang and Zuo Kaiwei}, title = {Double-OAM-mode resistor loaded microstrip antenna with a top dielectric layer}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180370}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180370}, doi = {10.1587/ELEX.15.20180370}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WeiguoYYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WenMLZRWO18, author = {Pin Wen and Zhewang Ma and Haiwen Liu and Shuangshuang Zhu and Baoping Ren and Xiaolong Wang and Masataka Ohira}, title = {A miniaturized dual-band bandpass filter using composite resonators with flexible frequency ratio}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180059}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180059}, doi = {10.1587/ELEX.15.20180059}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WenMLZRWO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuLWHWLL18, author = {Haigang Wu and Bin Li and Zhaohui Wu and Yunfeng Hu and Kun Wang and Zhen Liang and Yang Liu}, title = {Fully-integrated linear {CMOS} power amplifier with proportional series combining transformer for S-Band applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {1}, pages = {20171100}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171100}, doi = {10.1587/ELEX.14.20171100}, timestamp = {Thu, 27 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/WuLWHWLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/WuXZCFZZ18, author = {Chubin Wu and Guangjun Xie and Zhang Zhang and Xin Cheng and Tairan Fei and Jianmin Zeng and Xiaoyang Zeng}, title = {A 15 {W} wireless power receiver with an improved full-wave synchronous rectifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180732}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180732}, doi = {10.1587/ELEX.15.20180732}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/WuXZCFZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaWC18, author = {Lanhua Xia and Jianhui Wu and Zhikuang Cai}, title = {A self-refereed design-for-test structure of {CP-PLL} for on-chip jitter measurement}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20171215}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171215}, doi = {10.1587/ELEX.15.20171215}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XiaZDMYZ18, author = {Jing Xia and Shuailei Zhu and Da{-}Wei Ding and Fan Meng and Chao Yu and Xiaowei Zhu}, title = {A harmonic controlled symmetric Doherty power amplifier with extended back-off power range}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180845}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180845}, doi = {10.1587/ELEX.15.20180845}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XiaZDMYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieWCXC18, author = {Yu Xie and Xin Wei and Liang Chen and Yizhuang Xie and He Chen}, title = {Variable-length and high-precision {FFT} processors based on configurable constant factor multipliers and memory reallocations}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180610}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180610}, doi = {10.1587/ELEX.15.20180610}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieWCXC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieWWGYMCZ18, author = {Sheng Xie and Yi Wu and Sicong Wu and Youzhi Gu and Jing Yang and Luhong Mao and Yu Chen and Jing{-}Lin Zhang}, title = {An inductorless {CMOS} limiting amplifier with stream-mode active feedback}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180640}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180640}, doi = {10.1587/ELEX.15.20180640}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieWWGYMCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XieZLD18, author = {Xiaodong Xie and Xiwen Zhang and Wei Li and Tao Du}, title = {A radiation-hardened programmable read only memory for space applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180675}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180675}, doi = {10.1587/ELEX.15.20180675}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XieZLD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XinBP18, author = {Xin Lin and Baoguo Yu and Ping Huang}, title = {Mainlobe interference suppression via eigen-projection processing and covariance matrix sparse reconstruction}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180683}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180683}, doi = {10.1587/ELEX.15.20180683}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XinBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XinYWHZ18, author = {Ruishan Xin and Mao Ye and Jia Wang and Kai Hu and Yiqiang Zhao}, title = {Data deletion method for security improvement of Flash memories}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180152}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180152}, doi = {10.1587/ELEX.15.20180152}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XinYWHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuCXM18, author = {Yin Xu and Zhijian Chen and Xiaoyan Xiang and Jianyi Meng}, title = {An energy-efficient parallel {VLSI} architecture for {SVM} classification}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180099}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180099}, doi = {10.1587/ELEX.15.20180099}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuCXM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuWL18, author = {Hanyang Xu and Jian Wang and Jinmei Lai}, title = {Design of a power efficient self-adaptive {LVDS} driver}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20171276}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171276}, doi = {10.1587/ELEX.15.20171276}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuXMQ18, author = {Zhengbin Xu and Jie Xu and Hongfu Meng and Cheng Qian}, title = {A balanced sub-harmonic mixer for {EHF} satellite communications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180931}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180931}, doi = {10.1587/ELEX.15.20180931}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/XuXMQ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuZLHLL18, author = {Huachao Xu and Yuanzhi Zhang and Ke Liang and Jinlong Hu and Chao Lu and Guofeng Li}, title = {A 2.1-ppm/{\textdegree}C all-MOSFET voltage reference with a 1.2-V supply voltage}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180922}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180922}, doi = {10.1587/ELEX.15.20180922}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuZLHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuZLWLL18, author = {Huachao Xu and Yuanzhi Zhang and Ke Liang and Jin Wang and Chao Lu and Guofeng Li}, title = {98 pA, 0.17 ppm/V, -72 dB@100 Hz voltage reference for Internet-of-Things systems}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180965}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180965}, doi = {10.1587/ELEX.15.20180965}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuZLWLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/XuanY18, author = {Xuefei Xuan and Fei Yang}, title = {Design of broadband high-efficiency power amplifiers based on the harmonic-tuned}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {24}, pages = {20180980}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180980}, doi = {10.1587/ELEX.15.20180980}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/XuanY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YamaguchiMK18, author = {Takuto Yamaguchi and Seiya Miura and Yasuo Kokubun}, title = {Demonstration of true-eigenmode propagation in few-mode fibers by selective {LP} mode excitation and near-field observation}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180344}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180344}, doi = {10.1587/ELEX.15.20180344}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YamaguchiMK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YamaguchiMK18a, author = {Takuto Yamaguchi and Seiya Miura and Yasuo Kokubun}, title = {Demonstration of true-eigenmode propagation in few-mode fibers by selective {LP} mode excitation and near-field observation {[IEICE} Electronics Express Vol. 15(2018) No. 10 pp. 20180344]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20188004}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188004}, doi = {10.1587/ELEX.15.20188004}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YamaguchiMK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YamaguchiSS18, author = {Keita Yamaguchi and Hiroki Shirakawa and Kenji Shiraishi}, title = {Atomistic study of SiN based ReRAM with high program/erase cycle endurance}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180868}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180868}, doi = {10.1587/ELEX.15.20180868}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YamaguchiSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YanJZSW18, author = {Shuxia Yan and Xiaoyi Jin and Yaoqian Zhang and Weiguang Shi and Jia Wen}, title = {Accurate large-signal modeling using neuro-space mapping for power transistors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180342}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180342}, doi = {10.1587/ELEX.15.20180342}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YanJZSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangDWZZHZ18, author = {Jianwei Yang and Fan Dai and Jielin Wang and Jianmin Zeng and Zhang Zhang and Jun Han and Xiaoyang Zeng}, title = {Countering power analysis attacks by exploiting characteristics of multicore processors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180084}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180084}, doi = {10.1587/ELEX.15.20180084}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangDWZZHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangLCWH18, author = {Liu Yang and Fei Liu and Huamin Cao and Qi Wang and Zongliang Huo}, title = {Word line interference based data recovery technique for 3D {NAND} Flash}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {19}, pages = {20180762}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180762}, doi = {10.1587/ELEX.15.20180762}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YangLCWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangWLXFG18, author = {Xing Yang and Houjun Wang and Ke Liu and Yindong Xiao and Zaiming Fu and Guangkun Guo}, title = {Minimax design of digital {FIR} filters using linear programming in bandwidth interleaving digital-to-analog converter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180565}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180565}, doi = {10.1587/ELEX.15.20180565}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YangWLXFG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangWSZH18, author = {Kuojun Yang and Wentao Wei and Jiali Shi and Yu Zhao and Wuhuang Huang}, title = {A fast {TIADC} calibration method for 5GSPS digital storage oscilloscope}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180161}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180161}, doi = {10.1587/ELEX.15.20180161}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YangWSZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangXZTDW18, author = {Hailong Yang and Xiaoli Xi and Yuchen Zhao and Yumeng Tan and Zhonghong Du and Lili Wang}, title = {Co-designed defected ground structure filter with {UWB} slot antenna}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180718}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180718}, doi = {10.1587/ELEX.15.20180718}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangXZTDW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangXZWS18, author = {Hailong Yang and Xiaoli Xi and Yuchen Zhao and Lili Wang and Xiaomin Shi}, title = {A compact filtering {UWB} antenna with band-notched function}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180458}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180458}, doi = {10.1587/ELEX.15.20180458}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangXZWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangYRJH18, author = {Lin Yang and Lin{-}An Yang and Taotao Rong and Zhi Jin and Yue Hao}, title = {1-30 GHz ultra-wideband low noise amplifier with on-chip temperature-compensation circuit}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180804}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180804}, doi = {10.1587/ELEX.15.20180804}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangYRJH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YangZWYZZ18, author = {Qingxi Yang and Xing Zhou and Qingguo Wang and Kai Yao and Yan Zhang and Min Zhao}, title = {Equivalent circuits of multi-conductor transmission lines above lossy ground excited by external electromagnetic fields}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171261}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171261}, doi = {10.1587/ELEX.15.20171261}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YangZWYZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YazawaM18, author = {Ryo Yazawa and Motoharu Matsuura}, title = {Optically powered drone small cells using optical fibers}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180371}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180371}, doi = {10.1587/ELEX.15.20180371}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YazawaM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YeGZL18, author = {Hong Ye and Naijie Gu and Xiaoci Zhang and Chuanwen Lin}, title = {Design and implementation of a conflict-free memory accessing technique for {FFT} on multicluster {VLIW} {DSP}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180674}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180674}, doi = {10.1587/ELEX.15.20180674}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YeGZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YeJK18, author = {Yi{-}Die Ye and Junmin Jiang and Wing{-}Hung Ki}, title = {A self-powered zero-quiescent-current active rectifier for piezoelectric energy harvesting}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {18}, pages = {20180739}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180739}, doi = {10.1587/ELEX.15.20180739}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YeJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YooPC18, author = {Sungjun Yoo and Jong{-}Eon Park and Hosung Choo}, title = {Resonant transmission through periodic subwavelength real metal slits in the terahertz range}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180612}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180612}, doi = {10.1587/ELEX.15.20180612}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YooPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoonSMK18, author = {Changho Yoon and Jae Hoon Shim and Byungin Moon and Joonho Kong}, title = {3D die-stacked {DRAM} thermal management via task allocation and core pipeline control}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171253}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171253}, doi = {10.1587/ELEX.15.20171253}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/YoonSMK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoshimatsuNKNMS18, author = {Toshihide Yoshimatsu and Masahiro Nada and Shigeru Kanazawa and Fumito Nakajima and Hideaki Matsuzaki and Kimikazu Sano}, title = {Dispersion tolerance of 100-Gbit/s {PAM4} optical link utilizing high-speed avalanche photodiode receiver}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {16}, pages = {20180624}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180624}, doi = {10.1587/ELEX.15.20180624}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoshimatsuNKNMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuHXC18, author = {Huiyang Yu and Jianqiu Huang and Chaojin Xing and Yuzhi Chu}, title = {A self-packaged capacitive humidity sensor with low leakage loss}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180577}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180577}, doi = {10.1587/ELEX.15.20180577}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuHXC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuPLL18, author = {Jiaao Yu and Shirui Peng and Youquan Li and Liguo Liu}, title = {Design of conformal composite absorber with non-uniform resistors via characteristic basis function method}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180620}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180620}, doi = {10.1587/ELEX.15.20180620}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuPLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuYXQH18, author = {Yi Yu and Jia Yuan and Lin{-}Lin Xie and Shushan Qiao and Yong Hei}, title = {A practical, low-overhead, one-cycle correction design method for variation-tolerant digital circuits}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171202}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171202}, doi = {10.1587/ELEX.14.20171202}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuYXQH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuanCYWM18, author = {Wenrui Yuan and Xianliang Chen and Na Yan and Yu Wang and Hao Min}, title = {An {LDO} regulated {DC-DC} converter with voltage ripple suppression and adaptive dropout voltage control}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180651}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180651}, doi = {10.1587/ELEX.15.20180651}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuanCYWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YuboW18, author = {Liu Yubo and Xudong Wang}, title = {Speed global integral sliding mode control with a load sliding mode observer for {PMSM}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171270}, doi = {10.1587/ELEX.15.20171270}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YuboW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZengCLJ18, author = {Zhiyuan Zeng and Wenquan Cao and Xinmeng Lv and Jun Jin}, title = {Multi-band multi-mode end-fire antenna based on mirroring and scaling method}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {17}, pages = {20180643}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180643}, doi = {10.1587/ELEX.15.20180643}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZengCLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZengHZT18, author = {Yanhan Zeng and Sifan Huang and Xin Zhang and Hong{-}Zhou Tan}, title = {A 12.8 nA and 7.2 ppm/{\textdegree}C {CMOS} voltage reference without amplifier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171220}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171220}, doi = {10.1587/ELEX.15.20171220}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZengHZT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhanPCTL18, author = {Lamin Zhan and Yang Pei and Fangsheng Chen and Qinghua Tang and Chenyu Liang}, title = {A broadband bandpass filter using triple-mode defected ground structure resonator}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180234}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180234}, doi = {10.1587/ELEX.15.20180234}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhanPCTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCLLS18, author = {Qi Zhang and Houpeng Chen and Yaoyao Lu and Xiaoyun Li and Zhitang Song}, title = {Design and security evaluation of PCM-based rPUF using cyclic refreshing strategy}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180239}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180239}, doi = {10.1587/ELEX.15.20180239}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCR18, author = {Yun{-}Bo Zhang and Bin Chen and Cai{-}Zhang Ran}, title = {An X-band single-layer waveguide directional filter with compact size and low insertion loss}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180826}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180826}, doi = {10.1587/ELEX.15.20180826}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCYL18, author = {Yun{-}Bo Zhang and Bin Chen and Jin{-}Qi Yan and Chao{-}Ming Luo}, title = {Combined twist-bend with compact-size and broad bandwidth}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171156}, year = {2018}, url = {https://doi.org/10.1587/elex.14.20171156}, doi = {10.1587/ELEX.14.20171156}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangCZZZ18, author = {Qing Zhang and Xinlong Chang and Youhong Zhang and Lei Zhang and Xiang Zhang}, title = {Monitoring of the carbon fiber wound composites curing process based on {FBG} sensors}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180166}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180166}, doi = {10.1587/ELEX.15.20180166}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangCZZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangFCCWLB18, author = {Sheng Zhang and Xue{-}Dong Fu and Jun{-}Jie Cheng and De{-}Qiang Cheng and Hai{-}Ting Wang and Falin Liu and Li{-}Cun Bao}, title = {Compact balanced bandpass filter with the fractal defected structures}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180518}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180518}, doi = {10.1587/ELEX.15.20180518}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangFCCWLB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangFCLH18, author = {Sheng Zhang and Xue{-}Dong Fu and Jun{-}Jie Cheng and Falin Liu and Jia{-}Sheng Hong}, title = {Compact differential bandpass filter using one-sixth mode and novel one-third mode triangular {SIW} resonators}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180044}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180044}, doi = {10.1587/ELEX.15.20180044}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangFCLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangH18, author = {Yinhang Zhang and Qingsheng Hu}, title = {A 33 Gb/s combined adaptive {CTLE} and half-rate look-ahead {DFE} in 0.13 {\(\mathrm{\mu}\)}m BiCMOS technology for serial link}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {4}, pages = {20170764}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20170764}, doi = {10.1587/ELEX.15.20170764}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLJF18, author = {Pengcheng Zhang and Xian Qi Lin and Yuan Jiang and Yong Fan}, title = {Tunable dual-mode filtering power divider with harmonic suppression}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180321}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180321}, doi = {10.1587/ELEX.15.20180321}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLJF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLLKK18, author = {Yanling Zhang and Bin Luo and Shengbin Liu and Jiwei Kuang and Zhuo Kang}, title = {Extendible slot-type wireless power transfer system with load-independent output voltage based on solenoid coil}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180925}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180925}, doi = {10.1587/ELEX.15.20180925}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLLKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangLZYZGG18, author = {Yi Zhang and Xiaopeng Li and Youtao Zhang and Qingguo Ye and Ying Zhang and Yufeng Guo and Hao Gao}, title = {A 1.2 GSps, 8 bit {RF} {DAC} for multi-Nyquist applications in GaAs technology}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {20}, pages = {20180773}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180773}, doi = {10.1587/ELEX.15.20180773}, timestamp = {Sun, 21 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangLZYZGG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangOO18, author = {Xuanxuan Zhang and Yi Ou and Wen Ou}, title = {Design of a silicon-based wideband bandpass filter using aggressive space mapping}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {21}, pages = {20180897}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180897}, doi = {10.1587/ELEX.15.20180897}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangOO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangPZZ18, author = {Dasha Zhang and Zhongming Pan and Zhuohang Zhang and Wenna Zhang}, title = {Electronic method for suppressing output instability of {GMI} sensors caused by the orientation}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171183}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171183}, doi = {10.1587/ELEX.15.20171183}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangPZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWDZZG18, author = {Changchun Zhang and Yingjian Wu and Chenghong Dong and Yi Zhang and Ying Zhang and Yufeng Guo}, title = {A {CMOS} {QVCO} using combined capacitor-coupling, bottom-series coupling and splitting switched biasing techniques}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180861}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180861}, doi = {10.1587/ELEX.15.20180861}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWDZZG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWGZZ18, author = {Jinbao Zhang and Ning Wu and Fen Ge and Fang Zhou and Xiaoqing Zhang}, title = {Countermeasure against fault sensitivity analysis based on clock check block}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180433}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180433}, doi = {10.1587/ELEX.15.20180433}, timestamp = {Sat, 02 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWGZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWH18, author = {Hanlu Zhang and Honggang Wang and Anfeng Huang}, title = {Megahertz magneto-inductive waveguide for electromagnetic energy transmission in radio-frequency identification system}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {15}, pages = {20180663}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180663}, doi = {10.1587/ELEX.15.20180663}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangWZZZ18, author = {Yong Zhang and Ning Wu and Fang Zhou and Xiaoqiang Zhang and Jinbao Zhang}, title = {High performance {AES-GCM} implementation based on efficient {AES} and {FR-KOA} multiplier}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {14}, pages = {20180559}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180559}, doi = {10.1587/ELEX.15.20180559}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangWZZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZDS18, author = {Zhi Zhang and Hao Zhou and Chengliang Deng and Qinghua Song}, title = {Multiloop interleaved control for three-level buck converter in solar charging applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {11}, pages = {20180369}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180369}, doi = {10.1587/ELEX.15.20180369}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZDS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZFS18, author = {Guiheng Zhang and Wei Zhang and Jun Fu and Bo Song}, title = {An amplifier-doubler chain with conversion gain improvement techniques}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20171118}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171118}, doi = {10.1587/ELEX.15.20171118}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZFS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZL18, author = {Chao Zhang and Li Zhu and Yuehua Li}, title = {Compact microstrip balanced-to-balanced diplexer using stub-loaded dual-mode resonators}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20170999}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20170999}, doi = {10.1587/ELEX.15.20170999}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZL18a, author = {Zhi Zhang and Hao Zhou and Chang Liu}, title = {A single-stage bridgeless {ZVS} {AC/DC} converter for power-factor-correction applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {8}, pages = {20180231}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180231}, doi = {10.1587/ELEX.15.20180231}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhangZS18, author = {Hongyin Zhang and Fushun Zhang and Fukun Sun}, title = {A low-profile and wideband crossed dipole antenna based on {AMC} reflector for circularly polarized applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180287}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180287}, doi = {10.1587/ELEX.15.20180287}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhangZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoLC18, author = {Long Zhao and Bao Li and Yuhua Cheng}, title = {A 700-MS/s 6-bit {SAR} {ADC} with partially active reference voltage buffer}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180497}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180497}, doi = {10.1587/ELEX.15.20180497}, timestamp = {Thu, 08 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoSPZ18, author = {Jingying Zhao and Zhengliang Sun and Michael G. Pecht and Sinuo Zhou}, title = {Analysis and experiments on transmission characteristics of {LCCL} mobile wireless power transfer system}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {23}, pages = {20180964}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180964}, doi = {10.1587/ELEX.15.20180964}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoSPZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoWD18, author = {Peng Zhao and Qingyuan Wang and Jie Deng}, title = {A novel quasi-planar power divider with extra 180{\textdegree} phase difference and full bandwidth}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180468}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180468}, doi = {10.1587/ELEX.15.20180468}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhaoYSYS18, author = {Jicong Zhao and Quan Yuan and Haiyan Sun and Jinling Yang and Ling Sun}, title = {A high-performance oscillator based on {RF} {MEMS} resonator and low-noise sustaining circuit for timing applications}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {10}, pages = {20180395}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180395}, doi = {10.1587/ELEX.15.20180395}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhaoYSYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhengchenZW18, author = {Wang Zhengchen and Wu Zhaobo and Xinghua Wang}, title = {A -86.88 dBc/Hz @1 MHz \emph{K}-band fractional-N frequency synthesizer in 90-nm {CMOS} technology}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {2}, pages = {20171063}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20171063}, doi = {10.1587/ELEX.15.20171063}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhengchenZW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouH18, author = {Yuteng Zhou and Xinming Huang}, title = {{VLSI} design of a power-efficient object detector using PCANet}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {12}, pages = {20180396}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180396}, doi = {10.1587/ELEX.15.20180396}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouLHWD18, author = {Zongkun Zhou and Min Lin and Shuigen Huang and Ruoyu Wang and Yemin Dong}, title = {A 12 bit 120 MS/s SHA-less pipeline {ADC} with capacitor mismatch error calibration}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180481}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180481}, doi = {10.1587/ELEX.15.20180481}, timestamp = {Fri, 29 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouLHWD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouSLCSCL18, author = {Wenyong Zhou and Lingling Sun and Jun Liu and Zhanfei Chen and Guodong Su and Wei Cheng and Haiyan Lu}, title = {Extraction and verification of the small-signal model for InP DHBTs in the 0.2-325 GHz frequency range}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20180244}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180244}, doi = {10.1587/ELEX.15.20180244}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouSLCSCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhouSYSZH18, author = {Ze{-}kun Zhou and Wang Shi and Yan{-}dong Yuan and Yue Shi and Bo Zhang and Qing Hua}, title = {A 0.85 V, 4.9 ppm/{\textdegree}C inherent temperature compensated voltage reference with -82 dB {PSRR}}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {22}, pages = {20180957}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180957}, doi = {10.1587/ELEX.15.20180957}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhouSYSZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuXLXWCL18, author = {Hongyue Zhu and Dawei Xu and Xinchang Li and Chao Xu and Dengpeng Wu and Xinhong Cheng and XiaoYun Li}, title = {An improved noise immune level-shifter via {IGBT} gate-emitter voltage detection}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {9}, pages = {20180293}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180293}, doi = {10.1587/ELEX.15.20180293}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuXLXWCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuXLXWCL18a, author = {Hongyue Zhu and Dawei Xu and Xinchang Li and Chao Xu and Dengpeng Wu and Xinhong Cheng and XiaoYun Li}, title = {An improved noise immune level-shifter via {IGBT} gate-emitter voltage detection {[IEICE} Electronics Express Vol. 15(2018) No. 9 pp. 20180293]}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {13}, pages = {20188005}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20188005}, doi = {10.1587/ELEX.15.20188005}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuXLXWCL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZhuZ18, author = {Jing Zhu and Dan Zhang}, title = {Terahertz wave propagation characteristics of multi-modes on complexity microstrip-slot coupled lines}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {16}, pages = {20180665}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180665}, doi = {10.1587/ELEX.15.20180665}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZhuZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZouHCZ18, author = {Wanghui Zou and Jin Hu and Diping Chen and Yun Zeng}, title = {An equivalent lumped circuit model for on-chip helical transformers}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {3}, pages = {20170818}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20170818}, doi = {10.1587/ELEX.15.20170818}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZouHCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ZuoZXL18, author = {Kaiwei Zuo and YongZhong Zhu and WenXuan Xie and Le Li}, title = {A novel miniaturized quarter mode substrate integrate waveguide tunable filter}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180013}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180013}, doi = {10.1587/ELEX.15.20180013}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ZuoZXL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.