Search dblp for Publications

export results for "toc:db/journals/jssc/jssc47.bht:"

 download as .bib file

@article{DBLP:journals/jssc/Abdul-LatifS12,
  author       = {Mohammed M. Abdul{-}Latif and
                  Edgar S{\'{a}}nchez{-}Sinencio},
  title        = {Low Phase Noise Wide Tuning Range N-Push Cyclic-Coupled Ring Oscillators},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1278--1294},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2188564},
  doi          = {10.1109/JSSC.2012.2188564},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Abdul-LatifS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AgrawalBDLTF12,
  author       = {Ankur Agrawal and
                  John F. Bulzacchelli and
                  Timothy O. Dickson and
                  Yong Liu and
                  Jos{\'{e}} A. Tierno and
                  Daniel J. Friedman},
  title        = {A 19-Gb/s Serial Link Receiver With Both 4-Tap {FFE} and 5-Tap {DFE}
                  Functions in 45-nm {SOI} {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3220--3231},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216412},
  doi          = {10.1109/JSSC.2012.2216412},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AgrawalBDLTF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AlghamdiH12,
  author       = {Mohammad K. Al{-}Ghamdi and
                  Anas A. Hamoui},
  title        = {A Spurious-Free Switching Buck Converter Achieving Enhanced Light-Load
                  Efficiency by Using a {\(\Delta\)}{\(\Sigma\)}-Modulator Controller
                  With a Scalable Sampling Frequency},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {841--851},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185179},
  doi          = {10.1109/JSSC.2012.2185179},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AlghamdiH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AlvandpourRY12,
  author       = {Atila Alvandpour and
                  Patrick Reynaert and
                  Trond Ytterdal},
  title        = {Introduction to the Special Issue on the 37th European Solid-State
                  Circuits Conference {(ESSCIRC)}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1511--1514},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196319},
  doi          = {10.1109/JSSC.2012.2196319},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AlvandpourRY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AmirkhanyKAFBHMCY12,
  author       = {Amir Amirkhany and
                  Kambiz Kaviani and
                  Ali{-}Azam Abbasfar and
                  H. Md. Shuaeb Fazeel and
                  Wendemagegnehu T. Beyene and
                  Chikara Hoshino and
                  Chris J. Madden and
                  Ken Chang and
                  Chuck Yuan},
  title        = {A 4.1-pJ/b, 16-Gb/s Coded Differential Bidirectional Parallel Electrical
                  Link},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3208--3219},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216413},
  doi          = {10.1109/JSSC.2012.2216413},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AmirkhanyKAFBHMCY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AmirkhanyWMSBCCDHGHKLLMMMRSSSSFSWTVVYJCY12,
  author       = {Amir Amirkhany and
                  Jason Wei and
                  Navin K. Mishra and
                  Jie Shen and
                  Wendemagegnehu T. Beyene and
                  Catherine Chen and
                  T. J. Chin and
                  Deborah Dressler and
                  Charlie Huang and
                  Vijay P. Gadde and
                  Mohammad Hekmat and
                  Kambiz Kaviani and
                  Hai Lan and
                  Phuong Le and
                  Mahabaleshwara and
                  Chris J. Madden and
                  Sanku Mukherjee and
                  Leneesh Raghavan and
                  Keisuke Saito and
                  Dave Secker and
                  Arul Sendhil and
                  Ralf Schmitt and
                  H. Md. Shuaeb Fazeel and
                  Gundlapalli Shanmukha Srinivas and
                  Ting Wu and
                  Chanh Tran and
                  Arun Vaidyanath and
                  Kapil Vyas and
                  Ling Yang and
                  Manish Jain and
                  Kun{-}Yung Ken Chang and
                  Xingchao Yuan},
  title        = {A 12.8-Gb/s/link Tri-Modal Single-Ended Memory Interface},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {911--925},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185369},
  doi          = {10.1109/JSSC.2012.2185369},
  timestamp    = {Wed, 20 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AmirkhanyWMSBCCDHGHKLLMMMRSSSSFSWTVVYJCY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/AndreouKG12,
  author       = {Charalambos M. Andreou and
                  Savvas Koudounas and
                  Julius Georgiou},
  title        = {A Novel Wide-Temperature-Range, 3.9 ppm/{\textdegree}C {CMOS} Bandgap
                  Reference Circuit},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {574--581},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2173267},
  doi          = {10.1109/JSSC.2011.2173267},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/AndreouKG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BaeSLCY12,
  author       = {Joonsung Bae and
                  Kiseok Song and
                  Hyungwoo Lee and
                  Hyunwoo Cho and
                  Hoi{-}Jun Yoo},
  title        = {A 0.24-nJ/b Wireless Body-Area-Network Transceiver With Scalable Double-FSK
                  Modulation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {310--322},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170632},
  doi          = {10.1109/JSSC.2011.2170632},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BaeSLCY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BaeSLCY12a,
  author       = {Joonsung Bae and
                  Kiseok Song and
                  Hyungwoo Lee and
                  Hyunwoo Cho and
                  Hoi{-}Jun Yoo},
  title        = {A Low-Energy Crystal-Less Double-FSK Sensor Node Transceiver for Wireless
                  Body-Area Network},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2678--2692},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211654},
  doi          = {10.1109/JSSC.2012.2211654},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BaeSLCY12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BandyopadhyayC12,
  author       = {Saurav Bandyopadhyay and
                  Anantha P. Chandrakasan},
  title        = {Platform Architecture for Solar, Thermal, and Vibration Energy Combining
                  With {MPPT} and Single Inductor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2199--2215},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197239},
  doi          = {10.1109/JSSC.2012.2197239},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BandyopadhyayC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BarbieriN12,
  author       = {Andrea Barbieri and
                  Germano Nicollini},
  title        = {100+dB A-Weighted {SNR} Microphone Preamplifier With On-Chip Decoupling
                  Capacitors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2737--2750},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216213},
  doi          = {10.1109/JSSC.2012.2216213},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BarbieriN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BelmasHF12,
  author       = {Fran{\c{c}}ois Belmas and
                  Fr{\'{e}}d{\'{e}}ric Hameau and
                  Jean{-}Michel Fournier},
  title        = {A Low Power Inductorless {LNA} With Double G\({}_{\mbox{m}}\) Enhancement
                  in 130 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1094--1103},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185533},
  doi          = {10.1109/JSSC.2012.2185533},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BelmasHF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BergervoetLJHLS12,
  author       = {Jos Bergervoet and
                  Domine Leenaerts and
                  Gerben W. de Jong and
                  Edwin van der Heijden and
                  Jan{-}Willem Lobeek and
                  Alexander Simin},
  title        = {A 1.95 GHz Sub-1 dB NF, +40 dBm {OIP3} {WCDMA} {LNA} Module},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1672--1680},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191673},
  doi          = {10.1109/JSSC.2012.2191673},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BergervoetLJHLS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Boeck12,
  author       = {Georg B{\"{o}}ck},
  title        = {Overview for the Special Section on the 2011 Radio Frequency Integrated
                  Circuits {(RFIC)} Symposium},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1073--1074},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2184650},
  doi          = {10.1109/JSSC.2012.2184650},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Boeck12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BuckwalterZLRK12,
  author       = {James F. Buckwalter and
                  Xuezhe Zheng and
                  Guoliang Li and
                  Kannan Raj and
                  Ashok V. Krishnamoorthy},
  title        = {A Monolithic 25-Gb/s Transceiver With Photonic Ring Modulators and
                  Ge Detectors in a 130-nm {CMOS} {SOI} Process},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1309--1322},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2189835},
  doi          = {10.1109/JSSC.2012.2189835},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BuckwalterZLRK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BulzacchelliDRIBKBCCLTF12,
  author       = {John F. Bulzacchelli and
                  Zeynep Toprak Deniz and
                  Todd M. Rasmus and
                  Joseph A. Iadanza and
                  William L. Bucossi and
                  Seongwon Kim and
                  Rafael Blanco and
                  Carrie E. Cox and
                  Mohak Chhabra and
                  Christopher D. LeBlanc and
                  Christian L. Trudeau and
                  Daniel J. Friedman},
  title        = {Dual-Loop System of Distributed Microregulators With High {DC} Accuracy,
                  Load Response Time Below 500 ps, and 85-mV Dropout Voltage},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {863--874},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185354},
  doi          = {10.1109/JSSC.2012.2185354},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BulzacchelliDRIBKBCCLTF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/BulzacchelliMBSHHHRFGPMSKAKCRSGCBBKTF12,
  author       = {John F. Bulzacchelli and
                  Christian Menolfi and
                  Troy J. Beukema and
                  Daniel W. Storaska and
                  Juergen Hertle and
                  David Hanson and
                  Ping{-}Hsuan Hsieh and
                  Sergey V. Rylov and
                  Daniel Furrer and
                  Daniele Gardellini and
                  Andrea Prati and
                  Thomas Morf and
                  Vivek Sharma and
                  Ram Kelkar and
                  Herschel A. Ainspan and
                  W. R. Kelly and
                  L. R. Chieco and
                  Glenn Ritter and
                  J. A. Sorice and
                  Jon Garlett and
                  Robert Callan and
                  Matthias Braendli and
                  Peter Buchmann and
                  Marcel A. Kossel and
                  Thomas Toifl and
                  Daniel J. Friedman},
  title        = {A 28-Gb/s 4-Tap FFE/15-Tap {DFE} Serial Link Transceiver in 32-nm
                  {SOI} {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3232--3248},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216414},
  doi          = {10.1109/JSSC.2012.2216414},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/BulzacchelliMBSHHHRFGPMSKAKCRSGCBBKTF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ByunKKTC12,
  author       = {Gyungsu Byun and
                  Yanghyo Kim and
                  Jongsun Kim and
                  Sai{-}Wang Tam and
                  Mau{-}Chung Frank Chang},
  title        = {An Energy-Efficient and High-Speed Mobile Memory {I/O} Interface Using
                  Simultaneous Bi-Directional Dual (Base+RF)-Band Signaling},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {117--130},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164709},
  doi          = {10.1109/JSSC.2011.2164709},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ByunKKTC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Camunas-MesaZLASL12,
  author       = {Luis A. Camu{\~{n}}as{-}Mesa and
                  Carlos Zamarre{\~{n}}o{-}Ramos and
                  Alejandro Linares{-}Barranco and
                  Antonio Acosta{-}Jimenez and
                  Teresa Serrano{-}Gotarredona and
                  Bernab{\'{e}} Linares{-}Barranco},
  title        = {An Event-Driven Multi-Kernel Convolution Processor Module for Event-Driven
                  Vision Sensors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {504--517},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2167409},
  doi          = {10.1109/JSSC.2011.2167409},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Camunas-MesaZLASL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CaoCSL12,
  author       = {Ying Cao and
                  Wouter De Cock and
                  Michiel Steyaert and
                  Paul Leroux},
  title        = {1-1-1 {MASH} {\(\Delta\)} {\(\Sigma\)} Time-to-Digital Converters
                  With 6 ps Resolution and Third-Order Noise-Shaping},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2093--2106},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2199530},
  doi          = {10.1109/JSSC.2012.2199530},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CaoCSL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChaiW12,
  author       = {Yun Chai and
                  Jieh{-}Tsorng Wu},
  title        = {A {CMOS} 5.37-mW 10-Bit 200-MS/s Dual-Path Pipelined {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2905--2915},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217872},
  doi          = {10.1109/JSSC.2012.2217872},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChaiW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChangLZVCD12,
  author       = {Yuyu Chang and
                  John C. Leete and
                  Zhimin Zhou and
                  Morteza Vadipour and
                  Yin{-}Ting Chang and
                  Hooman Darabi},
  title        = {A Differential Digitally Controlled Crystal Oscillator With a 14-Bit
                  Tuning Resolution and Sine Wave Outputs for Cellular Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {421--434},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2172673},
  doi          = {10.1109/JSSC.2011.2172673},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChangLZVCD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenCLCSLWCY12,
  author       = {Yen{-}Huei Chen and
                  Shao{-}Yu Chou and
                  Quincy Li and
                  Wei{-}Min Chan and
                  Dar Sun and
                  Hung{-}Jen Liao and
                  Ping Wang and
                  Meng{-}Fan Chang and
                  Hiroyuki Yamauchi},
  title        = {Compact Measurement Schemes for Bit-Line Swing, Sense Amplifier Offset
                  Voltage, and Word-Line Pulse Width to Characterize Sensing Tolerance
                  Margin in a 40 nm Fully Functional Embedded {SRAM}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {969--980},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185180},
  doi          = {10.1109/JSSC.2012.2185180},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenCLCSLWCY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenCS12,
  author       = {Fred Chen and
                  Anantha P. Chandrakasan and
                  Vladimir Stojanovic},
  title        = {Design and Analysis of a Hardware-Efficient Compressed Sensing Architecture
                  for Data Compression in Wireless Sensors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {744--756},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2179451},
  doi          = {10.1109/JSSC.2011.2179451},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenCS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenH12,
  author       = {Kuo{-}Hsin Chen and
                  Yen{-}Shun Hsu},
  title        = {A High-PSRR Reconfigurable Class-AB/D Audio Amplifier Driving a Hands-Free/Receiver
                  2-in-1 Loudspeaker},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2586--2603},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211657},
  doi          = {10.1109/JSSC.2012.2211657},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenIIZHORTS12,
  author       = {Po{-}Hung Chen and
                  Koichi Ishida and
                  Katsuyuki Ikeuchi and
                  Xin Zhang and
                  Kentaro Honda and
                  Yasuyuki Okuma and
                  Yoshikatsu Ryu and
                  Makoto Takamiya and
                  Takayasu Sakurai},
  title        = {Startup Techniques for 95 mV Step-Up Converter by Capacitor Pass-On
                  Scheme and V\({}_{\mbox{TH}}\)-Tuned Oscillator With Fixed Charge
                  Programming},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1252--1260},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185589},
  doi          = {10.1109/JSSC.2012.2185589},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenIIZHORTS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenLCL12,
  author       = {Chih{-}Lung Chen and
                  Yu{-}Hsiang Lin and
                  Hsie{-}Chia Chang and
                  Chen{-}Yi Lee},
  title        = {A 2.37-Gb/s 284.8 mW Rate-Compatible (491, 3, 6) {LDPC-CC} Decoder},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {817--831},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185193},
  doi          = {10.1109/JSSC.2012.2185193},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenLCL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenLJ12,
  author       = {Wu{-}Hsin Chen and
                  Wing{-}Fai Loke and
                  Byunghoo Jung},
  title        = {A 0.5-V, 440-{\(\mathrm{\mu}\)}W Frequency Synthesizer for Implantable
                  Medical Devices},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1896--1907},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196315},
  doi          = {10.1109/JSSC.2012.2196315},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenLJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenLWZXZ12,
  author       = {Yiran Chen and
                  Hai Li and
                  Xiaobin Wang and
                  Wenzhong Zhu and
                  Wei Xu and
                  Tong Zhang},
  title        = {A 130 nm 1.2 {V/3.3} {V} 16 Kb Spin-Transfer Torque Random Access
                  Memory With Nondestructive Self-Reference Sensing Scheme},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {560--573},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170778},
  doi          = {10.1109/JSSC.2011.2170778},
  timestamp    = {Mon, 04 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenLWZXZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenRJYZ12,
  author       = {Jian Chen and
                  Liang Rong and
                  Fredrik Jonsson and
                  Geng Yang and
                  Li{-}Rong Zheng},
  title        = {The Design of All-Digital Polar Transmitter Based on {ADPLL} and Phase
                  Synchronized {\(\Delta\)}{\(\Sigma\)} Modulator},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1154--1164},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2186720},
  doi          = {10.1109/JSSC.2012.2186720},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenRJYZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenSLHL12,
  author       = {Ming{-}Shuan Chen and
                  Yu{-}Nan Shih and
                  Chen{-}Lun Lin and
                  Hao{-}Wei Hung and
                  Jri Lee},
  title        = {A Fully-Integrated 40-Gb/s Transceiver in 65-nm {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {627--640},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2176635},
  doi          = {10.1109/JSSC.2011.2176635},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenSLHL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenWHLLS12,
  author       = {Chun{-}Ying Chen and
                  Jiangfeng Wu and
                  Juo{-}Jung Hung and
                  Tianwei Li and
                  Wenbo Liu and
                  Wei{-}Ta Shih},
  title        = {A 12-Bit 3 GS/s Pipeline {ADC} With 0.4 mm\({}^{\mbox{2}}\) and 500
                  mW in 40 nm Digital {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {1013--1021},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185192},
  doi          = {10.1109/JSSC.2012.2185192},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenWHLLS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenWYH12,
  author       = {Zhiming Chen and
                  Chun{-}Cheng Wang and
                  Hsin{-}Cheng Yao and
                  Payam Heydari},
  title        = {A BiCMOS W-Band 2{\texttimes}2 Focal-Plane Array With On-Chip Antenna},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2355--2371},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2209775},
  doi          = {10.1109/JSSC.2012.2209775},
  timestamp    = {Mon, 21 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenWYH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenYY12,
  author       = {E{-}Hung Chen and
                  Ramy Yousry and
                  Chih{-}Kong Ken Yang},
  title        = {Power Optimized ADC-Based Serial Link Receiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {938--951},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185356},
  doi          = {10.1109/JSSC.2012.2185356},
  timestamp    = {Fri, 08 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenYY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChenZIORTS12,
  author       = {Po{-}Hung Chen and
                  Xin Zhang and
                  Koichi Ishida and
                  Yasuyuki Okuma and
                  Yoshikatsu Ryu and
                  Makoto Takamiya and
                  Takayasu Sakurai},
  title        = {An 80 mV Startup Dual-Mode Boost Converter by Charge-Pumped Pulse
                  Generator and Threshold Voltage Tuned Oscillator With Hot Carrier
                  Injection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2554--2562},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2210953},
  doi          = {10.1109/JSSC.2012.2210953},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChenZIORTS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChiuCWCSCT12,
  author       = {Pi{-}Feng Chiu and
                  Meng{-}Fan Chang and
                  Che{-}Wei Wu and
                  Ching{-}Hao Chuang and
                  Shyh{-}Shyuan Sheu and
                  Yu{-}Sheng Chen and
                  Ming{-}Jinn Tsai},
  title        = {Low Store Energy, Low VDDmin, 8T2R Nonvolatile Latch and {SRAM} With
                  Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power
                  Mobile Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1483--1496},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2192661},
  doi          = {10.1109/JSSC.2012.2192661},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChiuCWCSCT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChoiTYRKK12,
  author       = {Youngkil Choi and
                  Wonho Tak and
                  Younghyun Yoon and
                  Jeongjin Roh and
                  Sunwoo Kwon and
                  Jinseok Koh},
  title        = {A 0.018{\%} THD+N, 88-dB {PSRR} {PWM} Class-D Amplifier for Direct
                  Battery Hookup},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {454--463},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170770},
  doi          = {10.1109/JSSC.2011.2170770},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChoiTYRKK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChongC12,
  author       = {Sau Siong Chong and
                  Pak Kwong Chan},
  title        = {Cross Feedforward Cascode Compensation for Low-Power Three-Stage Amplifier
                  With Large Capacitive Load},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2227--2234},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2194090},
  doi          = {10.1109/JSSC.2012.2194090},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChongC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChongCGC12,
  author       = {Kwen{-}Siong Chong and
                  Kok{-}Leong Chang and
                  Bah{-}Hwee Gwee and
                  Joseph S. Chang},
  title        = {Synchronous-Logic and Globally-Asynchronous-Locally-Synchronous {(GALS)}
                  Acoustic Digital Signal Processors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {769--780},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2181678},
  doi          = {10.1109/JSSC.2011.2181678},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ChongCGC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChouHLYSCHLLWHPH12,
  author       = {Wen{-}Shen Chou and
                  Tzu{-}Chi Huang and
                  Yu{-}Huei Lee and
                  Yao{-}Yi Yang and
                  Yi{-}Ping Su and
                  Ke{-}Horng Chen and
                  Chen{-}Chih Huang and
                  Ying{-}Hsi Lin and
                  Chao{-}Cheng Lee and
                  Kuei{-}Ann Wen and
                  Ying{-}Chih Hsu and
                  Yung{-}Chow Peng and
                  Fu{-}Lung Hsueh},
  title        = {An Embedded Dynamic Voltage Scaling {(DVS)} System Through 55 nm Single-Inductor
                  Dual-Output {(SIDO)} Switching Converter for 12-Bit Video Digital-to-Analog
                  Converter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1568--1584},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191331},
  doi          = {10.1109/JSSC.2012.2191331},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChouHLYSCHLLWHPH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChowdhuryTYAN12,
  author       = {Debopriyo Chowdhury and
                  Siva V. Thyagarajan and
                  Lu Ye and
                  Elad Alon and
                  Ali M. Niknejad},
  title        = {A Fully-Integrated Efficient {CMOS} Inverse Class-D Power Amplifier
                  for Digital Polar Transmitters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1113--1122},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185555},
  doi          = {10.1109/JSSC.2012.2185555},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChowdhuryTYAN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Chun0JK12,
  author       = {Ki Chul Chun and
                  Wei Zhang and
                  Pulkit Jain and
                  Chris H. Kim},
  title        = {A 2T1C Embedded {DRAM} Macro With No Boosted Supplies Featuring a
                  7T {SRAM} Based Repair and a Cell Storage Monitor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2517--2526},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2206685},
  doi          = {10.1109/JSSC.2012.2206685},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Chun0JK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChunJKK12,
  author       = {Ki Chul Chun and
                  Pulkit Jain and
                  Tae{-}Ho Kim and
                  Chris H. Kim},
  title        = {A 667 MHz Logic-Compatible Embedded {DRAM} Featuring an Asymmetric
                  2T Gain Cell for High Speed On-Die Caches},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {547--559},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2168729},
  doi          = {10.1109/JSSC.2011.2168729},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChunJKK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChungIK12,
  author       = {Hayun Chung and
                  Hiroki Ishikuro and
                  Tadahiro Kuroda},
  title        = {A 10-Bit 80-MS/s Decision-Select Successive Approximation {TDC} in
                  65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1232--1241},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2184640},
  doi          = {10.1109/JSSC.2012.2184640},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChungIK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ChungRMIK12,
  author       = {Hayun Chung and
                  Andrzej Radecki and
                  Noriyuki Miura and
                  Hiroki Ishikuro and
                  Tadahiro Kuroda},
  title        = {A 0.025-0.45 {W} 60{\%}-Efficiency Inductive-Coupling Power Transceiver
                  With 5-Bit Dual-Frequency Feedforward Control for Non-Contact Memory
                  Cards},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2496--2504},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2206686},
  doi          = {10.1109/JSSC.2012.2206686},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ChungRMIK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CliquennoisDMBN12,
  author       = {Sebastien Cliquennois and
                  Achille Donida and
                  Piero Malcovati and
                  Andrea Baschirotto and
                  Angelo Nagari},
  title        = {A 65-nm, 1-A Buck Converter With Multi-Function SAR-ADC-Based {CCM/PSK}
                  Digital Control Loop},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1546--1556},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191214},
  doi          = {10.1109/JSSC.2012.2191214},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CliquennoisDMBN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CrottiRG12,
  author       = {Matteo Crotti and
                  Ivan Rech and
                  Massimo Ghioni},
  title        = {Four Channel, 40 ps Resolution, Fully Integrated Time-to-Amplitude
                  Converter for Time-Resolved Photon Counting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {699--708},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2176161},
  doi          = {10.1109/JSSC.2011.2176161},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CrottiRG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/CuiRSVHPKNMZAHZMC12,
  author       = {Delong Cui and
                  Bharath Raghavan and
                  Ullas Singh and
                  Anand Vasani and
                  Zhi Chao Huang and
                  Deyi Pi and
                  Mehdi Khanpour and
                  Ali Nazemi and
                  Hassan Maarefi and
                  Wei Zhang and
                  Tamer A. Ali and
                  Nick Huang and
                  Bo Zhang and
                  Afshin Momtaz and
                  Jun Cao},
  title        = {A Dual-Channel 23-Gbps {CMOS} Transmitter/Receiver Chipset for 40-Gbps
                  {RZ-DQPSK} and {CS-RZ-DQPSK} Optical Transmission},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3249--3260},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216451},
  doi          = {10.1109/JSSC.2012.2216451},
  timestamp    = {Thu, 01 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/CuiRSVHPKNMZAHZMC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Dai12,
  author       = {Fa Foster Dai},
  title        = {Introduction to the Special Section on the 25th Bipolar/BiCMOS Circuits
                  and Technology Meeting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {1964--1965},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2201270},
  doi          = {10.1109/JSSC.2012.2201270},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Dai12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DarvishiZKN12,
  author       = {Milad Darvishi and
                  Ronan A. R. van der Zee and
                  Eric A. M. Klumperink and
                  Bram Nauta},
  title        = {Widely Tunable 4th Order Switched G\({}_{\mbox{m}}\)-C Band-Pass Filter
                  Based on N-Path Filters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3105--3119},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2225542},
  doi          = {10.1109/JSSC.2012.2225542},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DarvishiZKN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DicksonLRDTABAGTBPKF12,
  author       = {Timothy O. Dickson and
                  Yong Liu and
                  Sergey V. Rylov and
                  Bing Dang and
                  Cornelia K. Tsang and
                  Paul S. Andry and
                  John F. Bulzacchelli and
                  Herschel A. Ainspan and
                  Xiaoxiong Gu and
                  Lavanya Turlapati and
                  Michael P. Beakes and
                  Benjamin D. Parker and
                  John U. Knickerbocker and
                  Daniel J. Friedman},
  title        = {An 8x 10-Gb/s Source-Synchronous {I/O} System Based on High-Density
                  Silicon Carrier Interconnects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {884--896},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185184},
  doi          = {10.1109/JSSC.2012.2185184},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DicksonLRDTABAGTBPKF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DongM12,
  author       = {Yunzhi Dong and
                  Kenneth W. Martin},
  title        = {A High-Speed Fully-Integrated {POF} Receiver With Large-Area Photo
                  Detectors in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2080--2092},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2200529},
  doi          = {10.1109/JSSC.2012.2200529},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DongM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DooperB12,
  author       = {L{\^{u}}tsen Dooper and
                  Marco Berkhout},
  title        = {A 3.4 {W} Digital-In Class-D Audio Amplifier in 0.14{\(\mathrm{\mu}\)}m
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1524--1534},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191683},
  doi          = {10.1109/JSSC.2012.2191683},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DooperB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/DrostTH12,
  author       = {Brian Drost and
                  Mrunmay Talegaonkar and
                  Pavan Kumar Hanumolu},
  title        = {Analog Filter Design Using Ring Oscillator Integrators},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3120--3129},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2225738},
  doi          = {10.1109/JSSC.2012.2225738},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/DrostTH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FanHM12,
  author       = {Qinwen Fan and
                  Johan H. Huijsing and
                  Kofi A. A. Makinwa},
  title        = {A 21 nV/{\(\surd\)} Hz Chopper-Stabilized Multi-Path Current-Feedback
                  Instrumentation Amplifier With 2 {\(\mathrm{\mu}\)} {V} Offset},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {464--475},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2175269},
  doi          = {10.1109/JSSC.2011.2175269},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FanHM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Farjad-RadGBTNSSN12,
  author       = {Ramin Farjad{-}Rad and
                  Friedel Gerfers and
                  Michael Brown and
                  Ahmad Tavakoli and
                  David Nguyen and
                  Hossein Sedarat and
                  Ramin Shirani and
                  Hiok{-}Tiaq Ng},
  title        = {A 48-Port FCC-Compliant 10GBASE-T Transmitter With Mixed-Mode Adaptive
                  Echo Canceller},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3261--3272},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216452},
  doi          = {10.1109/JSSC.2012.2216452},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Farjad-RadGBTNSSN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FernandezMM12,
  author       = {Daniel Fern{\'{a}}ndez and
                  Lu{\'{\i}}s Mart{\'{\i}}nez{-}Alvarado and
                  Jordi Madrenas},
  title        = {A Translinear, Log-Domain {FPAA} on Standard {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {490--503},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170597},
  doi          = {10.1109/JSSC.2011.2170597},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FernandezMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FoleyBCWGGN12,
  author       = {Denis Foley and
                  Pankaj Bansal and
                  Don Cherepacha and
                  Robert Wasmuth and
                  Aswin Gunasekar and
                  Srinivasa Rao Gutta and
                  Ajay Naini},
  title        = {A Low-Power Integrated x86-64 and Graphics Processor for Mobile Computing
                  Devices},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {220--231},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2167776},
  doi          = {10.1109/JSSC.2011.2167776},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FoleyBCWGGN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FredenburgF12,
  author       = {Jeffrey Fredenburg and
                  Michael P. Flynn},
  title        = {A 90-MS/s 11-MHz-Bandwidth 62-dB {SNDR} Noise-Shaping {SAR} {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2898--2904},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217874},
  doi          = {10.1109/JSSC.2012.2217874},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FredenburgF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FukudaWMKSTKSTSOEINMMFYSNHTKMSYSSDWKMMNHLHMLMNH12,
  author       = {Koichi Fukuda and
                  Yoshihisa Watanabe and
                  Eiichi Makino and
                  Koichi Kawakami and
                  Jumpei Sato and
                  Teruo Takagiwa and
                  Naoaki Kanagawa and
                  Hitoshi Shiga and
                  Naoya Tokiwa and
                  Yoshihiko Shindo and
                  Takeshi Ogawa and
                  Toshiaki Edahiro and
                  Makoto Iwai and
                  Osamu Nagao and
                  Junji Musha and
                  Takatoshi Minamoto and
                  Yuka Furuta and
                  Kosuke Yanagidaira and
                  Yuya Suzuki and
                  Dai Nakamura and
                  Yoshikazu Hosomura and
                  Rieko Tanaka and
                  Hiromitsu Komai and
                  Mai Muramoto and
                  Go Shikata and
                  Ayako Yuminaka and
                  Kiyofumi Sakurai and
                  Manabu Sakai and
                  Hong Ding and
                  Mitsuyuki Watanabe and
                  Yosuke Kato and
                  Toru Miwa and
                  Alex Mak and
                  Masaru Nakamichi and
                  Gertjan Hemink and
                  Dana Lee and
                  Masaaki Higashitani and
                  Brian Murphy and
                  Bo Lei and
                  Yasuhiko Matsunaga and
                  Kiyomi Naruke and
                  Takahiko Hara},
  title        = {A 151-mm\({}^{\mbox{2}}\) 64-Gb 2 Bit/Cell {NAND} Flash Memory in
                  24-nm {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {75--84},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164711},
  doi          = {10.1109/JSSC.2011.2164711},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FukudaWMKSTKSTSOEINMMFYSNHTKMSYSSDWKMMNHLHMLMNH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GalalZACMCPMB12,
  author       = {Sherif Galal and
                  Hui Zheng and
                  Khaled Abdelfattah and
                  Vinay Chandrasekhar and
                  Iuri Mehr and
                  Alex Jianzhong Chen and
                  John Platenak and
                  Nir Matalon and
                  Todd Brooks},
  title        = {A 60 mW Class-G Stereo Headphone Driver for Portable Battery-Powered
                  Devices},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1921--1934},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197155},
  doi          = {10.1109/JSSC.2012.2197155},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GalalZACMCPMB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GambiniCAR12,
  author       = {Simone Gambini and
                  John Crossley and
                  Elad Alon and
                  Jan M. Rabaey},
  title        = {A Fully Integrated, 290 pJ/bit {UWB} Dual-Mode Transceiver for cm-Range
                  Wireless Interconnects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {586--598},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2177690},
  doi          = {10.1109/JSSC.2011.2177690},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GambiniCAR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GangasaniHBRBFKSQXNRGWGSM12,
  author       = {Gautam R. Gangasani and
                  Chun{-}Ming Hsu and
                  John F. Bulzacchelli and
                  Sergey V. Rylov and
                  Troy J. Beukema and
                  David Freitas and
                  William Kelly and
                  Michael Shannon and
                  Jieming Qi and
                  Hui H. Xu and
                  Joseph Natonio and
                  Todd M. Rasmus and
                  Jong{-}Ru Guo and
                  Michael Wielgos and
                  Jon Garlett and
                  Michael Sorna and
                  Mounir Meghelli},
  title        = {A 16-Gb/s Backplane Transceiver With 12-Tap Current Integrating {DFE}
                  and Dynamic Adaptation of Voltage Offset and Timing Drifts in 45-nm
                  {SOI} {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1828--1841},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196313},
  doi          = {10.1109/JSSC.2012.2196313},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GangasaniHBRBFKSQXNRGWGSM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GaoWNMSMM12,
  author       = {Hua Gao and
                  Ross M. Walker and
                  Paul Nuyujukian and
                  Kofi A. A. Makinwa and
                  Krishna V. Shenoy and
                  Boris Murmann and
                  Teresa H. Meng},
  title        = {HermesE: {A} 96-Channel Full Data Rate Direct Neural Interface in
                  0.13 {\(\mathrm{\mu}\)}m {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {1043--1055},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185338},
  doi          = {10.1109/JSSC.2012.2185338},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GaoWNMSMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GeorgasORS12,
  author       = {Michael Georgas and
                  Jason Orcutt and
                  Rajeev J. Ram and
                  Vladimir Stojanovic},
  title        = {A Monolithically-Integrated Optical Receiver in Standard 45-nm {SOI}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1693--1702},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191684},
  doi          = {10.1109/JSSC.2012.2191684},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GeorgasORS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GersbachMTFSRWHC12,
  author       = {Marek Gersbach and
                  Yuki Maruyama and
                  Rahmadi Trimananda and
                  Matthew W. Fishburn and
                  David Stoppa and
                  Justin A. Richardson and
                  Richard Walker and
                  Robert K. Henderson and
                  Edoardo Charbon},
  title        = {A Time-Resolved, Low-Noise Single-Photon Image Sensor Fabricated in
                  Deep-Submicron {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1394--1407},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2188466},
  doi          = {10.1109/JSSC.2012.2188466},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GersbachMTFSRWHC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GhoshG12,
  author       = {Diptendu Ghosh and
                  Ranjit Gharpurey},
  title        = {A Power-Efficient Receiver Architecture Employing Bias-Current-Shared
                  {RF} and Baseband With Merged Supply Voltage Domains and 1/f Noise
                  Reduction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {381--391},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2175270},
  doi          = {10.1109/JSSC.2011.2175270},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GhoshG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GodoyCBPD12,
  author       = {Philip A. Godoy and
                  SungWon Chung and
                  Taylor W. Barton and
                  David J. Perreault and
                  Joel L. Dawson},
  title        = {A 2.4-GHz, 27-dBm Asymmetric Multilevel Outphasing Power Amplifier
                  in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2372--2384},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2202810},
  doi          = {10.1109/JSSC.2012.2202810},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GodoyCBPD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuC12,
  author       = {Ming Gu and
                  Shantanu Chakrabartty},
  title        = {Subthreshold, Varactor-Driven {CMOS} Floating-Gate Current Memory
                  Array With Less Than 150-ppm/{\textdegree}K Temperature Sensitivity},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2846--2856},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2214911},
  doi          = {10.1109/JSSC.2012.2214911},
  timestamp    = {Fri, 15 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/GuC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuanziroliBCDN12,
  author       = {Federico Guanziroli and
                  Rossella Bassoli and
                  Carlo Crippa and
                  Daniele Devecchi and
                  Germano Nicollini},
  title        = {A 1 {W} 104 dB {SNR} Filter-Less Fully-Digital Open-Loop Class {D}
                  Audio Amplifier With {EMI} Reduction},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {686--698},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2178930},
  doi          = {10.1109/JSSC.2011.2178930},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuanziroliBCDN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuerberVGWM12,
  author       = {Jon Guerber and
                  Hariprasath Venkatram and
                  Manideep Gande and
                  Allen Waters and
                  Un{-}Ku Moon},
  title        = {A 10-b Ternary {SAR} {ADC} With Quantization Time Information Utilization},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2604--2613},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211696},
  doi          = {10.1109/JSSC.2012.2211696},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuerberVGWM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuhadosHL12,
  author       = {Shankar Guhados and
                  Paul J. Hurst and
                  Stephen H. Lewis},
  title        = {A Pipelined {ADC} With Metastability Error Rate {\textless}10\({}^{\mbox{-15}}\)
                  Errors/Sample},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2119--2128},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2198773},
  doi          = {10.1109/JSSC.2012.2198773},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuhadosHL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuoS12,
  author       = {Jian Guo and
                  Sameer R. Sonkusale},
  title        = {A 65 nm {CMOS} Digital Phase Imager for Time-Resolved Fluorescence
                  Imaging},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1731--1742},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191335},
  doi          = {10.1109/JSSC.2012.2191335},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuoS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuoYHLYC12,
  author       = {Jing Guo and
                  George Jie Yuan and
                  Jiageng Huang and
                  Jessica Ka{-}Yan Law and
                  Chi{-}Kong Yeung and
                  Mansun Chan},
  title        = {32.9 nV/rt Hz - 60.6 dB {THD} Dual-Band Micro-Electrode Array Signal
                  Acquisition {IC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1209--1220},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185590},
  doi          = {10.1109/JSSC.2012.2185590},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuoYHLYC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GuptaGLRA12,
  author       = {Subhanshu Gupta and
                  Daibashish Gangopadhyay and
                  Hasnain Lakdawala and
                  Jacques Christophe Rudell and
                  David J. Allstot},
  title        = {A 0.8-2 GHz Fully-Integrated QPLL-Timed Direct-RF-Sampling Bandpass
                  {\(\Sigma\)}{\(\Delta\)} {ADC} in 0.13 {\(\mathrm{\mu}\)}m {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1141--1153},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185530},
  doi          = {10.1109/JSSC.2012.2185530},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GuptaGLRA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HadiSGZFKCKP12,
  author       = {Richard Al Hadi and
                  Hani Sherry and
                  Janus Grzyb and
                  Yan Zhao and
                  Wolfgang Forster and
                  H. M. Keller and
                  Andreia Cathelin and
                  Andreas Kaiser and
                  Ullrich R. Pfeiffer},
  title        = {A 1 k-Pixel Video Camera for 0.7-1.1 Terahertz Imaging Applications
                  in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2999--3012},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217851},
  doi          = {10.1109/JSSC.2012.2217851},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HadiSGZFKCKP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HarpeBPG12,
  author       = {Pieter Harpe and
                  Ben Busze and
                  Kathleen Philips and
                  Harmke de Groot},
  title        = {A 0.47-1.6 mW 5-bit 0.5-1 GS/s Time-Interleaved {SAR} {ADC} for Low-Power
                  {UWB} Radios},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1594--1602},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191042},
  doi          = {10.1109/JSSC.2012.2191042},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HarpeBPG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HassanLLA12,
  author       = {Muhammad Hassan and
                  Lawrence E. Larson and
                  Vincent W. Leung and
                  Peter M. Asbeck},
  title        = {A Combined Series-Parallel Hybrid Envelope Amplifier for Envelope
                  Tracking Mobile Terminal {RF} Power Amplifier Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1185--1198},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2184639},
  doi          = {10.1109/JSSC.2012.2184639},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HassanLLA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HatanakaT12,
  author       = {Teruyoshi Hatanaka and
                  Ken Takeuchi},
  title        = {{NAND} Controller System With Channel Number Detection and Feedback
                  for Power-Efficient High-Speed 3D-SSD},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1460--1468},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2190187},
  doi          = {10.1109/JSSC.2012.2190187},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HatanakaT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HedayatiMVMGSE12,
  author       = {Hajir Hedayati and
                  Mohamed Mobarak and
                  Guillaume Varin and
                  Philippe Meunier and
                  Patrice Gamand and
                  Edgar S{\'{a}}nchez{-}Sinencio and
                  Kamran Entesari},
  title        = {A 2-GHz Highly Linear Efficient Dual-Mode BiCMOS Power Amplifier Using
                  a Reconfigurable Matching Network},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2385--2404},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2203460},
  doi          = {10.1109/JSSC.2012.2203460},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HedayatiMVMGSE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HehnHMMKFM12,
  author       = {Thorsten Hehn and
                  Friedrich Hagedorn and
                  Dominic Maurath and
                  Djordje Marinkovic and
                  Ingo Kuehne and
                  Alexander Frey and
                  Yiannos Manoli},
  title        = {A Fully Autonomous Integrated Interface Circuit for Piezoelectric
                  Harvesters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2185--2198},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2200530},
  doi          = {10.1109/JSSC.2012.2200530},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HehnHMMKFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HelmyJLLKKSE12,
  author       = {Ahmed A. Helmy and
                  Hyung{-}Joon Jeon and
                  Yung{-}Chung Lo and
                  Andreas J. Larsson and
                  Raghavendra Kulkarni and
                  Jusung Kim and
                  Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and
                  Kamran Entesari},
  title        = {A Self-Sustained {CMOS} Microwave Chemical Sensor Using a Frequency
                  Synthesizer},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2467--2483},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2203458},
  doi          = {10.1109/JSSC.2012.2203458},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HelmyJLLKKSE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HershbergWSTHM12,
  author       = {Benjamin P. Hershberg and
                  Skyler Weaver and
                  Kazuki Sobue and
                  Seiji Takeuchi and
                  Koichi Hamashita and
                  Un{-}Ku Moon},
  title        = {Ring Amplifiers for Switched Capacitor Circuits},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2928--2942},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217865},
  doi          = {10.1109/JSSC.2012.2217865},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HershbergWSTHM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Heydari12,
  author       = {Payam Heydari},
  title        = {Introduction to the 33rd Annual {IEEE} Compound Semiconductor Integrated
                  Circuit Symposium},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2280--2281},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204912},
  doi          = {10.1109/JSSC.2012.2204912},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Heydari12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HoS12,
  author       = {Yingchieh Ho and
                  Chauchin Su},
  title        = {A 0.1-0.3 {V} 40-123 fJ/bit/ch On-Chip Data Link With ISI-Suppressed
                  Bootstrapped Repeaters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1242--1251},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2186722},
  doi          = {10.1109/JSSC.2012.2186722},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HoS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuBJMRPC12,
  author       = {Kangmin Hu and
                  Rui Bai and
                  Tao Jiang and
                  Chao Ma and
                  Ahmed Ragab and
                  Samuel Palermo and
                  Patrick Yin Chiang},
  title        = {0.16-0.25 pJ/bit, 8 Gb/s Near-Threshold Serial Link Receiver With
                  Super-Harmonic Injection-Locking},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1842--1853},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196312},
  doi          = {10.1109/JSSC.2012.2196312},
  timestamp    = {Wed, 08 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuBJMRPC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuXZWLJM12,
  author       = {Sanming Hu and
                  Yong{-}Zhong Xiong and
                  Bo Zhang and
                  Lei Wang and
                  Teck{-}Guan Lim and
                  Minkyu Je and
                  Mohammad Madihian},
  title        = {A SiGe BiCMOS Transmitter/Receiver Chipset With On-Chip {SIW} Antennas
                  for Terahertz Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2654--2664},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211658},
  doi          = {10.1109/JSSC.2012.2211658},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuXZWLJM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangBHDGL12,
  author       = {Xiongchuan Huang and
                  Ao Ba and
                  Pieter Harpe and
                  Guido Dolmans and
                  Harmke de Groot and
                  Jeffrey Richard Long},
  title        = {A 915 MHz, Ultra-Low Power 2-Tone Transceiver With Enhanced Interference
                  Resilience},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3197--3207},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216706},
  doi          = {10.1109/JSSC.2012.2216706},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangBHDGL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangC12,
  author       = {Chenling Huang and
                  Shantanu Chakrabartty},
  title        = {An Asynchronous Analog Self-Powered {CMOS} Sensor-Data-Logger With
                  a 13.56 MHz {RF} Programming Interface},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {476--489},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2172159},
  doi          = {10.1109/JSSC.2011.2172159},
  timestamp    = {Wed, 16 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangCLL12,
  author       = {Guan{-}Ying Huang and
                  Soon{-}Jyh Chang and
                  Chun{-}Cheng Liu and
                  Ying{-}Zu Lin},
  title        = {A 1-{\(\mathrm{\mu}\)}W 10-bit 200-kS/s {SAR} {ADC} With a Bypass
                  Window for Biomedical Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2783--2795},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217635},
  doi          = {10.1109/JSSC.2012.2217635},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangCLL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HuangHYLKCHLL12,
  author       = {Tzu{-}Chi Huang and
                  Chun{-}Yu Hsieh and
                  Yao{-}Yi Yang and
                  Yu{-}Huei Lee and
                  Yu{-}Chai Kang and
                  Ke{-}Horng Chen and
                  Chen{-}Chih Huang and
                  Ying{-}Hsi Lin and
                  Ming{-}Wei Lee},
  title        = {A Battery-Free 217 nW Static Control Power Buck Converter for Wireless
                  {RF} Energy Harvesting With {\'{$\alpha$}}-Calibrated Dynamic
                  On/Off Time and Adaptive Phase Lead Control},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {852--862},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185577},
  doi          = {10.1109/JSSC.2012.2185577},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HuangHYLKCHLL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HwangJKLJH12,
  author       = {Jong Tae Hwang and
                  Moon Sang Jung and
                  Dae Ho Kim and
                  Jun Hong Lee and
                  Minho Jung and
                  Jong{-}Shin Ha},
  title        = {Off-the-Line Primary Side Regulation {LED} Lamp Driver With Single-Stage
                  {PFC} and {TRIAC} Dimming Using {LED} Forward Voltage and Duty Variation
                  Tracking Control},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3081--3094},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2225735},
  doi          = {10.1109/JSSC.2012.2225735},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HwangJKLJH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/HwangSKJK12,
  author       = {Sewook Hwang and
                  Minyoung Song and
                  Young{-}Ho Kwak and
                  Inhwa Jung and
                  Chulwoo Kim},
  title        = {A 3.5 GHz Spread-Spectrum Clock Generator With a Memoryless Newton-Raphson
                  Modulation Profile},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1199--1208},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2183970},
  doi          = {10.1109/JSSC.2012.2183970},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/HwangSKJK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IckesGSRGWMDRPHBBCK12,
  author       = {Nathan Ickes and
                  Gordon Gammie and
                  Mahmut E. Sinangil and
                  Rahul Rithe and
                  Jie Gu and
                  Alice Wang and
                  Hugh Mair and
                  Satyendra Datla and
                  Bing Rong and
                  Sushma Honnavara Prasad and
                  Lam Ho and
                  Greg Baldwin and
                  Dennis Buss and
                  Anantha P. Chandrakasan and
                  Uming Ko},
  title        = {A 28 nm 0.6 {V} Low Power {DSP} for Mobile Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {35--46},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2169689},
  doi          = {10.1109/JSSC.2011.2169689},
  timestamp    = {Tue, 02 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/IckesGSRGWMDRPHBBCK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IkenagaNSSHSONISNNNHM12,
  author       = {Yoshifumi Ikenaga and
                  Masahiro Nomura and
                  Shuji Suenaga and
                  Hideo Sonohara and
                  Yoshitaka Horikoshi and
                  Toshiyuki Saito and
                  Yukio Ohdaira and
                  Yoichiro Nishio and
                  Tomohiro Iwashita and
                  Miyuki Satou and
                  Koji Nishida and
                  Koichi Nose and
                  Koichiro Noguchi and
                  Yoshihiro Hayashi and
                  Masayuki Mizuno},
  title        = {A 27{\%} Active-Power-Reduced 40-nm {CMOS} Multimedia SoC With Adaptive
                  Voltage Scaling Using Distributed Universal Delay Lines},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {832--840},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185340},
  doi          = {10.1109/JSSC.2012.2185340},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/IkenagaNSSHSONISNNNHM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ImKL12,
  author       = {Donggu Im and
                  Hongteuk Kim and
                  Kwyro Lee},
  title        = {A Broadband {CMOS} {RF} Front-End for Universal Tuners Supporting
                  Multi-Standard Terrestrial and Cable Broadcasts},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {392--406},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2168650},
  doi          = {10.1109/JSSC.2011.2168650},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ImKL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ImWRC12,
  author       = {Jong{-}Pil Im and
                  Se{-}Won Wang and
                  Seung{-}Tak Ryu and
                  Gyu{-}Hyeong Cho},
  title        = {A 40 mV Transformer-Reuse Self-Startup Boost Converter With {MPPT}
                  Control for Thermoelectric Energy Harvesting},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3055--3067},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2225734},
  doi          = {10.1109/JSSC.2012.2225734},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ImWRC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/InoueMNOSNMIOISKOKY12,
  author       = {Hiroki Inoue and
                  Takanori Matsuzaki and
                  Shuhei Nagatsuka and
                  Yutaka Okazaki and
                  Toshinari Sasaki and
                  Kousei Noda and
                  Daisuke Matsubayashi and
                  Takahiko Ishizu and
                  Tatsuya Onuki and
                  Atsuo Isobe and
                  Yutaka Shionoiri and
                  Kiyoshi Kato and
                  Takashi Okuda and
                  Jun Koyama and
                  Shunpei Yamazaki},
  title        = {Nonvolatile Memory With Extremely Low-Leakage Indium-Gallium-Zinc-Oxide
                  Thin-Film Transistor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2258--2265},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2198969},
  doi          = {10.1109/JSSC.2012.2198969},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/InoueMNOSNMIOISKOKY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IshidaHHSNMTSS12,
  author       = {Koichi Ishida and
                  Tsung{-}Ching Huang and
                  Kentaro Honda and
                  Tsuyoshi Sekitani and
                  Hiroyoshi Nakajima and
                  Hiroki Maeda and
                  Makoto Takamiya and
                  Takao Someya and
                  Takayasu Sakurai},
  title        = {A 100-V {AC} Energy Meter Integrating 20-V Organic {CMOS} Digital
                  and Analog Circuits With a Floating Gate for Process Variation Compensation
                  and a 100-V Organic pMOS Rectifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {301--309},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170634},
  doi          = {10.1109/JSSC.2011.2170634},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IshidaHHSNMTSS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IvanovBG12,
  author       = {Vadim Ivanov and
                  Ralf Brederlow and
                  Johannes Gerber},
  title        = {An Ultra Low Power Bandgap Operational at Supply From 0.75 {V}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1515--1523},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191192},
  doi          = {10.1109/JSSC.2012.2191192},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IvanovBG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/IzadH12,
  author       = {Mehran M. Izad and
                  Chun{-}Huat Heng},
  title        = {A Pulse Shaping Technique for Spur Suppression in Injection-Locked
                  Synthesizers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {652--664},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2177178},
  doi          = {10.1109/JSSC.2011.2177178},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/IzadH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JainVP12,
  author       = {Ankesh Jain and
                  Muthusubramaniam Venkatesan and
                  Shanthi Pavan},
  title        = {Analysis and Design of a High Speed Continuous-time {\(\Delta\)}{\(\Sigma\)}
                  Modulator Using the Assisted Opamp Technique},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1615--1625},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191210},
  doi          = {10.1109/JSSC.2012.2191210},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JainVP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JeeSPS12,
  author       = {Dong{-}Woo Jee and
                  Young Hun Seo and
                  Hong{-}June Park and
                  Jae{-}Yoon Sim},
  title        = {A 2 GHz Fractional-N Digital {PLL} with 1b Noise Shaping {\(\Delta\)}{\(\Sigma\)}
                  {TDC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {875--883},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185190},
  doi          = {10.1109/JSSC.2012.2185190},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JeeSPS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JeonPHKLLK12,
  author       = {Hamhee Jeon and
                  Yunseo Park and
                  Yan{-}Yu Huang and
                  Jihwan Kim and
                  Kun{-}Seok Lee and
                  Chang{-}Ho Lee and
                  J. Stevenson Kenney},
  title        = {A Triple-Mode Balanced Linear {CMOS} Power Amplifier Using a Switched-Quadrature
                  Coupler},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2019--2032},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2193510},
  doi          = {10.1109/JSSC.2012.2193510},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JeonPHKLLK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JeonSCBS12,
  author       = {Dongsuk Jeon and
                  Mingoo Seok and
                  Chaitali Chakrabarti and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A Super-Pipelined Energy Efficient Subthreshold 240 MS/s {FFT} Core
                  in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {23--34},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2169311},
  doi          = {10.1109/JSSC.2011.2169311},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JeonSCBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangLZZHC12,
  author       = {Tao Jiang and
                  Wing Liu and
                  Freeman Y. Zhong and
                  Charlie Zhong and
                  Kangmin Hu and
                  Patrick Yin Chiang},
  title        = {A Single-Channel, 1.25-GS/s, 6-bit, 6.08-mW Asynchronous Successive-Approximation
                  {ADC} With Improved Feedback Delay in 40-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2444--2453},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204543},
  doi          = {10.1109/JSSC.2012.2204543},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangLZZHC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiangSCCGCCB12,
  author       = {Xicheng Jiang and
                  Jungwoo Song and
                  Jianlong Chen and
                  Vinay Chandrasekhar and
                  Sherif Galal and
                  Felix Y. L. Cheung and
                  Darwin Cheung and
                  Todd Brooks},
  title        = {A Low-Power, High-Fidelity Stereo Audio Codec in 0.13 {\(\mathrm{\mu}\)}m
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1221--1231},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185591},
  doi          = {10.1109/JSSC.2012.2185591},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiangSCCGCCB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JiaoKK12,
  author       = {Dong Jiao and
                  Bongjin Kim and
                  Chris H. Kim},
  title        = {Design, Modeling, and Test of a Programmable Adaptive Phase-Shifting
                  {PLL} for Enhancing Clock Data Compensation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2505--2516},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211171},
  doi          = {10.1109/JSSC.2012.2211171},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JiaoKK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/JungYLSP12,
  author       = {Hae{-}Kang Jung and
                  Il{-}Min Yi and
                  Soo{-}Min Lee and
                  Jae{-}Yoon Sim and
                  Hong{-}June Park},
  title        = {A Transmitter to Compensate for Crosstalk-Induced Jitter by Subtracting
                  a Rectangular Crosstalk Waveform From Data Signal During the Data
                  Transition Time in Coupled Microstrip Lines},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2068--2079},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197233},
  doi          = {10.1109/JSSC.2012.2197233},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/JungYLSP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KaeriyamaUFOMM12,
  author       = {Shunichi Kaeriyama and
                  Shinichi Uchida and
                  Masayuki Furumiya and
                  Mitsuji Okada and
                  Tadashi Maeda and
                  Masayuki Mizuno},
  title        = {A 2.5 kV Isolation 35 kV/us {CMR} 250 Mbps Digital Isolator in Standard
                  {CMOS} With a Small Transformer Driving Technique},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {435--443},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170775},
  doi          = {10.1109/JSSC.2011.2170775},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KaeriyamaUFOMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KaltiokallioSKPR12,
  author       = {Mikko Kaltiokallio and
                  Ville Saari and
                  Sami Kallioinen and
                  Aarno P{\"{a}}rssinen and
                  Jussi Ryyn{\"{a}}nen},
  title        = {Wideband 2 to 6 GHz {RF} Front-End With Blocker Filtering},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1636--1645},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191348},
  doi          = {10.1109/JSSC.2012.2191348},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/KaltiokallioSKPR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KashmiriSM12,
  author       = {Mahdi Kashmiri and
                  Kamran Souri and
                  Kofi A. A. Makinwa},
  title        = {A Scaled Thermal-Diffusivity-Based 16 MHz Frequency Reference in 0.16
                  {\(\mathrm{\mu}\)}m {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1535--1545},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191043},
  doi          = {10.1109/JSSC.2012.2191043},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KashmiriSM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KavianiWWASCTBCCCDGHHHLMMMRSSSSFSZTVVJCY12,
  author       = {Kambiz Kaviani and
                  Ting Wu and
                  Jason Wei and
                  Amir Amirkhany and
                  Jie Shen and
                  T. J. Chin and
                  Chintan Thakkar and
                  Wendemagegnehu T. Beyene and
                  Norman Chan and
                  Catherine Chen and
                  Bing Ren Chuang and
                  Deborah Dressler and
                  Vijay P. Gadde and
                  Mohammad Hekmat and
                  Eugene Ho and
                  Charlie Huang and
                  Phuong Le and
                  Mahabaleshwara and
                  Chris J. Madden and
                  Navin K. Mishra and
                  Leneesh Raghavan and
                  Keisuke Saito and
                  Ralf Schmitt and
                  Dave Secker and
                  Xudong Shi and
                  H. Md. Shuaeb Fazeel and
                  Gundlapalli Shanmukha Srinivas and
                  Steve Zhang and
                  Chanh Tran and
                  Arun Vaidyanath and
                  Kapil Vyas and
                  Manish Jain and
                  Kun{-}Yung Ken Chang and
                  Xingchao Yuan},
  title        = {A Tri-Modal 20-Gbps/Link Differential/DDR3/GDDR5 Memory Interface},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {926--937},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185370},
  doi          = {10.1109/JSSC.2012.2185370},
  timestamp    = {Mon, 27 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KavianiWWASCTBCCCDGHHHLMMMRSSSSFSZTVVJCY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KaymaksutR12,
  author       = {Ercan Kaymaksut and
                  Patrick Reynaert},
  title        = {Transformer-Based Uneven Doherty Power Amplifier in 90 nm {CMOS} for
                  {WLAN} Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1659--1671},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191334},
  doi          = {10.1109/JSSC.2012.2191334},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KaymaksutR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KhumsatW12,
  author       = {Phanumas Khumsat and
                  Apisak Worapishet},
  title        = {A 0.5-V {R-MOSFET-C} Filter Design Using Subthreshold {R-MOSFET} Resistors
                  and OTAs With Cross-Forward Common-Mode Cancellation Technique},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2751--2762},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216708},
  doi          = {10.1109/JSSC.2012.2216708},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KhumsatW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimB12,
  author       = {Joohwa Kim and
                  James F. Buckwalter},
  title        = {A Switchless, Q-Band Bidirectional Transceiver in 0.12-{\(\mathrm{\mu}\)}m
                  SiGe BiCMOS Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {368--380},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2174283},
  doi          = {10.1109/JSSC.2011.2174283},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimB12a,
  author       = {Joohwa Kim and
                  James F. Buckwalter},
  title        = {A 40-Gb/s Optical Transceiver Front-End in 45 nm {SOI} {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {615--626},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2178723},
  doi          = {10.1109/JSSC.2011.2178723},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimB12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimBW12,
  author       = {Wonyoung Kim and
                  David M. Brooks and
                  Gu{-}Yeon Wei},
  title        = {A Fully-Integrated 3-Level {DC-DC} Converter for Nanosecond-Scale
                  {DVFS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {206--219},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2169309},
  doi          = {10.1109/JSSC.2011.2169309},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimBW12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimKJHYKLK12,
  author       = {Jihwan Kim and
                  Woonyun Kim and
                  Hamhee Jeon and
                  Yan{-}Yu Huang and
                  Youngchang Yoon and
                  Hyungwook Kim and
                  Chang{-}Ho Lee and
                  Kevin T. Kornegay},
  title        = {A Fully-Integrated High-Power Linear {CMOS} Power Amplifier With a
                  Parallel-Series Combining Transformer},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {599--614},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2180977},
  doi          = {10.1109/JSSC.2011.2180977},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimKJHYKLK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimKK12,
  author       = {Hong{-}Yun Kim and
                  Young{-}Jun Kim and
                  Lee{-}Sup Kim},
  title        = {{MRTP:} Mobile Ray Tracing Processor With Reconfigurable Stream Multi-Processors
                  for High Datapath Utilization},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {518--535},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2171417},
  doi          = {10.1109/JSSC.2011.2171417},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimKK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimKKL12,
  author       = {Seong{-}Jin Kim and
                  James D. K. Kim and
                  Byongmin Kang and
                  KeeChang Lee},
  title        = {A {CMOS} Image Sensor Based on Unified Pixel Architecture With Time-Division
                  Multiplexing Scheme for Color and Depth Image Acquisition},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2834--2845},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2214179},
  doi          = {10.1109/JSSC.2012.2214179},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/KimKKL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimM12,
  author       = {Justin Kyung{-}Ryun Kim and
                  Boris Murmann},
  title        = {A 12-b, 30-MS/s, 2.95-mW Pipelined {ADC} Using Single-Stage Class-AB
                  Amplifiers and Deterministic Background Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2141--2151},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2194191},
  doi          = {10.1109/JSSC.2012.2194191},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12,
  author       = {Jung{-}Sik Kim and
                  Chi Sung Oh and
                  Hocheol Lee and
                  Donghyuk Lee and
                  Hyong{-}Ryol Hwang and
                  Sooman Hwang and
                  Byongwook Na and
                  Joungwook Moon and
                  Jin{-}Guk Kim and
                  Hanna Park and
                  Jang{-}Woo Ryu and
                  Kiwon Park and
                  Sang{-}Kyu Kang and
                  So{-}Young Kim and
                  Hoyoung Kim and
                  Jong{-}Min Bang and
                  Hyunyoon Cho and
                  Minsoo Jang and
                  Cheolmin Han and
                  Jung{-}Bae Lee and
                  Joo{-}Sun Choi and
                  Young{-}Hyun Jun},
  title        = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes}
                  128 I/Os Using {TSV} Based Stacking},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {107--116},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164731},
  doi          = {10.1109/JSSC.2011.2164731},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimR12,
  author       = {Sang{-}Young Kim and
                  Gabriel M. Rebeiz},
  title        = {A Low-Power BiCMOS 4-Element Phased Array Receiver for 76-84 GHz Radars
                  and Communication Systems},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {359--367},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170769},
  doi          = {10.1109/JSSC.2011.2170769},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12,
  author       = {Chulbum Kim and
                  Jinho Ryu and
                  Tae{-}Sung Lee and
                  Hyunggon Kim and
                  Jaewoo Lim and
                  Jaeyong Jeong and
                  Seonghwan Seo and
                  Hongsoo Jeon and
                  Bokeun Kim and
                  Inyoul Lee and
                  Dooseop Lee and
                  Pansuk Kwak and
                  Seongsoon Cho and
                  Yongsik Yim and
                  Changhyun Cho and
                  Woopyo Jeong and
                  Kwang{-}Il Park and
                  Jin{-}Man Han and
                  Duheon Song and
                  Kyehyun Kyung and
                  Youngho Lim and
                  Young{-}Hyun Jun},
  title        = {A 21 nm High Performance 64 Gb {MLC} {NAND} Flash Memory With 400
                  MB/s Asynchronous Toggle {DDR} Interface},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {981--989},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185341},
  doi          = {10.1109/JSSC.2012.2185341},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KitsunezukaKOKMF12,
  author       = {Masaki Kitsunezuka and
                  Hiroshi Kodama and
                  Naoki Oshima and
                  Kazuaki Kunihiro and
                  Tadashi Maeda and
                  Muneo Fukaishi},
  title        = {A 30-MHz-2.4-GHz {CMOS} Receiver With Integrated {RF} Filter and Dynamic-Range-Scalable
                  Energy Detector for Cognitive Radio Systems},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1084--1093},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185531},
  doi          = {10.1109/JSSC.2012.2185531},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KitsunezukaKOKMF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Kobayashi12,
  author       = {Kevin W. Kobayashi},
  title        = {An 8-W 250-MHz to 3-GHz Decade-Bandwidth Low-Noise GaN {MMIC} Feedback
                  Amplifier With {\textgreater} +51-dBm {OIP3}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2316--2326},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204929},
  doi          = {10.1109/JSSC.2012.2204929},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Kobayashi12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KousaiOYKN12,
  author       = {Shouhei Kousai and
                  Kohei Onizuka and
                  Takashi Yamaguchi and
                  Yasuhiko Kuriyama and
                  Masami Nagaoka},
  title        = {A 28.3 mW PA-Closed Loop for Linearity and Efficiency Improvement
                  Integrated in a + 27.1 dBm {WCDMA} {CMOS} Power Amplifier},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2964--2973},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217833},
  doi          = {10.1109/JSSC.2012.2217833},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KousaiOYKN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KuXKWC12,
  author       = {I{-}Ning Ku and
                  Zhiwei Xu and
                  Yen{-}Cheng Kuan and
                  Yen{-}Hsiang Wang and
                  Mau{-}Chung Frank Chang},
  title        = {A 40-mW 7-bit 2.2-GS/s Time-Interleaved Subranging {CMOS} {ADC} for
                  Low-Power Gigabit Wireless Communications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1854--1865},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196731},
  doi          = {10.1109/JSSC.2012.2196731},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KuXKWC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/KurchukWMT12,
  author       = {Mariya Kurchuk and
                  Colin Weltin{-}Wu and
                  Dominique Morche and
                  Yannis P. Tsividis},
  title        = {Event-Driven GHz-Range Continuous-Time Digital Signal Processor With
                  Activity-Dependent Power Dissipation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2164--2173},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2203459},
  doi          = {10.1109/JSSC.2012.2203459},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/KurchukWMT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LaemmleVMWK12,
  author       = {Benjamin Laemmle and
                  Gabor Vinci and
                  Linus Maurer and
                  Robert Weigel and
                  Alexander Koelpin},
  title        = {A 77-GHz SiGe Integrated Six-Port Receiver Front-End for Angle-of-Arrival
                  Detection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {1966--1973},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2201271},
  doi          = {10.1109/JSSC.2012.2201271},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LaemmleVMWK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LakdawalaL12,
  author       = {Hasnain Lakdawala and
                  Alvin Leng Sun Loke},
  title        = {Introduction to the Special Issue on the {IEEE} 2011 Custom Integrated
                  Circuits Conference},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1798--1799},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2198772},
  doi          = {10.1109/JSSC.2012.2198772},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LakdawalaL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LavasaniPHAA12,
  author       = {Hossein Miri Lavasani and
                  Wanling Pan and
                  Brandon Harrington and
                  Reza Abdolvand and
                  Farrokh Ayazi},
  title        = {Electronic Temperature Compensation of Lateral Bulk Acoustic Resonator
                  Reference Oscillators Using Enhanced Series Tuning Technique},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1381--1393},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2192657},
  doi          = {10.1109/JSSC.2012.2192657},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LavasaniPHAA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeC12,
  author       = {Junghyup Lee and
                  SeongHwan Cho},
  title        = {A 1.4-{\(\mathrm{\mu}\)}W 24.9-ppm/{\textdegree}C Current Reference
                  With Process-Insensitive Temperature Compensation in 0.18-{\(\mathrm{\mu}\)}m
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2527--2533},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204475},
  doi          = {10.1109/JSSC.2012.2204475},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeCL12,
  author       = {Sunghyuk Lee and
                  Anantha P. Chandrakasan and
                  Hae{-}Seung Lee},
  title        = {A 12 b 5-to-50 MS/s 0.5-to-1 {V} Voltage Scalable Zero-Crossing Based
                  Pipelined {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1603--1614},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191184},
  doi          = {10.1109/JSSC.2012.2191184},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeCL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeCPCLLHT12,
  author       = {Yu{-}Huei Lee and
                  Chao{-}Chang Chiu and
                  Shen{-}Yu Peng and
                  Ke{-}Horng Chen and
                  Ying{-}Hsi Lin and
                  Chao{-}Cheng Lee and
                  Chen{-}Chih Huang and
                  Tsung{-}Yen Tsai},
  title        = {A Near-Optimum Dynamic Voltage Scaling {(DVS)} in 65-nm Energy-Efficient
                  Power Management With Frequency-Based Control {(FBC)} for SoC System},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2563--2575},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211671},
  doi          = {10.1109/JSSC.2012.2211671},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeCPCLLHT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeCSKKKKJKPKKCRCKKCC12,
  author       = {Hyun{-}Woo Lee and
                  Hoon Choi and
                  Beom{-}Ju Shin and
                  Kyung{-}Hoon Kim and
                  Kyung Whan Kim and
                  Jaeil Kim and
                  Kwang Hyun Kim and
                  Jongho Jung and
                  Jae{-}Hwan Kim and
                  Eun Young Park and
                  Jong{-}Sam Kim and
                  Jong{-}Hwan Kim and
                  Jin{-}Hee Cho and
                  Nam Gyu Rye and
                  Jun Hyun Chun and
                  Yunsaing Kim and
                  Chulwoo Kim and
                  Young{-}Jung Choi and
                  Byong{-}Tae Chung},
  title        = {A 1.0-ns/1.0-V Delay-Locked Loop With Racing Mode and Countered {CAS}
                  Latency Controller for {DRAM} Interfaces},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1436--1447},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191027},
  doi          = {10.1109/JSSC.2012.2191027},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeCSKKKKJKPKKCRCKKCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeKCSPKKCC12,
  author       = {Hyun{-}Woo Lee and
                  Ki{-}Han Kim and
                  Young{-}Kyoung Choi and
                  Ju{-}Hwan Sohn and
                  Nak{-}Kyu Park and
                  Kwan{-}Weon Kim and
                  Chulwoo Kim and
                  Young{-}Jung Choi and
                  Byong{-}Tae Chung},
  title        = {A 1.6 {V} 1.4 Gbp/s/pin Consumer {DRAM} With Self-Dynamic Voltage
                  Scaling Technique in 44 nm {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {131--140},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164710},
  doi          = {10.1109/JSSC.2011.2164710},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeKCSPKKCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeTL12,
  author       = {I{-}Ting Lee and
                  Yun{-}Ta Tsai and
                  Shen{-}Iuan Liu},
  title        = {A Leakage-Current-Recycling Phase-Locked Loop in 65 nm {CMOS} Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2693--2700},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2209810},
  doi          = {10.1109/JSSC.2012.2209810},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeTL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LeeYRHY12,
  author       = {Seulki Lee and
                  Long Yan and
                  Taehwan Roh and
                  Sunjoo Hong and
                  Hoi{-}Jun Yoo},
  title        = {A 75 {\(\mathrm{\mu}\)} {W} Real-Time Scalable Body Area Network Controller
                  and a 25 {\(\mathrm{\mu}\)}W ExG Sensor {IC} for Compact Sleep Monitoring
                  Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {323--334},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170636},
  doi          = {10.1109/JSSC.2011.2170636},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LeeYRHY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiLSWL12,
  author       = {Yan Li and
                  Jerry Lopez and
                  Cliff Schecht and
                  Ruili Wu and
                  Donald Y. C. Lie},
  title        = {Design of High Efficiency Monolithic Power Amplifier With Envelope-Tracking
                  and Transistor Resizing for Broadband Wireless Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2007--2018},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2201289},
  doi          = {10.1109/JSSC.2012.2201289},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiLSWL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiLTA12,
  author       = {Guansheng Li and
                  Li Liu and
                  Yiwu Tang and
                  Ehsan Afshari},
  title        = {A Low-Phase-Noise Wide-Tuning-Range Oscillator Based on Resonant Mode
                  Switching},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1295--1308},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2190185},
  doi          = {10.1109/JSSC.2012.2190185},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiLTA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12,
  author       = {Shyuan Liao and
                  Yen{-}Shuo Chang and
                  Chia{-}Hsin Wu and
                  Hung{-}Chieh Tsai and
                  Hsin{-}Hua Chen and
                  Min Chen and
                  Ching{-}Wen Hsueh and
                  Jian{-}Bang Lin and
                  Den{-}Kai Juang and
                  Shun{-}An Yang and
                  Chin{-}Tai Liu and
                  Tsai{-}Pao Lee and
                  Jin{-}Ru Chen and
                  Chih{-}Heng Shih and
                  Barry Hong and
                  Heng{-}Ruey Hsu and
                  Chih{-}Yuan Wang and
                  Meng{-}Shiang Lin and
                  Wei{-}Hsiang Tseng and
                  Che{-}Hsiung Yang and
                  Lawrence Chen Lee and
                  Ting{-}Jyun Jheng and
                  Wen{-}Wei Yang and
                  Ming{-}Yang Chao and
                  Jyh{-}Shin Pan},
  title        = {A 70-Mb/s 100.5-dBm Sensitivity 65-nm {LP} {MIMO} Chipset for WiMAX
                  Portable Router},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {61--74},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2167811},
  doi          = {10.1109/JSSC.2011.2167811},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiaoYLPO12,
  author       = {Yu{-}Te Liao and
                  Huanfen Yao and
                  Andrew Lingley and
                  Babak A. Parviz and
                  Brian P. Otis},
  title        = {A 3-{\(\mathrm{\mu}\)}W {CMOS} Glucose Sensor for Wireless Contact-Lens
                  Tear Glucose Monitoring},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {335--344},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170633},
  doi          = {10.1109/JSSC.2011.2170633},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiaoYLPO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LinK12,
  author       = {Wei{-}Te Lin and
                  Tai{-}Haur Kuo},
  title        = {A Compact Dynamic-Performance-Improved Current-Steering {DAC} With
                  Random Rotation-Based Binary-Weighted Selection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {444--453},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2168651},
  doi          = {10.1109/JSSC.2011.2168651},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LinK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuCK12,
  author       = {Jia{-}Ming Liu and
                  Shih{-}Hsiung Chien and
                  Tai{-}Haur Kuo},
  title        = {A 100 {W} 5.1-Channel Digital Class-D Audio Amplifier With Single-Chip
                  Design},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1344--1354},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2188465},
  doi          = {10.1109/JSSC.2012.2188465},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuCK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuLR12,
  author       = {Shen{-}Iuan Liu and
                  Tsung{-}Hsien Lin and
                  Woogeun Rhee},
  title        = {Introduction to the Special Section on the 2011 Asian Solid-State
                  Circuits Conference {(A-SSCC)}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2551--2553},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2212318},
  doi          = {10.1109/JSSC.2012.2212318},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuLR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuPLADGMZCKAH12,
  author       = {Frankie Liu and
                  Dinesh Patil and
                  Jon K. Lexau and
                  Philip Amberg and
                  Michael Dayringer and
                  Jonathan Gainsley and
                  Hesam Fathi Moghadam and
                  Xuezhe Zheng and
                  John E. Cunningham and
                  Ashok V. Krishnamoorthy and
                  Elad Alon and
                  Ron Ho},
  title        = {10-Gbps, 5.3-mW Optical Transmitter and Receiver Circuits in 40-nm
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2049--2067},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197234},
  doi          = {10.1109/JSSC.2012.2197234},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuPLADGMZCKAH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuSDMKIGB12,
  author       = {Paul Peng Liu and
                  Karl Skucha and
                  Yida Duan and
                  Mischa Megens and
                  Jungkyu Kim and
                  Igor I. Izyumin and
                  Simone Gambini and
                  Bernhard E. Boser},
  title        = {Magnetic Relaxation Detector for Microbead Labels},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {1056--1064},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185339},
  doi          = {10.1109/JSSC.2012.2185339},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuSDMKIGB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuYGXZM12,
  author       = {Chang Liu and
                  Yue{-}Peng Yan and
                  Wang Ling Goh and
                  Yong{-}Zhong Xiong and
                  Li{-}Jun Zhang and
                  Mohammad Madihian},
  title        = {A 5-Gb/s Automatic Gain Control Amplifier With Temperature Compensation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1323--1333},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2192660},
  doi          = {10.1109/JSSC.2012.2192660},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuYGXZM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LohE12,
  author       = {Matthew Loh and
                  Azita Emami{-}Neyestanak},
  title        = {A 3x9 Gb/s Shared, All-Digital {CDR} for High-Speed, High-Density
                  {I/O}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {641--651},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2178557},
  doi          = {10.1109/JSSC.2011.2178557},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LohE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LokeDMFWWF12,
  author       = {Alvin Leng Sun Loke and
                  Bruce Andrew Doyle and
                  Sanjeev K. Maheshwari and
                  Dennis Michael Fischette and
                  Charles Lin Wang and
                  Tin Tin Wee and
                  Emerson S. Fang},
  title        = {An 8.0-Gb/s HyperTransport Transceiver for 32-nm {SOI-CMOS} Server
                  Processors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2627--2642},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211697},
  doi          = {10.1109/JSSC.2012.2211697},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LokeDMFWWF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LotzeM12,
  author       = {Niklas Lotze and
                  Yiannos Manoli},
  title        = {A 62 mV 0.13 {\(\mathrm{\mu}\)} m {CMOS} Standard-Cell-Based Design
                  Technique Using Schmitt-Trigger Logic},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {47--60},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2167777},
  doi          = {10.1109/JSSC.2011.2167777},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LotzeM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LuLA12,
  author       = {Ping Lu and
                  Antonio Liscidini and
                  Pietro Andreani},
  title        = {A 3.6 mW, 90 nm {CMOS} Gated-Vernier Time-to-Digital Converter With
                  an Equivalent Resolution of 3.2 ps},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1626--1635},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191676},
  doi          = {10.1109/JSSC.2012.2191676},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LuLA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LuWC12,
  author       = {Jianhua Lu and
                  Ning{-}Yi Wang and
                  Mau{-}Chung Frank Chang},
  title        = {A Compact and Low Power 5-10 GHz Quadrature Local Oscillator for Cognitive
                  Radio Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1131--1140},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185573},
  doi          = {10.1109/JSSC.2012.2185573},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LuWC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LuYHCL12,
  author       = {Chih{-}Wen Lu and
                  Ping{-}Yeh Yin and
                  Ching{-}Min Hsiao and
                  Mau{-}Chung Frank Chang and
                  Yo{-}Sheng Lin},
  title        = {A 10-bit Resistor-Floating-Resistor-String {DAC} {(RFR-DAC)} for High
                  Color-Depth {LCD} Driver ICs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2454--2466},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2206684},
  doi          = {10.1109/JSSC.2012.2206684},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LuYHCL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MahonYP12,
  author       = {Simon J. Mahon and
                  Alan C. Young and
                  Anthony E. Parker},
  title        = {Common-Gate Load-Pull With Q-Band Application},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2282--2290},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204913},
  doi          = {10.1109/JSSC.2012.2204913},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MahonYP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Manstretta12,
  author       = {Danilo Manstretta},
  title        = {A Broadband Low-Power Low-Noise Active Balun With Second-Order Distortion
                  Cancellation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {407--420},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2168649},
  doi          = {10.1109/JSSC.2011.2168649},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Manstretta12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MarienSVH12,
  author       = {Hagen Marien and
                  Michiel Steyaert and
                  Erik van Veenendaal and
                  Paul Heremans},
  title        = {Analog Building Blocks for Organic Smart Sensor Systems in Organic
                  Thin-Film Transistor Technology on Flexible Plastic Foil},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1712--1720},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191038},
  doi          = {10.1109/JSSC.2012.2191038},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MarienSVH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MartensBCFWPCR12,
  author       = {Ewout Martens and
                  Andr{\'{e}} Bourdoux and
                  A{\"{\i}}ssa Couvreur and
                  Robert Fasthuber and
                  Peter Van Wesemael and
                  Geert Van der Plas and
                  Jan Craninckx and
                  Julien Ryckaert},
  title        = {RF-to-Baseband Digitization in 40 nm {CMOS} With {RF} Bandpass {\(\Delta\)}{\(\Sigma\)}
                  Modulator and Polyphase Decimation Filter},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {990--1002},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185149},
  doi          = {10.1109/JSSC.2012.2185149},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MartensBCFWPCR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MarzinLSL12,
  author       = {Giovanni Marzin and
                  Salvatore Levantino and
                  Carlo Samori and
                  Andrea L. Lacaita},
  title        = {A 20 Mb/s Phase Modulator Based on a 3.6 GHz Digital {PLL} With -36
                  dB {EVM} at 5 mW Power},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2974--2988},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217854},
  doi          = {10.1109/JSSC.2012.2217854},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MarzinLSL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MasonFD12,
  author       = {Ralph D. Mason and
                  Justin Fortier and
                  Christopher A. DeVries},
  title        = {Complete {SOC} Transceiver in 0.18 {\(\mathrm{\mu}\)}m {CMOS} Using
                  Q-Enhanced Filtering, Sub-Sampling and Injection Locking},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1800--1809},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197129},
  doi          = {10.1109/JSSC.2012.2197129},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MasonFD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MasuchD12,
  author       = {Jens Masuch and
                  Manuel Delgado{-}Restituto},
  title        = {A 190-{\(\mathrm{\mu}\)}W zero-IF {GFSK} Demodulator With a 4-b Phase-Domain
                  {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2796--2806},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216211},
  doi          = {10.1109/JSSC.2012.2216211},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MasuchD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MathewSAKHSASK12,
  author       = {Sanu Mathew and
                  Suresh Srinivasan and
                  Mark A. Anders and
                  Himanshu Kaul and
                  Steven Hsu and
                  Farhana Sheikh and
                  Amit Agarwal and
                  Sudhir Satpathy and
                  Ram Krishnamurthy},
  title        = {2.4 Gbps, 7 mW All-Digital PVT-Variation Tolerant True Random Number
                  Generator for 45 nm {CMOS} High-Performance Microprocessors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2807--2821},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217631},
  doi          = {10.1109/JSSC.2012.2217631},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/MathewSAKHSASK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MattauschIKAYK12,
  author       = {Hans J{\"{u}}rgen Mattausch and
                  Wataru Imafuku and
                  Akio Kawabata and
                  Tania Ansari and
                  Masahiro Yasuda and
                  Tetsushi Koide},
  title        = {Associative Memory for Nearest-Hamming-Distance Search Based on Frequency
                  Mapping},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1448--1459},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2190191},
  doi          = {10.1109/JSSC.2012.2190191},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MattauschIKAYK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MaurathBSM12,
  author       = {Dominic Maurath and
                  Philipp F. Becker and
                  Dirk Spreemann and
                  Yiannos Manoli},
  title        = {Efficient Energy Harvesting With Electromagnetic Energy Transducers
                  Using Active Low-Voltage Rectification and Maximum Power Point Tracking},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1369--1380},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2188562},
  doi          = {10.1109/JSSC.2012.2188562},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MaurathBSM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/McIntyreABFGHMNV12,
  author       = {Hugh McIntyre and
                  Srikanth Arekapudi and
                  Eric Busta and
                  Timothy C. Fischer and
                  Michael Golden and
                  Aaron Horiuchi and
                  Tom Meneghini and
                  Samuel Naffziger and
                  James Vinh},
  title        = {Design of the Two-Core x86-64 {AMD} "Bulldozer" Module in 32 nm {SOI}
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {164--176},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2167823},
  doi          = {10.1109/JSSC.2011.2167823},
  timestamp    = {Wed, 24 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/McIntyreABFGHMNV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MichelS12,
  author       = {Fridolin Michel and
                  Michiel Steyaert},
  title        = {A 250 mV 7.5 {\(\mu\)}W 61 dB {SNDR} {SC} {\(\Delta\)}{\(\Sigma\)}
                  Modulator Using Near-Threshold-Voltage-Biased Inverter Amplifiers
                  in 130 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {709--721},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2179732},
  doi          = {10.1109/JSSC.2011.2179732},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MichelS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MikiMOD12,
  author       = {Takuji Miki and
                  Takashi Morie and
                  Toshiaki Ozeki and
                  Shiro Dosho},
  title        = {An 11-b 300-MS/s Double-Sampling Pipelined {ADC} With On-Chip Digital
                  Calibration for Memory Effects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2773--2782},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216217},
  doi          = {10.1109/JSSC.2012.2216217},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MikiMOD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MitomoTHHWTTSKKIBTT12,
  author       = {Toshiya Mitomo and
                  Yukako Tsutsumi and
                  Hiroaki Hoshino and
                  Masahiro Hosoya and
                  Tong Wang and
                  Yuta Tsubouchi and
                  Ryoichi Tachibana and
                  Akihide Sai and
                  Yuka Kobayashi and
                  Daisuke Kurose and
                  Tomohiko Ito and
                  Koichiro Ban and
                  Tomoya Tandai and
                  Takeshi Tomizawa},
  title        = {A 2-Gb/s Throughput {CMOS} Transceiver Chipset With In-Package Antenna
                  for 60-GHz Short-Range Wireless Communication},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3160--3171},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216694},
  doi          = {10.1109/JSSC.2012.2216694},
  timestamp    = {Tue, 04 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MitomoTHHWTTSKKIBTT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Moon12,
  author       = {Un{-}Ku Moon},
  title        = {New Associate Editors},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1071--1072},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2193330},
  doi          = {10.1109/JSSC.2012.2193330},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Moon12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Moon12a,
  author       = {Un{-}Ku Moon},
  title        = {New Associate Editor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {1963},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2208789},
  doi          = {10.1109/JSSC.2012.2208789},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Moon12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Moon12b,
  author       = {Un{-}Ku Moon},
  title        = {New Associate Editor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2279},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2219251},
  doi          = {10.1109/JSSC.2012.2219251},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Moon12b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MullerGR12,
  author       = {Rikky Muller and
                  Simone Gambini and
                  Jan M. Rabaey},
  title        = {A 0.013 mm\({}^{\mbox{2}}\), 5 {\(\mathrm{\mu}\)}W , DC-Coupled Neural
                  Signal Acquisition {IC} With 0.5 {V} Supply},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {232--243},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2163552},
  doi          = {10.1109/JSSC.2011.2163552},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MullerGR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MullerSFYCNK12,
  author       = {Jonathan M{\"{u}}ller and
                  Bruno Stefanelli and
                  Antoine Frapp{\'{e}} and
                  Lu Ye and
                  Andreia Cathelin and
                  Ali M. Niknejad and
                  Andreas Kaiser},
  title        = {A 7-Bit 18th Order 9.6 GS/s {FIR} Up-Sampling Filter for High Data
                  Rate 60-GHz Wireless Transmitters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1743--1756},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191677},
  doi          = {10.1109/JSSC.2012.2191677},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/MullerSFYCNK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MurphyDAHMMC12,
  author       = {David Murphy and
                  Hooman Darabi and
                  Asad A. Abidi and
                  Amr Amin Hafez and
                  Ahmad Mirzaei and
                  Mohyee Mikhemar and
                  Mau{-}Chung Frank Chang},
  title        = {A Blocker-Tolerant, Noise-Cancelling Receiver Suitable for Wideband
                  Wireless Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2943--2963},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217832},
  doi          = {10.1109/JSSC.2012.2217832},
  timestamp    = {Tue, 28 Mar 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MurphyDAHMMC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/MynyVGGDH12,
  author       = {Kris Myny and
                  Erik van Veenendaal and
                  Gerwin H. Gelinck and
                  Jan Genoe and
                  Wim Dehaene and
                  Paul Heremans},
  title        = {An 8-Bit, 40-Instructions-Per-Second Organic Microprocessor on Plastic
                  Foil},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {284--291},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170635},
  doi          = {10.1109/JSSC.2011.2170635},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/MynyVGGDH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NagariAABF12,
  author       = {Angelo Nagari and
                  Emmanuel Allier and
                  Francois Amiard and
                  Vincent Binet and
                  Christian Fraisse},
  title        = {An 8 {\(\Omega\)} 2.5 {W} 1{\%}-THD 104 dB(A)-Dynamic-Range Class-D
                  Audio Amplifier With Ultra-Low {EMI} System and Current Sensing for
                  Speaker Protection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3068--3080},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2225762},
  doi          = {10.1109/JSSC.2012.2225762},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NagariAABF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NagataD12,
  author       = {Makoto Nagata and
                  Vivek De},
  title        = {Introduction to the Special Issue on the 2011 Symposium on {VLSI}
                  Circuits},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {795--796},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185357},
  doi          = {10.1109/JSSC.2012.2185357},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NagataD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NakamuraMWK12,
  author       = {Takahiro Nakamura and
                  Toru Masuda and
                  Katsuyoshi Washio and
                  Hiroshi Kondoh},
  title        = {A Push-Push {VCO} With 13.9-GHz Wide Tuning Range Using Loop-Ground
                  Transmission Line for Full-Band 60-GHz Transceiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1267--1277},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2187470},
  doi          = {10.1109/JSSC.2012.2187470},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NakamuraMWK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NakataniRKLA12,
  author       = {Toshifumi Nakatani and
                  Jeremy Rode and
                  Donald F. Kimball and
                  Lawrence E. Larson and
                  Peter M. Asbeck},
  title        = {Digitally-Controlled Polar Transmitter Using a Watt-Class Current-Mode
                  Class-D {CMOS} Power Amplifier and Guanella Reverse Balun for Handset
                  Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1104--1112},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185554},
  doi          = {10.1109/JSSC.2012.2185554},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NakataniRKLA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NazariE12,
  author       = {Meisam Honarvar Nazari and
                  Azita Emami{-}Neyestanak},
  title        = {A 15-Gb/s 0.5-mW/Gbps Two-Tap {DFE} Receiver With Far-End Crosstalk
                  Cancellation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2420--2432},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2203870},
  doi          = {10.1109/JSSC.2012.2203870},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NazariE12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NegreRCSJBGG12,
  author       = {Laurent Negre and
                  David Roy and
                  Florian Cacho and
                  Patrick Scheer and
                  Sebastien Jan and
                  Samuel Boret and
                  Daniel Gloria and
                  G{\'{e}}rard Ghibaudo},
  title        = {Reliability Characterization and Modeling Solution to Predict Aging
                  of 40-nm {MOSFET} {DC} and {RF} Performances Induced by {RF} Stresses},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1075--1083},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185549},
  doi          = {10.1109/JSSC.2012.2185549},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NegreRCSJBGG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NiitsuSHYK12,
  author       = {Kiichi Niitsu and
                  Masato Sakurai and
                  Naohiro Harigai and
                  Takahiro J. Yamaguchi and
                  Haruo Kobayashi},
  title        = {{CMOS} Circuits to Measure Timing Jitter Using a Self-Referenced Clock
                  and a Cascaded Time Difference Amplifier With Duty-Cycle Compensation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2701--2710},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211655},
  doi          = {10.1109/JSSC.2012.2211655},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/NiitsuSHYK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NoorsalSXHBO12,
  author       = {Emilia Noorsal and
                  Kriangkrai Sooksood and
                  Hongcheng Xu and
                  Ralf Hornig and
                  Joachim Becker and
                  Maurits Ortmanns},
  title        = {A Neural Stimulator Frontend With High-Voltage Compliance and Programmable
                  Pulse Shape for Epiretinal Implants},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {244--256},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164667},
  doi          = {10.1109/JSSC.2011.2164667},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NoorsalSXHBO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NorlingLD12,
  author       = {Karl Norling and
                  Christian Lindholm and
                  Dieter Draxelmayr},
  title        = {An Optimized Driver for SiC JFET-Based Switches Enabling Converter
                  Operation With More Than 99{\%} Efficiency},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3095--3104},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2225736},
  doi          = {10.1109/JSSC.2012.2225736},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NorlingLD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/NuytsSDRD12,
  author       = {Pieter A. J. Nuyts and
                  Peter Singerl and
                  Franz Dielacher and
                  Patrick Reynaert and
                  Wim Dehaene},
  title        = {A Fully Digital Delay Line Based GHz Range Multimode Transmitter Front-End
                  in 65-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1681--1692},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191032},
  doi          = {10.1109/JSSC.2012.2191032},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/NuytsSDRD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OnizukaIHSWO12,
  author       = {Kohei Onizuka and
                  Hiroaki Ishihara and
                  Masahiro Hosoya and
                  Shigehito Saigusa and
                  Osamu Watanabe and
                  Shoji Otaka},
  title        = {A 1.9 GHz {CMOS} Power Amplifier With Embedded Linearizer to Compensate
                  {AM-PM} Distortion},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1820--1827},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196629},
  doi          = {10.1109/JSSC.2012.2196629},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OnizukaIHSWO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OsakiHKN12,
  author       = {Yuji Osaki and
                  Tetsuya Hirose and
                  Nobutaka Kuroki and
                  Masahiro Numa},
  title        = {A Low-Power Level Shifter With Logic Error Correction for Extremely
                  Low-Voltage Digital {CMOS} LSIs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1776--1783},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191320},
  doi          = {10.1109/JSSC.2012.2191320},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OsakiHKN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PaganoBR12,
  author       = {Rosario Pagano and
                  Michael Baker and
                  Russell E. Radke},
  title        = {A 0.18-{\(\mu\)}m Monolithic Li-Ion Battery Charger for Wireless Devices
                  Based on Partial Current Sensing and Adaptive Reference Voltage},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1355--1368},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191025},
  doi          = {10.1109/JSSC.2012.2191025},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PaganoBR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PankratzS12,
  author       = {Erik Pankratz and
                  Edgar S{\'{a}}nchez{-}Sinencio},
  title        = {Multiloop High-Power-Supply-Rejection Quadrature Ring Oscillator},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2033--2048},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2193517},
  doi          = {10.1109/JSSC.2012.2193517},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PankratzS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ParkC12,
  author       = {Dongmin Park and
                  SeongHwan Cho},
  title        = {A 14.2 mW 2.55-to-3 GHz Cascaded {PLL} With Reference Injection and
                  800 MHz Delta-Sigma Modulator in 0.13 {\(\mu\)} m {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2989--2998},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217856},
  doi          = {10.1109/JSSC.2012.2217856},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ParkC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ParkKN12,
  author       = {Jung{-}Dong Park and
                  Shinwon Kang and
                  Ali M. Niknejad},
  title        = {A 0.38 THz Fully Integrated Transceiver Utilizing a Quadrature Push-Push
                  Harmonic Circuitry in SiGe BiCMOS},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2344--2354},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211156},
  doi          = {10.1109/JSSC.2012.2211156},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ParkKN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ParkKOLKY12,
  author       = {Junyoung Park and
                  Joonsoo Kwon and
                  Jinwook Oh and
                  Seungjin Lee and
                  Joo{-}Young Kim and
                  Hoi{-}Jun Yoo},
  title        = {A 92-mW Real-Time Traffic Sign Recognition System With Robust Illumination
                  Adaptation and Support Vector Machine},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2711--2723},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211691},
  doi          = {10.1109/JSSC.2012.2211691},
  timestamp    = {Fri, 13 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ParkKOLKY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ParkPC12,
  author       = {Pyoungwon Park and
                  Dongmin Park and
                  SeongHwan Cho},
  title        = {A 2.4 GHz Fractional-N Frequency Synthesizer With High-OSR {\(\Delta\)}{\(\Sigma\)}
                  Modulator and Nested {PLL}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2433--2443},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2209809},
  doi          = {10.1109/JSSC.2012.2209809},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ParkPC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Pena-PerezBM12,
  author       = {Aldo Pena{-}Perez and
                  Edoardo Bonizzoni and
                  Franco Maloberti},
  title        = {A 88-dB DR, 84-dB {SNDR} Very Low-Power Single Op-Amp Third-Order
                  {\(\Sigma\)} {\(\Delta\)} Modulator},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2107--2118},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2199669},
  doi          = {10.1109/JSSC.2012.2199669},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Pena-PerezBM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Pilawa-PodgurskiP12,
  author       = {Robert C. N. Pilawa{-}Podgurski and
                  David J. Perreault},
  title        = {Merged Two-Stage Power Converter With Soft Charging Switched-Capacitor
                  Stage in 180 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1557--1567},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191325},
  doi          = {10.1109/JSSC.2012.2191325},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Pilawa-PodgurskiP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PiloABBGHLRS12,
  author       = {Harold Pilo and
                  Igor Arsovski and
                  Kevin Batson and
                  Geordie Braceras and
                  John A. Gabric and
                  Robert M. Houle and
                  Steve Lamphier and
                  Carl Radens and
                  Adnan Seferagic},
  title        = {A 64 Mb {SRAM} in 32 nm High-k Metal-Gate {SOI} Technology With 0.7
                  {V} Operation Enabled by Stability, Write-Ability and Read-Ability
                  Enhancements},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {97--106},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164730},
  doi          = {10.1109/JSSC.2011.2164730},
  timestamp    = {Tue, 29 Mar 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PiloABBGHLRS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/PohlKAR12,
  author       = {Nils Pohl and
                  Tobias Klein and
                  Klaus Aufinger and
                  Hans{-}Martin Rein},
  title        = {A Low-Power Wideband Transmitter Front-End Chip for 80 GHz {FMCW}
                  Radar Systems With Integrated 23 GHz Downconverter {VCO}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {1974--1980},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2201272},
  doi          = {10.1109/JSSC.2012.2201272},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/PohlKAR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/QaziCBC12,
  author       = {Masood Qazi and
                  Michael Clinton and
                  Steven Bartling and
                  Anantha P. Chandrakasan},
  title        = {A Low-Voltage 1 Mb {FRAM} in 0.13 {\(\mathrm{\mu}\)}m {CMOS} Featuring
                  Time-to-Digital Sensing for Expanded Operating Margin},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {141--150},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164732},
  doi          = {10.1109/JSSC.2011.2164732},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/QaziCBC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RadeckiMIK12,
  author       = {Andrzej Radecki and
                  Noriyuki Miura and
                  Hiroki Ishikuro and
                  Tadahiro Kuroda},
  title        = {Rotary Coding for Power Reduction and {S/N} Improvement in Inductive-Coupling
                  Data Communication},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2643--2653},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211656},
  doi          = {10.1109/JSSC.2012.2211656},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RadeckiMIK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RadeckiYMATIK12,
  author       = {Andrzej Radecki and
                  Yuxiang Yuan and
                  Noriyuki Miura and
                  Iori Aikawa and
                  Yasuhiro Take and
                  Hiroki Ishikuro and
                  Tadahiro Kuroda},
  title        = {Simultaneous 6-Gb/s Data and 10-mW Power Transmission Using Nested
                  Clover Coils for Noncontact Memory Card},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2484--2495},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204545},
  doi          = {10.1109/JSSC.2012.2204545},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RadeckiYMATIK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RadisicLSMYLDL12,
  author       = {Vesna Radisic and
                  Kevin M. K. H. Leong and
                  Stephen Sarkozy and
                  Xiaobing (Gerry) Mei and
                  Wayne Yoshida and
                  Po{-}Hsin Liu and
                  William R. Deal and
                  Richard Lai},
  title        = {220-GHz Solid-State Power Amplifier Modules},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2291--2297},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204923},
  doi          = {10.1109/JSSC.2012.2204923},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RadisicLSMYLDL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RalstonOVR12,
  author       = {Parrish Ralston and
                  Marcus Oliver and
                  Krishna Vummidi and
                  Sanjay Raman},
  title        = {Liquid-Metal Vertical Interconnects for Flip Chip Assembly of GaAs
                  C-Band Power Amplifiers Onto Micro-Rectangular Coaxial Transmission
                  Lines},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2327--2334},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204930},
  doi          = {10.1109/JSSC.2012.2204930},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/RalstonOVR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RaviMXCVPCASZBLP12,
  author       = {Ashoke Ravi and
                  Paolo Madoglio and
                  Hongtao Xu and
                  Kailash Chandrashekar and
                  Marian Verhelst and
                  Stefano Pellerano and
                  Luis Cuellar and
                  Mariano Aguirre{-}Hernandez and
                  Masoud Sajadieh and
                  J. E. Zarate{-}Roldan and
                  Ofir Bochobza{-}Degani and
                  Hasnain Lakdawala and
                  Yorgos Palaskas},
  title        = {A 2.4-GHz 20-40-MHz Channel {WLAN} Digital Outphasing Transmitter
                  Utilizing a Delay-Based Wideband Phase Modulator in 32-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3184--3196},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216671},
  doi          = {10.1109/JSSC.2012.2216671},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RaviMXCVPCASZBLP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ReddyRIYETH12,
  author       = {Karthikeyan Reddy and
                  Sachin Rao and
                  Rajesh Inti and
                  Brian Young and
                  Amr Elshazly and
                  Mrunmay Talegaonkar and
                  Pavan Kumar Hanumolu},
  title        = {A 16-mW 78-dB {SNDR} 10-MHz {BW} {CT} Delta Sigma {ADC} Using Residue-Cancelling
                  VCO-Based Quantizer},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2916--2927},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2218062},
  doi          = {10.1109/JSSC.2012.2218062},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ReddyRIYETH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RiedlingerABBCDFFGLMMMNPPRRSS12,
  author       = {Reid J. Riedlinger and
                  Ron Arnold and
                  Larry Biro and
                  William J. Bowhill and
                  Jason Crop and
                  Kevin Duda and
                  Eric S. Fetzer and
                  Olivier Franza and
                  Tom Grutkowski and
                  Casey Little and
                  Charles Morganti and
                  Gary Moyer and
                  Ashley O. Munch and
                  Mahalingam Nagarajan and
                  Cheolmin Park and
                  Christopher Poirier and
                  Bill Repasky and
                  Edi Roytman and
                  Tejpal Singh and
                  Matthew W. Stefaniw},
  title        = {A 32 nm, 3.1 Billion Transistor, 12 Wide Issue Itanium{\textregistered}
                  Processor for Mission-Critical Servers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {177--193},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2167809},
  doi          = {10.1109/JSSC.2011.2167809},
  timestamp    = {Mon, 21 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/RiedlingerABBCDFFGLMMMNPPRRSS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RitheCC12,
  author       = {Rahul Rithe and
                  Chih{-}Chi Cheng and
                  Anantha P. Chandrakasan},
  title        = {Quad Full-HD Transform Engine for Dual-Standard Low-Power Video Coding},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2724--2736},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211694},
  doi          = {10.1109/JSSC.2012.2211694},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RitheCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RooseleerCD12,
  author       = {Bram Rooseleer and
                  Stefan Cosemans and
                  Wim Dehaene},
  title        = {A 65 nm, 850 MHz, 256 kbit, 4.3 pJ/access, Ultra Low Leakage Power
                  Memory Using Dynamic Cell Stability and a Dual Swing Data Link},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1784--1796},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191316},
  doi          = {10.1109/JSSC.2012.2191316},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RooseleerCD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RumbergG12,
  author       = {Brandon Rumberg and
                  David W. Graham},
  title        = {A Low-Power Magnitude Detector for Analysis of Transient-Rich Signals},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {676--685},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2179452},
  doi          = {10.1109/JSSC.2011.2179452},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RumbergG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/RylyakovSLGADYCJKV12,
  author       = {Alexander V. Rylyakov and
                  Clint Schow and
                  Benjamin G. Lee and
                  William M. J. Green and
                  Solomon Assefa and
                  Fuad E. Doany and
                  Min Yang and
                  Joris Van Campenhout and
                  Christopher V. Jahnes and
                  Jeffrey A. Kash and
                  Yurii A. Vlasov},
  title        = {Silicon Photonic Switches Hybrid-Integrated With {CMOS} Drivers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {345--354},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170638},
  doi          = {10.1109/JSSC.2011.2170638},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/RylyakovSLGADYCJKV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SahaHSDEC12,
  author       = {Prabir K. Saha and
                  Duane C. Howard and
                  Subramaniam Shankar and
                  Ryan Diestelhorst and
                  Troy D. England and
                  John D. Cressler},
  title        = {A 6-20 GHz Adaptive SiGe Image Reject Mixer for a Self-Healing Receiver},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {1998--2006},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2201284},
  doi          = {10.1109/JSSC.2012.2201284},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SahaHSDEC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SandeLDHABAPMRWJLWP12,
  author       = {Frank Van de Sande and
                  Nico Lugil and
                  Filip Demarsin and
                  Zeger Hendrix and
                  Alvin Andries and
                  Peter Brandt and
                  William Anklam and
                  Jeffery S. Patterson and
                  Brian Miller and
                  Michael Rytting and
                  Mike Whaley and
                  Bob Jewett and
                  Jacky Liu and
                  Jake Wegman and
                  Ken Poulton},
  title        = {A 7.2 GSa/s, 14 Bit or 12 GSa/s, 12 Bit Signal Generator on a Chip
                  in a 165 GHz f\({}_{\mbox{T}}\) BiCMOS Process},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {1003--1012},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185172},
  doi          = {10.1109/JSSC.2012.2185172},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SandeLDHABAPMRWJLWP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SchlottmannSNH12,
  author       = {Craig Schlottmann and
                  Samuel A. Shapero and
                  Stephen Nease and
                  Paul E. Hasler},
  title        = {A Digitally Enhanced Dynamically Reconfigurable Analog Platform for
                  Low-Power Signal Processing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2174--2184},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2194847},
  doi          = {10.1109/JSSC.2012.2194847},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SchlottmannSNH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SenguptaH12,
  author       = {Kaushik Sengupta and
                  Ali Hajimiri},
  title        = {A 0.28 THz Power-Generation and Beam-Steering Array in {CMOS} Based
                  on Distributed Active Radiators},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3013--3031},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217831},
  doi          = {10.1109/JSSC.2012.2217831},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SenguptaH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SeoCPYK12,
  author       = {Heesong Seo and
                  In Young Choi and
                  Changjoon Park and
                  Jehyung Yoon and
                  Bumman Kim},
  title        = {A Wideband Digital {RF} Receiver Front-End Employing a New Discrete-Time
                  Filter for m-WiMAX},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1165--1174},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185529},
  doi          = {10.1109/JSSC.2012.2185529},
  timestamp    = {Tue, 26 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/SeoCPYK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SeoKPS12,
  author       = {Young Hun Seo and
                  Jun{-}Seok Kim and
                  Hong{-}June Park and
                  Jae{-}Yoon Sim},
  title        = {A 1.25 ps Resolution 8b Cyclic {TDC} in 0.13 {\(\mathrm{\mu}\)}m {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {736--743},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2176609},
  doi          = {10.1109/JSSC.2011.2176609},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SeoKPS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SeoSITIWIYK12,
  author       = {Min{-}Woong Seo and
                  Sungho Suh and
                  Tetsuya Iida and
                  Taishi Takasawa and
                  Keigo Isobe and
                  Takashi Watanabe and
                  Shinya Itoh and
                  Keita Yasutomi and
                  Shoji Kawahito},
  title        = {A Low-Noise High Intrascene Dynamic Range {CMOS} Image Sensor With
                  a 13 to 19b Variable-Resolution Column-Parallel Folding-Integration/Cyclic
                  {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {272--283},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164298},
  doi          = {10.1109/JSSC.2011.2164298},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SeoSITIWIYK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SeokKBS12,
  author       = {Mingoo Seok and
                  Gyouho Kim and
                  David T. Blaauw and
                  Dennis Sylvester},
  title        = {A Portable 2-Transistor Picowatt Temperature-Compensated Voltage Reference
                  Operating at 0.5 {V}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2534--2545},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2206683},
  doi          = {10.1109/JSSC.2012.2206683},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SeokKBS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShettigarP12,
  author       = {Pradeep Shettigar and
                  Shanthi Pavan},
  title        = {Design Techniques for Wideband Single-Bit Continuous-Time Delta Sigma
                  Modulators With {FIR} Feedback DACs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2865--2879},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217871},
  doi          = {10.1109/JSSC.2012.2217871},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShettigarP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShiSRHK12,
  author       = {Justin Shi and
                  Eric G. Soenen and
                  Alan Roth and
                  Ying{-}Chih Hsu and
                  Martin Kinyua},
  title        = {Practical Considerations for a Digital Inductive-Switching {DC/DC}
                  Converter With Direct Battery Connect in Deep Sub-Micron {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1946--1959},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196318},
  doi          = {10.1109/JSSC.2012.2196318},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShiSRHK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShibataSYSPCAL12,
  author       = {Hajime Shibata and
                  Richard Schreier and
                  Wenhua Yang and
                  Ali Shaikh and
                  Donald Paterson and
                  Trevor C. Caldwell and
                  David Alldred and
                  Ping Wing Lai},
  title        = {A DC-to-1 GHz Tunable {RF} Delta Sigma {ADC} Achieving {DR} = 74 dB
                  and {BW} = 150 MHz at f\({}_{\mbox{0}}\) = 450 MHz Using 550 mW},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2888--2897},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217860},
  doi          = {10.1109/JSSC.2012.2217860},
  timestamp    = {Wed, 01 Sep 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShibataSYSPCAL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShikataSKI12,
  author       = {Akira Shikata and
                  Ryota Sekimoto and
                  Tadahiro Kuroda and
                  Hiroki Ishikuro},
  title        = {A 0.5 {V} 1.1 MS/sec 6.3 fJ/Conversion-Step {SAR-ADC} With Tri-Level
                  Comparator in 40 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {1022--1030},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185352},
  doi          = {10.1109/JSSC.2012.2185352},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShikataSKI12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ShinS12,
  author       = {Jaewook Shin and
                  Hyunchol Shin},
  title        = {A 1.9-3.8 GHz {\(\Delta\)}{\(\Sigma\)} Fractional-N {PLL} Frequency
                  Synthesizer With Fast Auto-Calibration of Loop Bandwidth and {VCO}
                  Frequency},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {665--675},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2179733},
  doi          = {10.1109/JSSC.2011.2179733},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ShinS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SinghKPVBN12,
  author       = {Vikas Singh and
                  Nagendra Krishnapura and
                  Shanthi Pavan and
                  Baradwaj Vigraham and
                  Debasish Behera and
                  Nimit Nigania},
  title        = {A 16 MHz {BW} 75 dB {DR} {CT} {\(\Delta\)}{\(\Sigma\)} {ADC} Compensated
                  for More Than One Cycle Excess Loop Delay},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1884--1895},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196730},
  doi          = {10.1109/JSSC.2012.2196730},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SinghKPVBN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SinghLGG12,
  author       = {Ritu Raj Singh and
                  Lian Leng and
                  Axel Guenther and
                  Roman Genov},
  title        = {A CMOS-Microfluidic Chemiluminescence Contact Imaging Microsystem},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2822--2833},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2214182},
  doi          = {10.1109/JSSC.2012.2214182},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/SinghLGG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/StauthSK12,
  author       = {Jason T. Stauth and
                  Michael D. Seeman and
                  Kapil Kesarwani},
  title        = {A Resonant Switched-Capacitor {IC} and Embedded System for Sub-Module
                  Photovoltaic Power Management},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3043--3054},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2225731},
  doi          = {10.1109/JSSC.2012.2225731},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/StauthSK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SturckenPWMCPS12,
  author       = {Noah Sturcken and
                  Michele Petracca and
                  Steve B. Warren and
                  Paolo Mantovani and
                  Luca P. Carloni and
                  Angel V. Peterchev and
                  Kenneth L. Shepard},
  title        = {A Switched-Inductor Integrated Voltage Regulator With Nonlinear Feedback
                  and Network-on-Chip Load in 45 nm {SOI}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1935--1945},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196316},
  doi          = {10.1109/JSSC.2012.2196316},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SturckenPWMCPS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SuHCTCC12,
  author       = {Yu{-}Chi Su and
                  Keng{-}Yen Huang and
                  Tse{-}Wei Chen and
                  Yi{-}Min Tsai and
                  Shao{-}Yi Chien and
                  Liang{-}Gee Chen},
  title        = {A 52 mW Full {HD} 160-Degree Object Viewpoint Recognition SoC With
                  Visual Vocabulary Processor for Wearable Vision Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {797--809},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185349},
  doi          = {10.1109/JSSC.2012.2185349},
  timestamp    = {Wed, 14 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SuHCTCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SzeC12,
  author       = {Vivienne Sze and
                  Anantha P. Chandrakasan},
  title        = {A Highly Parallel and Scalable {CABAC} Decoder for Next Generation
                  Video Coding},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {8--22},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2169310},
  doi          = {10.1109/JSSC.2011.2169310},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SzeC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Taherzadeh-SaniH12,
  author       = {Mohammad Taherzadeh{-}Sani and
                  Anas A. Hamoui},
  title        = {Correction to "A 1-V Process-Insensitive Current-Scalable Two-Stage
                  Opamp With Enhanced {DC} Gain and Settling Behavior in 65-nm Digital
                  CMOS" [Mar 11 660-668]},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1497},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2193516},
  doi          = {10.1109/JSSC.2012.2193516},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Taherzadeh-SaniH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TaiXRLDCP12,
  author       = {Wei Tai and
                  Hongtao Xu and
                  Ashoke Ravi and
                  Hasnain Lakdawala and
                  Ofir B. Degani and
                  L. Richard Carley and
                  Yorgos Palaskas},
  title        = {A Transformer-Combined 31.5 dBm Outphasing Power Amplifier in 45 nm
                  {LP} {CMOS} With Dynamic Power Control for Back-Off Power Efficiency
                  Enhancement},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1646--1658},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191674},
  doi          = {10.1109/JSSC.2012.2191674},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TaiXRLDCP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TakashimaNSKSF12,
  author       = {Daisaburo Takashima and
                  Mitsuhiro Noguchi and
                  Noboru Shibata and
                  Kazushige Kanda and
                  Hiroshi Sukegawa and
                  Shuso Fujii},
  title        = {An Embedded {DRAM} Technology for High-Performance {NAND} Flash Memories},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {2},
  pages        = {536--546},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170779},
  doi          = {10.1109/JSSC.2011.2170779},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TakashimaNSKSF12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TanSMP12,
  author       = {Zhichao Tan and
                  Saleh Heidary Shalmany and
                  Gerard C. M. Meijer and
                  Michiel A. P. Pertijs},
  title        = {An Energy-Efficient 15-Bit Capacitive-Sensor Interface Based on Period
                  Modulation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1703--1711},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191212},
  doi          = {10.1109/JSSC.2012.2191212},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TanSMP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TanSZCYTYGTCKWCHSD12,
  author       = {Sam Chun{-}Geik Tan and
                  Fei Song and
                  Renliang Zheng and
                  Jiqing Cui and
                  Guoqin Yao and
                  Litian Tang and
                  Yuejin Yang and
                  Dandan Guo and
                  Alexander Tanzil and
                  Junmin Cao and
                  Ming Kong and
                  KianTiong Wong and
                  Soong Lin Chew and
                  Chee{-}Lee Heng and
                  Osama Shana'a and
                  Guang{-}Kaai Dehng},
  title        = {An Ultra-Low-Cost High-Performance Bluetooth SoC in 0.11-{\(\mathrm{\mu}\)}m
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2665--2677},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211672},
  doi          = {10.1109/JSSC.2012.2211672},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/TanSZCYTYGTCKWCHSD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TanakamaruHT12,
  author       = {Shuhei Tanakamaru and
                  Chinglin Hung and
                  Ken Takeuchi},
  title        = {Highly Reliable and Low Power {SSD} Using Asymmetric Coding and Stripe
                  Bitline-Pattern Elimination Programming},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {85--96},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170637},
  doi          = {10.1109/JSSC.2011.2170637},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TanakamaruHT12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TangLC12,
  author       = {Song{-}Nien Tang and
                  Chi{-}Hsiang Liao and
                  Tsin{-}Yuan Chang},
  title        = {An Area- and Energy-Efficient Multimode {FFT} Processor for {WPAN/WLAN/WMAN}
                  Systems},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1419--1435},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2187406},
  doi          = {10.1109/JSSC.2012.2187406},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TangLC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ThakkarKJFA12,
  author       = {Chintan Thakkar and
                  Lingkai Kong and
                  Kwangmo Jung and
                  Antoine Frapp{\'{e}} and
                  Elad Alon},
  title        = {A 10 Gb/s 45 mW Adaptive 60 GHz Baseband in 65 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {952--968},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2184651},
  doi          = {10.1109/JSSC.2012.2184651},
  timestamp    = {Fri, 24 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ThakkarKJFA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ThanSCZSMCDD12,
  author       = {Ha Trong Than and
                  George W. Sun and
                  Geovanni S. Cuellar and
                  Jiyang Zeng and
                  Nate T. Schultz and
                  Michael E. Moya and
                  Younkyu Chung and
                  Blythe C. Deckman and
                  Michael P. DeLisio},
  title        = {Design and Performance of a 600-W\emph{C}-Band Amplifier Using Spatially
                  Combined GaAs FETs for Satellite Communications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2309--2315},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204928},
  doi          = {10.1109/JSSC.2012.2204928},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ThanSCZSMCDD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TieboutWKSDRKWASJZ12,
  author       = {Marc Tiebout and
                  Hans{-}Dieter Wohlmuth and
                  Herbert Knapp and
                  Raffaele Salerno and
                  Michael Druml and
                  Mirjana Rest and
                  Johann Kaeferboeck and
                  Johann Wuertele and
                  Sherif Sayed Ahmed and
                  Andreas Schiessl and
                  Ralf Juenemann and
                  Anna Zielska},
  title        = {Low Power Wideband Receiver and Transmitter Chipset for mm-Wave Imaging
                  in SiGe Bipolar Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1175--1184},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185570},
  doi          = {10.1109/JSSC.2012.2185570},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TieboutWKSDRKWASJZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TitusK12,
  author       = {Ward S. Titus and
                  John G. Kenney},
  title        = {A 5.6 GHz to 11.5 GHz {DCO} for Digital Dual Loop CDRs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {5},
  pages        = {1123--1130},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185572},
  doi          = {10.1109/JSSC.2012.2185572},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TitusK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ToiflMRRDBPGKBBFM12,
  author       = {Thomas Toifl and
                  Christian Menolfi and
                  Michael Ruegg and
                  Robert Reutemann and
                  Daniel Dreps and
                  Troy J. Beukema and
                  Andrea Prati and
                  Daniele Gardellini and
                  Marcel A. Kossel and
                  Peter Buchmann and
                  Matthias Braendli and
                  Pier Andrea Francese and
                  Thomas Morf},
  title        = {A 2.6 mW/Gbps 12.5 Gbps {RX} With 8-Tap Switched-Capacitor {DFE} in
                  32 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {897--910},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185342},
  doi          = {10.1109/JSSC.2012.2185342},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ToiflMRRDBPGKBBFM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TomitaSKI12,
  author       = {Kazutoshi Tomita and
                  Ryota Shinoda and
                  Tadahiro Kuroda and
                  Hiroki Ishikuro},
  title        = {1-W 3.3-16.3-V Boosting Wireless Power Transfer Circuits With Vector
                  Summing Power Controller},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2576--2585},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211698},
  doi          = {10.1109/JSSC.2012.2211698},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TomitaSKI12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TousiMA12,
  author       = {Yahya M. Tousi and
                  Omeed Momeni and
                  Ehsan Afshari},
  title        = {A Novel {CMOS} High-Power Terahertz {VCO} Based on Coupled Oscillators:
                  Theory and Implementation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3032--3042},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217853},
  doi          = {10.1109/JSSC.2012.2217853},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TousiMA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TsengCSCC12,
  author       = {Chien{-}Jian Tseng and
                  Hung{-}Wei Chen and
                  Wei{-}Ting Shen and
                  Wei{-}Chih Cheng and
                  Hsin{-}Shu Chen},
  title        = {A 10-b 320-MS/s Stage-Gain-Error Self-Calibration Pipeline {ADC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1334--1343},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2192655},
  doi          = {10.1109/JSSC.2012.2192655},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TsengCSCC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/TuLTLLWHLSJC12,
  author       = {Ming{-}Hsien Tu and
                  Jihi{-}Yu Lin and
                  Ming{-}Chien Tsai and
                  Chien{-}Yu Lu and
                  Yuh{-}Jiun Lin and
                  Meng{-}Hsueh Wang and
                  Huan{-}Shun Huang and
                  Kuen{-}Di Lee and
                  Wei{-}Chiang Shih and
                  Shyh{-}Jye Jou and
                  Ching{-}Te Chuang},
  title        = {A Single-Ended Disturb-Free 9T Subthreshold {SRAM} With Cross-Point
                  Data-Aware Write Word-Line Structure, Negative Bit-Line, and Adaptive
                  Read Operation Timing Tracing},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1469--1482},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2187474},
  doi          = {10.1109/JSSC.2012.2187474},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/TuLTLLWHLSJC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/UemuraHKD12,
  author       = {Shinichiro Uemura and
                  Yukio Hiraoka and
                  Takayuki Kai and
                  Shiro Dosho},
  title        = {Isolation Techniques Against Substrate Noise Coupling Utilizing Through
                  Silicon Via {(TSV)} Process for RF/Mixed-Signal SoCs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {810--816},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185169},
  doi          = {10.1109/JSSC.2012.2185169},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/UemuraHKD12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VerbruggenIC12,
  author       = {Bob Verbruggen and
                  Masao Iriguchi and
                  Jan Craninckx},
  title        = {A 1.7 mW 11b 250 MS/s 2-Times Interleaved Fully Dynamic Pipelined
                  {SAR} {ADC} in 40 nm Digital {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {2880--2887},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2217873},
  doi          = {10.1109/JSSC.2012.2217873},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VerbruggenIC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/VercesiFBLC12,
  author       = {Luca Vercesi and
                  Luca Fanori and
                  Fernando De Bernardinis and
                  Antonio Liscidini and
                  Rinaldo Castello},
  title        = {A Dither-Less All Digital {PLL} for Cellular Transmitters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1908--1920},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197130},
  doi          = {10.1109/JSSC.2012.2197130},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/VercesiFBLC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WanLALH12,
  author       = {Gordon Wan and
                  Xiangli Li and
                  Gennadiy Agranov and
                  Marc Levoy and
                  Mark Horowitz},
  title        = {{CMOS} Image Sensors With Multi-Bucket Pixels for Computational Photography},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {4},
  pages        = {1031--1042},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2185189},
  doi          = {10.1109/JSSC.2012.2185189},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WanLALH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangLH12,
  author       = {Lei Wang and
                  Yong Lian and
                  Chun{-}Huat Heng},
  title        = {3-5 GHz 4-Channel {UWB} Beamforming Transmitter With 1{\textdegree}
                  Scanning Resolution Through Calibrated Vernier Delay Line in 0.13-{\(\mathrm{\mu}\)}m
                  {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3145--3159},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216704},
  doi          = {10.1109/JSSC.2012.2216704},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangLH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangM12,
  author       = {Albert Wang and
                  Alyosha C. Molnar},
  title        = {A Light-Field Image Sensor in 180 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {257--271},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2164669},
  doi          = {10.1109/JSSC.2011.2164669},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangM12a,
  author       = {Yikai Wang and
                  Dongsheng Ma},
  title        = {A 450-mV Single-Fuel-Cell Power Management Unit With Switch-Mode Quasi-V\({}^{\mbox{2}}\)
                  Hysteretic Control and Automatic Startup on 0.35-{\(\mathrm{\mu}\)}m
                  Standard {CMOS} Process},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2216--2226},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197235},
  doi          = {10.1109/JSSC.2012.2197235},
  timestamp    = {Thu, 20 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangM12a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangTKGS12,
  author       = {Alice Wang and
                  Ken Takeuchi and
                  Tanay Karnik and
                  Maysam Ghovanloo and
                  Satoshi Shigematsu},
  title        = {Introduction to the Special Issue on the 2011 {IEEE} International
                  Solid-State Circuits Conference},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {3--7},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2175293},
  doi          = {10.1109/JSSC.2011.2175293},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangTKGS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WangWHR12,
  author       = {Yanjie Wang and
                  Hua Wang and
                  Chris Hull and
                  Shmuel Ravid},
  title        = {A Transformer-Based Broadband Front-End Combo in Standard {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1810--1819},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196314},
  doi          = {10.1109/JSSC.2012.2196314},
  timestamp    = {Fri, 23 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WangWHR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WarnockCCWMGSCDBPRPSMMNRH12,
  author       = {James D. Warnock and
                  Yiu{-}Hing Chan and
                  Sean M. Carey and
                  Huajun Wen and
                  Patrick J. Meaney and
                  Guenter Gerwig and
                  Howard H. Smith and
                  Yuen H. Chan and
                  John Davis and
                  Paul Bunce and
                  Antonio Pelella and
                  Daniel Rodko and
                  Pradip Patel and
                  Thomas Strach and
                  Doug Malone and
                  Frank Malgioglio and
                  Jos{\'{e}} Neves and
                  David L. Rude and
                  William V. Huott},
  title        = {Circuit and Physical Design Implementation of the Microprocessor Chip
                  for the zEnterprise System},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {151--163},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2169308},
  doi          = {10.1109/JSSC.2011.2169308},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WarnockCCWMGSCDBPRPSMMNRH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WeiCCSUMM12,
  author       = {He Gong Wei and
                  Chi{-}Hang Chan and
                  U. Fat Chio and
                  Sai{-}Weng Sin and
                  Seng{-}Pan U. and
                  Rui Paulo Martins and
                  Franco Maloberti},
  title        = {An 8-b 400-MS/s 2-b-Per-Cycle {SAR} {ADC} With Resistive {DAC}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2763--2772},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2214181},
  doi          = {10.1109/JSSC.2012.2214181},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/WeiCCSUMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WelB12,
  author       = {Arnoud P. van der Wel and
                  Gerrit den Besten},
  title        = {A 1.2-6 Gb/s, 4.2 pJ/Bit Clock {\&} Data Recovery Circuit With
                  High Jitter Tolerance in 0.14 {\(\mathrm{\mu}\)}m {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1768--1775},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191318},
  doi          = {10.1109/JSSC.2012.2191318},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WelB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WitG12,
  author       = {Pieter De Wit and
                  Georges G. E. Gielen},
  title        = {Degradation-Resilient Design of a Self-Healing xDSL Line Driver in
                  90 nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1757--1767},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191328},
  doi          = {10.1109/JSSC.2012.2191328},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WitG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/WuCHM12,
  author       = {Rong Wu and
                  Youngcheol Chae and
                  Johan H. Huijsing and
                  Kofi A. A. Makinwa},
  title        = {A 20-b {\(\pm\)} 40-mV Range Read-Out {IC} With 50-nV Offset and 0.04{\%}
                  Gain Error for Bridge Transducers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2152--2163},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2197929},
  doi          = {10.1109/JSSC.2012.2197929},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/WuCHM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/Xu0Y12,
  author       = {Ruoyu Xu and
                  Bing Liu and
                  George Jie Yuan},
  title        = {Digitally Calibrated 768-kS/s 10-b Minimum-Size {SAR} {ADC} Array
                  With Dithering},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2129--2140},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2198350},
  doi          = {10.1109/JSSC.2012.2198350},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/Xu0Y12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/XuLY12,
  author       = {Ruoyu Xu and
                  Bing Liu and
                  George Jie Yuan},
  title        = {A 1500 fps Highly Sensitive 256 , {\(^\times\)}, 256 {CMOS} Imaging
                  Sensor With In-Pixel Calibration},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {6},
  pages        = {1408--1418},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2192662},
  doi          = {10.1109/JSSC.2012.2192662},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/XuLY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YamamotoC12,
  author       = {Kentaro Yamamoto and
                  Anthony Chan Carusone},
  title        = {A 1-1-1-1 {MASH} Delta-Sigma Modulator With Dynamic Comparator-Based
                  OTAs},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {8},
  pages        = {1866--1883},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2196732},
  doi          = {10.1109/JSSC.2012.2196732},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YamamotoC12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YanHKA12,
  author       = {Jonmei J. Yan and
                  Chin Hsia and
                  Donald F. Kimball and
                  Peter M. Asbeck},
  title        = {Design of a 4-W Envelope Tracking Power Amplifier With More Than One
                  Octave Carrier Bandwidth},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2298--2308},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2204927},
  doi          = {10.1109/JSSC.2012.2204927},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YanHKA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YangYL12,
  author       = {Zhenglin Yang and
                  Libin Yao and
                  Yong Lian},
  title        = {A 0.5-V 35-{\(\mathrm{\mu}\)}W 85-dB {DR} Double-Sampled {\(\Delta\)}{\(\Sigma\)}
                  Modulator for Audio Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {722--735},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2181677},
  doi          = {10.1109/JSSC.2011.2181677},
  timestamp    = {Thu, 21 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YangYL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YangYM12,
  author       = {Chia{-}Hsiang Yang and
                  Tsung{-}Han Yu and
                  Dejan Markovic},
  title        = {Power and Area Minimization of Reconfigurable {FFT} Processors: {A}
                  3GPP-LTE Example},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {757--768},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2176163},
  doi          = {10.1109/JSSC.2011.2176163},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YangYM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YenHCCJL12,
  author       = {Shao{-}Wei Yen and
                  Shiang{-}Yu Hung and
                  Chih{-}Lung Chen and
                  Hsie{-}Chia Chang and
                  Shyh{-}Jye Jou and
                  Chen{-}Yi Lee},
  title        = {A 5.79-Gb/s Energy-Efficient Multirate {LDPC} Codec Chip for {IEEE}
                  802.15.3c Applications},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2246--2257},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2194176},
  doi          = {10.1109/JSSC.2012.2194176},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YenHCCJL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YoonJCKHKHL12,
  author       = {Dae{-}Young Yoon and
                  Chang{-}Jin Jeong and
                  Justin Cartwright and
                  Ho{-}Yong Kang and
                  Seok{-}Kyun Han and
                  Nae{-}Soo Kim and
                  Dong Sam Ha and
                  Sang{-}Gug Lee},
  title        = {A New Approach to Low-Power and Low-Latency Wake-Up Receiver System
                  for Wireless Sensor Nodes},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2405--2419},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2209778},
  doi          = {10.1109/JSSC.2012.2209778},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/YoonJCKHKHL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YoussefZN12,
  author       = {Shadi Youssef and
                  Ronan A. R. van der Zee and
                  Bram Nauta},
  title        = {Active Feedback Technique for {RF} Channel Selection in Front-End
                  Receivers},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3130--3144},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216651},
  doi          = {10.1109/JSSC.2012.2216651},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YoussefZN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YuWKYCSO12,
  author       = {Chikuang Yu and
                  Chieh{-}Lin Wu and
                  Sandeep Kshattry and
                  Yang{-}Hun Yun and
                  Choong{-}Yul Cha and
                  Hisashi Shichijo and
                  Kenneth K. O},
  title        = {Compact, High Impedance and Wide Bandwidth Detectors for Characterization
                  of Millimeter Wave Performance},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {10},
  pages        = {2335--2343},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2219155},
  doi          = {10.1109/JSSC.2012.2219155},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YuWKYCSO12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YuYCM12,
  author       = {Tsung{-}Han Yu and
                  Chia{-}Hsiang Yang and
                  Danijela Cabric and
                  Dejan Markovic},
  title        = {A 7.4-mW 200-MS/s Wideband Spectrum Sensing Digital Baseband Processor
                  for Cognitive Radios},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {2235--2245},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2195933},
  doi          = {10.1109/JSSC.2012.2195933},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YuYCM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YucetasPKSAH12,
  author       = {Mikail Y{\"{u}}cetas and
                  Mika Pulkkinen and
                  Antti Kalanti and
                  Jarno Salomaa and
                  Lasse Aaltonen and
                  Kari Halonen},
  title        = {A High-Resolution Accelerometer With Electrostatic Damping and Improved
                  Supply Sensitivity},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1721--1730},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191675},
  doi          = {10.1109/JSSC.2012.2191675},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YucetasPKSAH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/YuffeMKSKAFLZ12,
  author       = {Marcelo Yuffe and
                  Moty Mehalel and
                  Ernest Knoll and
                  Joseph Shor and
                  Tsvika Kurts and
                  Eran Altshuler and
                  Eyal Fayneh and
                  Kosta Luria and
                  Michael Zelikson},
  title        = {A Fully Integrated Multi-CPU, Processor Graphics, and Memory Controller
                  32-nm Processor},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {194--205},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2167814},
  doi          = {10.1109/JSSC.2011.2167814},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/YuffeMKSKAFLZ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZakiAZBLRKB12,
  author       = {Tarek Zaki and
                  Frederik Ante and
                  Ute Zschieschang and
                  Joerg Butschke and
                  Florian Letzkus and
                  Harald Richter and
                  Hagen Klauk and
                  Joachim N. Burghartz},
  title        = {A 3.3 {V} 6-Bit 100 kS/s Current-Steering Digital-to-Analog Converter
                  Using Organic P-Type Thin-Film Transistors on Glass},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {1},
  pages        = {292--300},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2170639},
  doi          = {10.1109/JSSC.2011.2170639},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZakiAZBLRKB12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhangBA12,
  author       = {Dai Zhang and
                  Ameya Bhide and
                  Atila Alvandpour},
  title        = {A 53-nW 9.1-ENOB 1-kS/s {SAR} {ADC} in 0.13-{\(\mathrm{\mu}\)}m {CMOS}
                  for Medical Implant Devices},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {7},
  pages        = {1585--1593},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2191209},
  doi          = {10.1109/JSSC.2012.2191209},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhangBA12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhaoKR12,
  author       = {Dixian Zhao and
                  Shailesh Kulkarni and
                  Patrick Reynaert},
  title        = {A 60-GHz Outphasing Transmitter in 40-nm {CMOS}},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {12},
  pages        = {3172--3183},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2216692},
  doi          = {10.1109/JSSC.2012.2216692},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhaoKR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhaoL12,
  author       = {Yi Zhao and
                  John R. Long},
  title        = {A Wideband, Dual-Path, Millimeter-Wave Power Amplifier With 20 dBm
                  Output Power and {PAE} Above 15{\%} in 130 nm SiGe-BiCMOS},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {9},
  pages        = {1981--1997},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2201275},
  doi          = {10.1109/JSSC.2012.2201275},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhaoL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/ZhuCSUMM12,
  author       = {Yan Zhu and
                  Chi{-}Hang Chan and
                  Sai{-}Weng Sin and
                  Seng{-}Pan U. and
                  Rui Paulo Martins and
                  Franco Maloberti},
  title        = {A 50-fJ 10-b 160-MS/s Pipelined-SAR {ADC} Decoupled Flip-Around {MDAC}
                  and Self-Embedded Offset Cancellation},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {11},
  pages        = {2614--2626},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2012.2211695},
  doi          = {10.1109/JSSC.2012.2211695},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jssc/ZhuCSUMM12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics