default search action
Search dblp for Publications
export results for "Chen-Chung Ho"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/algorithmica/KoCCHL24, author = {Sheng{-}Yen Ko and Ho{-}Lin Chen and Siu{-}Wing Cheng and Wing{-}Kai Hon and Chung{-}Shou Liao}, title = {Polynomial-time Combinatorial Algorithm for General Max-Min Fair Allocation}, journal = {Algorithmica}, volume = {86}, number = {2}, pages = {485--504}, year = {2024}, url = {https://doi.org/10.1007/s00453-023-01105-3}, doi = {10.1007/S00453-023-01105-3}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithmica/KoCCHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/NoorizadeganYHC24, author = {Amir Noorizadegan and Der{-}Liang Young and Y. C. Hon and Chuin{-}Shan Chen}, title = {Power-enhanced residual network for function approximation and physics-informed inverse problems}, journal = {Appl. Math. Comput.}, volume = {480}, pages = {128910}, year = {2024}, url = {https://doi.org/10.1016/j.amc.2024.128910}, doi = {10.1016/J.AMC.2024.128910}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/NoorizadeganYHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChienYCCLHYLYLWHCC24, author = {Shuo{-}Chen Chien and Chia{-}Ming Yen and Yu{-}Hung Chang and Ying{-}Erh Chen and Chia{-}Chun Liu and Yu{-}Ping Hsiao and Ping{-}Yen Yang and Hong{-}Ming Lin and Tsung{-}En Yang and Xing{-}Hua Lu and I{-}Chien Wu and Chih{-}Cheng Hsu and Hung{-}Yi Chiou and Ren{-}Hua Chung}, title = {Using large language model {(LLM)} to identify high-burden informal caregivers in long-term care}, journal = {Comput. Methods Programs Biomed.}, volume = {255}, pages = {108329}, year = {2024}, url = {https://doi.org/10.1016/j.cmpb.2024.108329}, doi = {10.1016/J.CMPB.2024.108329}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ChienYCCLHYLYLWHCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24, author = {Wei{-}Wen Chen and Ling Kuo and Yi{-}Xun Lin and Wen{-}Chung Yu and Chien{-}Chao Tseng and Yenn{-}Jiang Lin and Ching{-}Chun Huang and Shih{-}Lin Chang and Jacky Chung{-}Hao Wu and Chun{-}Ku Chen and Ching{-}Yao Weng and Siwa Chan and Wei{-}Wen Lin and Yu{-}Cheng Hsieh and Ming{-}Chih Lin and Yun{-}Ching Fu and Tsung Chen and Shih{-}Ann Chen and Henry Horng{-}Shing Lu}, title = {A Deep Learning Approach to Classify Fabry Cardiomyopathy from Hypertrophic Cardiomyopathy Using Cine Imaging on Cardiac Magnetic Resonance}, journal = {Int. J. Biomed. Imaging}, volume = {2024}, pages = {6114826:1--6114826:9}, year = {2024}, url = {https://doi.org/10.1155/2024/6114826}, doi = {10.1155/2024/6114826}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbi/ChenKLYTLHCWCWCLHLFCCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChenLXSL24, author = {Miaojiang Chen and Anfeng Liu and Neal N. Xiong and Houbing Song and Victor C. M. Leung}, title = {{SGPL:} An Intelligent Game-Based Secure Collaborative Communication Scheme for Metaverse Over 5G and Beyond Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {42}, number = {3}, pages = {767--782}, year = {2024}, url = {https://doi.org/10.1109/JSAC.2023.3345403}, doi = {10.1109/JSAC.2023.3345403}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChenLXSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuSCHRCWCLHLSCLWLLHTC24, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Ho{-}Yu Chen and Chen{-}Hsun Lin and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chih{-}I Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {An 8b-Precision 6T {SRAM} Computing-in-Memory Macro Using Time-Domain Incremental Accumulation for {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {7}, pages = {2297--2309}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3343669}, doi = {10.1109/JSSC.2023.3343669}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WuSCHRCWCLHLSCLWLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuSHRCCKHLSLCLLHTC24, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A Floating-Point 6T {SRAM} In-Memory-Compute Macro Using Hybrid-Domain Structure for Advanced {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {196--207}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3309966}, doi = {10.1109/JSSC.2023.3309966}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WuSHRCCKHLSLCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BougourziDDTHCYQABHTCTCFGSOB24, author = {Fares Bougourzi and Cosimo Distante and Fadi Dornaika and Abdelmalik Taleb{-}Ahmed and Abdenour Hadid and Suman Chaudhary and Wanting Yang and Yan Qiang and Talha Anwar and Mihaela Elena Breaban and Chih{-}Chung Hsu and Shen{-}Chieh Tai and Shao{-}Ning Chen and Davide Tricarico and Hafiza Ayesha Hoor Chaudhry and Attilio Fiandrotti and Marco Grangetto and Maria Ausilia Napoli Spatafora and Alessandro Ortis and Sebastiano Battiato}, title = {{COVID-19} Infection Percentage Estimation from Computed Tomography Scans: Results and Insights from the International Per-COVID-19 Challenge}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1557}, year = {2024}, url = {https://doi.org/10.3390/s24051557}, doi = {10.3390/S24051557}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BougourziDDTHCYQABHTCTCFGSOB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soca/HsuWCHHGSZHT24, author = {Wei{-}Wen Hsu and Yongfang Wu and Chung{-}Hao Chen and Chang Hao and Yu{-}Ling Hou and Xiang Gao and Yun Shao and Xueli Zhang and Tao He and Yanhong Tai}, title = {A computer-aided diagnosis system for breast pathology: a deep learning approach with model interpretability from pathological perspective}, journal = {Serv. Oriented Comput. Appl.}, volume = {18}, number = {2}, pages = {183--193}, year = {2024}, url = {https://doi.org/10.1007/s11761-023-00378-4}, doi = {10.1007/S11761-023-00378-4}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soca/HsuWCHHGSZHT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/PengC24, author = {Chao{-}Chung Peng and Yi{-}Ho Chen}, title = {Fixed-Wing Unmanned Aerial Vehicle Rotary Engine Anomaly Detection via Online Digital Twin Methods}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {60}, number = {1}, pages = {741--758}, year = {2024}, url = {https://doi.org/10.1109/TAES.2023.3329797}, doi = {10.1109/TAES.2023.3329797}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/PengC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24, author = {Jian{-}Wei Su and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Chi Chou and Ta{-}Wei Liu and Yen{-}Lin Chung and Li{-}Yang Hung and Jin{-}Sheng Ren and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Hao{-}Chiao Hong and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {8-Bit Precision 6T {SRAM} Compute-in-Memory Macro Using Global Bitline-Combining Scheme for Edge {AI} Chips}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {4}, pages = {2304--2308}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3331375}, doi = {10.1109/TCSII.2023.3331375}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/SuLWCLCHRHCMLSLCHLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/WuWCYXMGXLWWZ24, author = {Xuan Wu and Di Wang and Huanhuan Chen and Lele Yan and Yubin Xiao and Chunyan Miao and Hongwei Ge and Dong Xu and Yanchun Liang and Kangping Wang and Chunguo Wu and You Zhou}, title = {Neural Architecture Search for Text Classification With Limited Computing Resources Using Efficient Cartesian Genetic Programming}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {28}, number = {3}, pages = {638--652}, year = {2024}, url = {https://doi.org/10.1109/TEVC.2023.3346969}, doi = {10.1109/TEVC.2023.3346969}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/WuWCYXMGXLWWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/YinZGC24, author = {Hongjian Yin and Yan Zhu and Guanglai Guo and William Cheng{-}Chung Chu}, title = {Privacy-Preserving Smart Contracts for Confidential Transactions Using Dual-Mode Broadcast Encryption}, journal = {{IEEE} Trans. Reliab.}, volume = {73}, number = {2}, pages = {1090--1103}, year = {2024}, url = {https://doi.org/10.1109/TR.2023.3328146}, doi = {10.1109/TR.2023.3328146}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/YinZGC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/ChenCCC24, author = {Kuan{-}Ming Chen and Hsin{-}Yuan Chang and Ronald Y. Chang and Wei{-}Ho Chung}, title = {Deep Unfolded Hybrid Beamforming in Reconfigurable Intelligent Surface Aided mmWave {MIMO-OFDM} Systems}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {13}, number = {4}, pages = {1118--1122}, year = {2024}, url = {https://doi.org/10.1109/LWC.2024.3362399}, doi = {10.1109/LWC.2024.3362399}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/ChenCCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/WuCLWCPWCLL24, author = {Haibin Wu and Ho{-}Lam Chung and Yi{-}Cheng Lin and Yuan{-}Kuei Wu and Xuanjun Chen and Yu{-}Chi Pai and Hsiu{-}Hsuan Wang and Kai{-}Wei Chang and Alexander H. Liu and Hung{-}yi Lee}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Codec-SUPERB: An In-Depth Analysis of Sound Codec Models}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {10330--10348}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.616}, timestamp = {Tue, 27 Aug 2024 17:38:11 +0200}, biburl = {https://dblp.org/rec/conf/acl/WuCLWCPWCLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/YuSLHCHLHF24, author = {Han{-}Cheng Yu and Yu{-}An Shih and Kin{-}Man Law and Kai{-}Yu Hsieh and Yu{-}Chen Cheng and Hsin{-}Chih Ho and Zih{-}An Lin and Wen{-}Chuan Hsu and Yao{-}Chung Fan}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Enhancing Distractor Generation for Multiple-Choice Questions with Retrieval Augmented Pretraining and Knowledge Graph Integration}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {11019--11029}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-acl.655}, timestamp = {Tue, 27 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/YuSLHCHLHF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HoC24, author = {Wei{-}Yuan Ho and Hsing{-}Chung Chen}, editor = {Leonard Barolli}, title = {The Home-Delivery Analysis of Prescription Drugs for Chronic Diseases in the Post-Pandemic Era-An Example of Local Community Pharmacy}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 38th International Conference on Advanced Information Networking and Applications (AINA-2024), Kitakyushu, Japan, 17-19 April, 2024, Volume 2}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {200}, pages = {225--234}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57853-3\_19}, doi = {10.1007/978-3-031-57853-3\_19}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/HoC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amc/ChangCL24, author = {Wen{-}Chung Chang and Yi{-}Da Chen and Hong{-}Da Lin}, title = {Automated Recursive Hand-Eye Calibration Employing 3D Point Cloud Registration}, booktitle = {18th {IEEE} International Conference on Advanced Motion Control, {AMC} 2024, Kyoto, Japan, February 28 - March 1, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AMC58169.2024.10505690}, doi = {10.1109/AMC58169.2024.10505690}, timestamp = {Thu, 02 May 2024 22:05:02 +0200}, biburl = {https://dblp.org/rec/conf/amc/ChangCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002GCSRSVWZAAB24, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Antonette Shibani and Disha Shrivastava and Lila Shroff and Agnia Sergeyuk and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia Ha Rim Rho and Zejiang Shen and Pao Siangliulue}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1054:1--1054:35}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642697}, doi = {10.1145/3613904.3642697}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002GCSRSVWZAAB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/LoYCCS24, author = {Ta{-}Chun Lo and Shan{-}Hong Yang and Jyh{-}Biau Chang and Chung{-}Ho Chen and Ce{-}Kuen Shieh}, title = {A Two-Phase Multi-Class Botnet Labeling Approach for Real-World Traffic}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463248}, doi = {10.1109/ICAIIC60209.2024.10463248}, timestamp = {Tue, 02 Apr 2024 21:06:09 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/LoYCCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KaoHHY24, author = {Wen{-}Chung Kao and Yi{-}Cheng Hsu and Kai{-}Dun Hong and Ren{-}Xiang Ying}, title = {Color Electronic Paper with Front Light}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2024, Las Vegas, NV, USA, January 6-8, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCE59016.2024.10444423}, doi = {10.1109/ICCE59016.2024.10444423}, timestamp = {Fri, 08 Mar 2024 08:28:36 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KaoHHY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChungCYCKH24, author = {Ming{-}Yu Chung and Sheng{-}Yen Chou and Chia{-}Mu Yu and Pin{-}Yu Chen and Sy{-}Yen Kuo and Tsung{-}Yi Ho}, title = {Rethinking Backdoor Attacks on Dataset Distillation: {A} Kernel Method Perspective}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=iCNOK45Csv}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChungCYCKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ShenHZ0LWCZFCVW24, author = {Sheng Shen and Le Hou and Yanqi Zhou and Nan Du and Shayne Longpre and Jason Wei and Hyung Won Chung and Barret Zoph and William Fedus and Xinyun Chen and Tu Vu and Yuexin Wu and Wuyang Chen and Albert Webson and Yunxuan Li and Vincent Y. Zhao and Hongkun Yu and Kurt Keutzer and Trevor Darrell and Denny Zhou}, title = {Mixture-of-Experts Meets Instruction Tuning: {A} Winning Combination for Large Language Models}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=6mLjDwYte5}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ShenHZ0LWCZFCVW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/0036CZWWXHCYCL24, author = {Jian Chen and Mingcong Chen and Qingxiang Zhao and Shuai Wang and Yihe Wang and Ying Xiao and Jian Hu and Danny Tat Ming Chan and Kam Tong Leo Yeung and David Yuen Chung Chan and Hongbin Liu}, title = {Design and Visual Servoing Control of a Hybrid Dual-Segment Flexible Neurosurgical Robot for Intraventricular Biopsy}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {5906--5912}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610302}, doi = {10.1109/ICRA57147.2024.10610302}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/0036CZWWXHCYCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ZhaoPLCSHMSXYKYCK24, author = {Yinghong Zhao and Hokyung Park and Ki{-}Don Lee and Liangshan Chen and Manisha Sharma and Sugento Huandra and Hanson Mao and Brian Filemyr Smith and Wei Xia and Joonah Yoon and Junehwan Kim and Myungsoo Yeo and Shin{-}Young Chung and Ju Kwang Kim}, title = {Impacts of Post-Cu {CMP} Queue Time on Reliability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529445}, doi = {10.1109/IRPS48228.2024.10529445}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/ZhaoPLCSHMSXYKYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KhwaWWSCKCHCCLLHTC24, author = {Win{-}San Khwa and Ping{-}Chun Wu and Jui{-}Jen Wu and Jian{-}Wei Su and Ho{-}Yu Chen and Zhao{-}En Ke and Ting{-}Chien Chiu and Jun{-}Ming Hsu and Chiao{-}Yen Cheng and Yu{-}Chen Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {34.2 {A} 16nm 96Kb Integer/Floating-Point Dual-Mode-Gain-Cell-Computing-in-Memory Macro Achieving 73.3-163.3TOPS/W and 33.2-91.2TFLOPS/W for AI-Edge Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {568--570}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454447}, doi = {10.1109/ISSCC49657.2024.10454447}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KhwaWWSCKCHCCLLHTC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChengCHHCCWKMAL24, author = {Chih{-}Hsien Cheng and Po{-}Lun Chen and Pin{-}Wei Ho and Yu{-}Heng Hong and Shih{-}Chen Chen and Shu{-}Wei Chang and Chao{-}Hsin Wu and Hao{-}Chung Kuo and Atsushi Matsumoto and Kouichi Akahane and Gong{-}Ru Lin}, title = {Low-Divergent 940-nm Photonic-Crystal Surface-Emitting Laser for Short-Reach Free-Space Data Link}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526703}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChengCHHCCWKMAL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KhanHHWLCC24, author = {Zuhaib Khan and Chung{-}Yu Hong and Ming{-}Che Hsieh and Chun{-}I Wu and Long{-}Yi Lin and Chun{-}Chieh Chen and David Cheng}, title = {Ultra-Thin Bottom-Emission VCSEL-Based Optoelectronic Flexible Printed Circuit Module for High-Speed Transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526684}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KhanHHWLCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/PuttnamLPTDPDHMFCCHOBRPMRNFF24, author = {Benjamin J. Puttnam and Ruben S. Luis and Ian D. Phillips and Mingming Tan and Aleksandr Donodin and Dini Pratiwi and Lauren Dallachiesa and Y. Huang and Mikael Mazur and Nicolas K. Fontaine and H. Chen and Dicky Chung and V. Ho and Daniele Orsuti and Budsara Boriboon and Georg Rademacher and Luca Palmieri and Ray Man and Roland Ryf and David T. Neilson and Wladek Forysiak and Hideaki Furukawa}, title = {402 Tb/s {GMI} data-rate OESCLU-band Transmission}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10527148}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/PuttnamLPTDPDHMFCCHOBRPMRNFF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09679, author = {Jian Chen and Mingcong Chen and Qingxiang Zhao and Shuai Wang and Yihe Wang and Ying Xiao and Jian Hu and Danny Tat Ming Chan and Kam Tong Leo Yeung and David Yuen Chung Chan and Hongbin Liu}, title = {Design and Visual Servoing Control of a Hybrid Dual-Segment Flexible Neurosurgical Robot for Intraventricular Biopsy}, journal = {CoRR}, volume = {abs/2402.09679}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09679}, doi = {10.48550/ARXIV.2402.09679}, eprinttype = {arXiv}, eprint = {2402.09679}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13061, author = {Hao{-}Wei Chung and Ching{-}Hao Chiu and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, title = {Toward Fairness via Maximum Mean Discrepancy Regularization on Logits Space}, journal = {CoRR}, volume = {abs/2402.13061}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13061}, doi = {10.48550/ARXIV.2402.13061}, eprinttype = {arXiv}, eprint = {2402.13061}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13061.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13071, author = {Haibin Wu and Ho{-}Lam Chung and Yi{-}Cheng Lin and Yuan{-}Kuei Wu and Xuanjun Chen and Yu{-}Chi Pai and Hsiu{-}Hsuan Wang and Kai{-}Wei Chang and Alexander H. Liu and Hung{-}yi Lee}, title = {Codec-SUPERB: An In-Depth Analysis of Sound Codec Models}, journal = {CoRR}, volume = {abs/2402.13071}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13071}, doi = {10.48550/ARXIV.2402.13071}, eprinttype = {arXiv}, eprint = {2402.13071}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13071.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13236, author = {Haibin Wu and Xuanjun Chen and Yi{-}Cheng Lin and Kai{-}Wei Chang and Ho{-}Lam Chung and Alexander H. Liu and Hung{-}yi Lee}, title = {Towards audio language modeling - an overview}, journal = {CoRR}, volume = {abs/2402.13236}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13236}, doi = {10.48550/ARXIV.2402.13236}, eprinttype = {arXiv}, eprint = {2402.13236}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13236.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-00103, author = {Chester Holtz and Yucheng Wang and Chung{-}Kuan Cheng and Bill Lin}, title = {On Robustness and Generalization of ML-Based Congestion Predictors to Valid and Imperceptible Perturbations}, journal = {CoRR}, volume = {abs/2403.00103}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.00103}, doi = {10.48550/ARXIV.2403.00103}, eprinttype = {arXiv}, eprint = {2403.00103}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-00103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12062, author = {Lou Salaun and Hong Yang and Shashwat Mishra and Chung Shue Chen}, title = {A {GNN} Approach for Cell-Free Massive {MIMO}}, journal = {CoRR}, volume = {abs/2403.12062}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12062}, doi = {10.48550/ARXIV.2403.12062}, eprinttype = {arXiv}, eprint = {2403.12062}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12945, author = {Alexander Khazatsky and Karl Pertsch and Suraj Nair and Ashwin Balakrishna and Sudeep Dasari and Siddharth Karamcheti and Soroush Nasiriany and Mohan Kumar Srirama and Lawrence Yunliang Chen and Kirsty Ellis and Peter David Fagan and Joey Hejna and Masha Itkina and Marion Lepert and Yecheng Jason Ma and Patrick Tree Miller and Jimmy Wu and Suneel Belkhale and Shivin Dass and Huy Ha and Arhan Jain and Abraham Lee and Youngwoon Lee and Marius Memmel and Sungjae Park and Ilija Radosavovic and Kaiyuan Wang and Albert Zhan and Kevin Black and Cheng Chi and Kyle Beltran Hatch and Shan Lin and Jingpei Lu and Jean Mercat and Abdul Rehman and Pannag R. Sanketi and Archit Sharma and Cody Simpson and Quan Vuong and Homer Rich Walke and Blake Wulfe and Ted Xiao and Jonathan Heewon Yang and Arefeh Yavary and Tony Z. Zhao and Christopher Agia and Rohan Baijal and Mateo Guaman Castro and Daphne Chen and Qiuyu Chen and Trinity Chung and Jaimyn Drake and Ethan Paul Foster and et al.}, title = {{DROID:} {A} Large-Scale In-The-Wild Robot Manipulation Dataset}, journal = {CoRR}, volume = {abs/2403.12945}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12945}, doi = {10.48550/ARXIV.2403.12945}, eprinttype = {arXiv}, eprint = {2403.12945}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14117, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Agnia Sergeyuk and Antonette Shibani and Disha Shrivastava and Lila Shroff and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia H. Rho and Shannon Zejiang Shen and Pao Siangliulue}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, journal = {CoRR}, volume = {abs/2403.14117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14117}, doi = {10.48550/ARXIV.2403.14117}, eprinttype = {arXiv}, eprint = {2403.14117}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04887, author = {Qingshan Hou and Shuai Cheng and Peng Cao and Jinzhu Yang and Xiaoli Liu and Osmar R. Za{\"{\i}}ane and Yih Chung Tham}, title = {A Clinical-oriented Multi-level Contrastive Learning Method for Disease Diagnosis in Low-quality Medical Images}, journal = {CoRR}, volume = {abs/2404.04887}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04887}, doi = {10.48550/ARXIV.2404.04887}, eprinttype = {arXiv}, eprint = {2404.04887}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04887.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-09787, author = {Dominic LaBella and Ujjwal Baid and Omaditya Khanna and Shan McBurney{-}Lin and Ryan McLean and Pierre Nedelec and Arif Rashid and Nourel Hoda Tahon and Talissa Altes and Radhika Bhalerao and Yaseen Dhemesh and Devon Godfrey and Fathi Hilal and Scott Floyd and Anastasia Janas and Anahita Fathi Kazerooni and John Kirkpatrick and Collin Kent and Florian Kofler and Kevin Leu and Nazanin Maleki and Bjoern H. Menze and Maxence Pajot and Zachary J. Reitman and Jeffrey D. Rudie and Rachit Saluja and Yury Velichko and Chunhao Wang and Pranav Warman and Maruf Adewole and Jake Albrecht and Udunna Anazodo and Syed Muhammad Anwar and Timothy Bergquist and Sully Chen and Verena Chung and Gian Marco Conte and Farouk Dako and James A. Eddy and Ivan Ezhov and Nastaran Khalili and Juan Eugenio Iglesias and Zhifan Jiang and Elaine Johanson and Koen Van Leemput and Hongwei Bran Li and Marius George Linguraru and Xinyang Liu and Aria Mahtabfar and Zeke Meier and Ahmed W. Moawad and John Mongan and Marie Piraud and Russell Takeshi Shinohara and Walter F. Wiggins and Aly H. Abayazeed and Rachel Akinola and Andr{\'{a}}s Jakab and Michel Bilello and Maria Correia de Verdier and Priscila Crivellaro and Christos Davatzikos and Keyvan Farahani and John B. Freymann and Christopher Hess and Raymond Y. Huang and Philipp Lohmann and Mana Moassefi and Matthew W. Pease and Philipp Vollmuth and Nico Sollmann and David Diffley and Khanak K. Nandolia and Daniel I. Warren and Ali Hussain and Pascal Fehringer and Yulia Bronstein and Lisa Deptula and Evan G. Stein and Mahsa Taherzadeh and Eduardo Portela de Oliveira and Aoife Haughey and Marinos Kontzialis and Luca Saba and Benjamin Turner and Melanie M. T. Br{\"{u}}{\ss}eler and Shehbaz Ansari and Athanasios Gkampenis and David Maximilian Weiss and Aya Mansour and Islam H. Shawali and Nikolay Yordanov and Joel M. Stein and Roula Hourani and Mohammed Yahya Moshebah and Ahmed Magdy Abouelatta and Tanvir Rizvi and Klara Willms and Dann C. Martin and Abdullah Okar}, title = {Analysis of the BraTS 2023 Intracranial Meningioma Segmentation Challenge}, journal = {CoRR}, volume = {abs/2405.09787}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.09787}, doi = {10.48550/ARXIV.2405.09787}, eprinttype = {arXiv}, eprint = {2405.09787}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-09787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16144, author = {Hong{-}Shuo Chen and Yao Zhu and Suya You and Azad M. Madni and C.{-}C. Jay Kuo}, title = {GreenCOD: {A} Green Camouflaged Object Detection Method}, journal = {CoRR}, volume = {abs/2405.16144}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16144}, doi = {10.48550/ARXIV.2405.16144}, eprinttype = {arXiv}, eprint = {2405.16144}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16144.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09317, author = {Meng Wang and Tian Lin and Aidi Lin and Kai Yu and Yuanyuan Peng and Lianyu Wang and Cheng Chen and Ke Zou and Huiyu Liang and Man Chen and Xue Yao and Meiqin Zhang and Binwei Huang and Chaoxin Zheng and Peixin Zhang and Wei Chen and Yilong Luo and Yifan Chen and Honghe Xia and Tingkun Shi and Qi Zhang and Jinming Guo and Xiaolin Chen and Jingcheng Wang and Yih Chung Tham and Dianbo Liu and Wendy Wong and Sahil Thakur and Beau Fenner and Danqi Fang and Siying Liu and Qingyun Liu and Yuqiang Huang and Hongqiang Zeng and Yanda Meng and Yukun Zhou and Zehua Jiang and Minghui Qiu and Changqing Zhang and Xinjian Chen and Sophia Y. Wang and Cecilia S. Lee and Lucia Sobrin and Carol Y. Cheung and Chi Pui Pang and Pearse A Keane and Ching{-}Yu Cheng and Haoyu Chen and Huazhu Fu}, title = {Common and Rare Fundus Diseases Identification Using Vision-Language Foundation Model with Knowledge of Over 400 Diseases}, journal = {CoRR}, volume = {abs/2406.09317}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09317}, doi = {10.48550/ARXIV.2406.09317}, eprinttype = {arXiv}, eprint = {2406.09317}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-13578, author = {Han{-}Cheng Yu and Yu{-}An Shih and Kin{-}Man Law and Kai{-}Yu Hsieh and Yu{-}Chen Cheng and Hsin{-}Chih Ho and Zih{-}An Lin and Wen{-}Chuan Hsu and Yao{-}Chung Fan}, title = {Enhancing Distractor Generation for Multiple-Choice Questions with Retrieval Augmented Pretraining and Knowledge Graph Integration}, journal = {CoRR}, volume = {abs/2406.13578}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.13578}, doi = {10.48550/ARXIV.2406.13578}, eprinttype = {arXiv}, eprint = {2406.13578}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-13578.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-05467, author = {Talia Gershon and Seetharami Seelam and Brian Belgodere and Milton Bonilla and Lan Hoang and Danny Barnett and I{-}Hsin Chung and Apoorve Mohan and Ming{-}Hung Chen and Lixiang Luo and Robert Walkup and Constantinos Evangelinos and Shweta Salaria and Marc Dombrowa and Yoonho Park and Apo Kayi and Liran Schour and Alim Alim and Ali Sydney and Pavlos Maniotis and Laurent Schares and Bernard Metzler and Bengi Karacali{-}Akyamac and Sophia Wen and Tatsuhiro Chiba and Sunyanan Choochotkaew and Takeshi Yoshimura and Claudia Misale and Tonia Elengikal and Kevin O. Connor and Zhuoran Liu and Richard Molina and Lars Schneidenbach and James Caden and Christopher Laibinis and Carlos Fonseca and Vasily Tarasov and Swaminathan Sundararaman and Frank B. Schmuck and Scott Guthridge and Jeremy Cohn and Marc Eshel and Paul Muench and Runyu Liu and William Pointer and Drew Wyskida and Bob Krull and Ray Rose and Brent Wolfe and William Cornejo and John Walter and Colm Malone and Clifford Perucci and Frank Franco and Nigel Hinds and Bob Calio and Pavel Druyan and Robert Kilduff and John Kienle and Connor McStay and Andrew Figueroa and Matthew Connolly and Edie Fost and Gina Roma and Jake Fonseca and Ido Levy and Michele Payne and Ryan Schenkel and Amir Malki and Lion Schneider and Aniruddha Narkhede and Shekeba Moshref and Alexandra Kisin and Olga Dodin and Bill Rippon and Henry Wrieth and John Ganci and Johnny Colino and Donna Habeger{-}Rose and Rakesh Pandey and Aditya Gidh and Aditya Gaur and Dennis Patterson and Samsuddin Salmani and Rambilas Varma and Rumana Rumana and Shubham Sharma and Mayank Mishra and Rameswar Panda and Aditya Prasad and Matt Stallone and Gaoyuan Zhang and Yikang Shen and David Cox and Ruchir Puri and Dakshi Agrawal and Drew Thorstensen and Joel Belog and Brent Tang}, title = {The infrastructure powering IBM's Gen {AI} model development}, journal = {CoRR}, volume = {abs/2407.05467}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.05467}, doi = {10.48550/ARXIV.2407.05467}, eprinttype = {arXiv}, eprint = {2407.05467}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-05467.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-08134, author = {Amir Noorizadegan and Y. C. Hon and Der{-}Liang Young and Chuin{-}Shan Chen}, title = {Highway Networks for Improved Surface Reconstruction: The Role of Residuals and Weight Updates}, journal = {CoRR}, volume = {abs/2407.08134}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.08134}, doi = {10.48550/ARXIV.2407.08134}, eprinttype = {arXiv}, eprint = {2407.08134}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-08134.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-21075, author = {Tom Gunter and Zirui Wang and Chong Wang and Ruoming Pang and Andy Narayanan and Aonan Zhang and Bowen Zhang and Chen Chen and Chung{-}Cheng Chiu and David Qiu and Deepak Gopinath and Dian Ang Yap and Dong Yin and Feng Nan and Floris Weers and Guoli Yin and Haoshuo Huang and Jianyu Wang and Jiarui Lu and John Peebles and Ke Ye and Mark Lee and Nan Du and Qibin Chen and Quentin Keunebroek and Sam Wiseman and Syd Evans and Tao Lei and Vivek Rathod and Xiang Kong and Xianzhi Du and Yanghao Li and Yongqiang Wang and Yuan Gao and Zaid Ahmed and Zhaoyang Xu and Zhiyun Lu and Al Rashid and Albin Madappally Jose and Alec Doane and Alfredo Bencomo and Allison Vanderby and Andrew Hansen and Ankur Jain and Anupama Mann Anupama and Areeba Kamal and Bugu Wu and Carolina Brum and Charlie Maalouf and Chinguun Erdenebileg and Chris Dulhanty and Dominik Moritz and Doug Kang and Eduardo Jimenez and Evan Ladd and Fangping Shi and Felix Bai and Frank Chu and Fred Hohman and Hadas Kotek and Hannah Gillis Coleman and Jane Li and Jeffrey P. Bigham and Jeffery Cao and Jeff Lai and Jessica Cheung and Jiulong Shan and Joe Zhou and John Li and Jun Qin and Karanjeet Singh and Karla Vega and Kelvin Zou and Laura Heckman and Lauren Gardiner and Margit Bowler and Maria Cordell and Meng Cao and Nicole Hay and Nilesh Shahdadpuri and Otto Godwin and Pranay Dighe and Pushyami Rachapudi and Ramsey Tantawi and Roman Frigg and Sam Davarnia and Sanskruti Shah and Saptarshi Guha and Sasha Sirovica and Shen Ma and Shuang Ma and Simon Wang and Sulgi Kim and Suma Jayaram and Vaishaal Shankar and Varsha Paidi and Vivek Kumar and Xin Wang and Xin Zheng and Walker Cheng}, title = {Apple Intelligence Foundation Language Models}, journal = {CoRR}, volume = {abs/2407.21075}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.21075}, doi = {10.48550/ARXIV.2407.21075}, eprinttype = {arXiv}, eprint = {2407.21075}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-21075.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AlsumaidaeePYTCYBKHA23, author = {Yaseen Ahmed Mohammed Alsumaidaee and Johnny Koh Siaw Paw and Chong Tak Yaw and Sieh Kiong Tiong and Chai Phing Chen and Talal Yusaf and Foo Benedict and Kumaran Kadirgama and Tan Chung Hong and Ahmed N. Abdalla}, title = {Fault Detection for Medium Voltage Switchgear Using a Deep Learning Hybrid 1D-CNN-LSTM Model}, journal = {{IEEE} Access}, volume = {11}, pages = {97574--97589}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3294093}, doi = {10.1109/ACCESS.2023.3294093}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AlsumaidaeePYTCYBKHA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengCKSLLCLCCH23, author = {Chih{-}Han Cheng and Ching{-}Te Chiu and Chia{-}Yu Kuan and Yu{-}Chi Su and Kuan{-}Hsien Liu and Tsung{-}Chan Lee and Jia{-}Lin Chen and Jie{-}Yu Luo and Wei{-}Chang Chung and Yao{-}Ren Chang and Kuan{-}Ying Ho}, title = {Multiple Training Stage Image Enhancement Enrolled With {CCRGAN} Pseudo Templates for Large Area Dry Fingerprint Recognition}, journal = {{IEEE} Access}, volume = {11}, pages = {86790--86800}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3303532}, doi = {10.1109/ACCESS.2023.3303532}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChengCKSLLCLCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengSHCCJ23, author = {Sheng{-}Jen Cheng and Pi{-}Neng Shen and Chung{-}Hung Hong and Zheng{-}Wei Chen and Chung{-}Ping Chen and Sheng{-}Lyang Jang}, title = {Injection-Locked Frequency Sixtuplers in 90 nm {CMOS} by Using the Push-Push Doubler}, journal = {{IEEE} Access}, volume = {11}, pages = {130048--130059}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3333035}, doi = {10.1109/ACCESS.2023.3333035}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChengSHCCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aslib/ChenHC23, author = {Chih{-}Ming Chen and Szu{-}Yu Ho and Chung Chang}, title = {A hierarchical topic analysis tool to facilitate digital humanities research}, journal = {Aslib J. Inf. Manag.}, volume = {75}, number = {1}, pages = {1--19}, year = {2023}, url = {https://doi.org/10.1108/AJIM-11-2021-0325}, doi = {10.1108/AJIM-11-2021-0325}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aslib/ChenHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/NguyenHCC23, author = {Tran Hong Van Nguyen and Pei{-}Min Huang and Chen Fu Chien and Chung{-}Kai Chang}, title = {Digital transformation for cost estimation system via \emph{meta}-learning and an empirical study in aerospace industry}, journal = {Comput. Ind. Eng.}, volume = {184}, pages = {109558}, year = {2023}, url = {https://doi.org/10.1016/j.cie.2023.109558}, doi = {10.1016/J.CIE.2023.109558}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/NguyenHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/LoYLLCYCWLLHCJL23, author = {Chung{-}Ming Lo and Yi{-}Wen Yang and Jen{-}Kou Lin and Tzu{-}Chen Lin and Wei{-}Shone Chen and Shung{-}Haur Yang and Shih{-}Ching Chang and Huann{-}Sheng Wang and Yuan{-}Tzu Lan and Hung{-}Hsin Lin and Sheng{-}Chieh Huang and Hou{-}Hsuan Cheng and Jeng{-}Kai Jiang and Chun{-}Chi Lin}, title = {Modeling the survival of colorectal cancer patients based on colonoscopic features in a feature ensemble vision transformer}, journal = {Comput. Medical Imaging Graph.}, volume = {107}, pages = {102242}, year = {2023}, url = {https://doi.org/10.1016/j.compmedimag.2023.102242}, doi = {10.1016/J.COMPMEDIMAG.2023.102242}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/LoYLLCYCWLLHCJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvm/ChenKF23, author = {Yilan Chen and Kin Chung Kwan and Hongbo Fu}, title = {Autocompletion of repetitive stroking with image guidance}, journal = {Comput. Vis. Media}, volume = {9}, number = {3}, pages = {581--596}, year = {2023}, url = {https://doi.org/10.1007/s41095-022-0288-2}, doi = {10.1007/S41095-022-0288-2}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cvm/ChenKF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/PengC23, author = {Chao{-}Chung Peng and Yi{-}Ho Chen}, title = {A Hybrid Neural Ordinary Differential Equation Based Digital Twin Modeling and Online Diagnosis for an Industrial Cooling Fan}, journal = {Future Internet}, volume = {15}, number = {9}, pages = {302}, year = {2023}, url = {https://doi.org/10.3390/fi15090302}, doi = {10.3390/FI15090302}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fi/PengC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmrr/DharmarajanPSHCMYHLFGG23, author = {Karthik Dharmarajan and Will Panitch and Baiyu Shi and Huang Huang and Lawrence Yunliang Chen and Masoud Moghani and Qinxi Yu and Kush Hari and Thomas Low and Danyal Fer and Animesh Garg and Ken Goldberg}, title = {Robot-Assisted Vascular Shunt Insertion with the dVRK Surgical Robot}, journal = {J. Medical Robotics Res.}, volume = {8}, number = {3{\&}4}, pages = {2340006:1--2340006:15}, year = {2023}, url = {https://doi.org/10.1142/S2424905X23400068}, doi = {10.1142/S2424905X23400068}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmrr/DharmarajanPSHCMYHLFGG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23, author = {Jian{-}Wei Su and Yen{-}Chi Chou and Ruhui Liu and Ta{-}Wei Liu and Pei{-}Jung Lu and Ping{-}Chun Wu and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Tianlong Pan and Chuan{-}Jia Jhang and Wei{-}Hsing Huang and Chih{-}Han Chien and Peng{-}I Mei and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chih{-}I Wu and Xin Si and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 8-b-Precision 6T {SRAM} Computing-in-Memory Macro Using Segmented-Bitline Charge-Sharing Scheme for {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {3}, pages = {877--892}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3199077}, doi = {10.1109/JSSC.2022.3199077}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuCLLLWCHRPJHCMLSCLWSLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HsuKCWHC23, author = {Chih{-}Chung Hsu and Li{-}Wei Kang and Shih{-}Yu Chen and I{-}Shan Wang and Ching{-}Hao Hong and Chuan{-}Yu Chang}, title = {Deep learning-based vehicle trajectory prediction based on generative adversarial network for autonomous driving applications}, journal = {Multim. Tools Appl.}, volume = {82}, number = {7}, pages = {10763--10780}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-13742-x}, doi = {10.1007/S11042-022-13742-X}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/HsuKCWHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/OlutayoDCHL23, author = {Adebola Olutayo and Yanjie Dong and Julian Cheng and Jonathan F. Holzman and Victor C. M. Leung}, title = {Performance of Wireless Powered Communication Systems Over Beaulieu-Xie Channels With Nonlinear Energy Harvesters}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {4}, pages = {456--463}, year = {2023}, url = {https://doi.org/10.1109/OJCOMS.2023.3240077}, doi = {10.1109/OJCOMS.2023.3240077}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ojcs/OlutayoDCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/LinWHLHCC23, author = {Chen{-}Chin Lin and Chia{-}Chen Wu and Ping{-}Ju Huang and Yu{-}Hsin Lai and Yi{-}Ting Ho and Chih{-}Chi Chung and Yung{-}Ju Chang}, title = {Scanning or Simply Unengaged in Reading? Opportune Moments for Pushed News Notifications and Their Relationship with Smartphone Users' Choice of News-reading Modes}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {7}, number = {{MHCI}}, pages = {1--26}, year = {2023}, url = {https://doi.org/10.1145/3604268}, doi = {10.1145/3604268}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmhci/LinWHLHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/patterns/XuYFWWCZDWWZZYZWCPSCHL23, author = {Hang Xu and Xianfu Yi and Xutong Fan and Chengyue Wu and Wei Wang and Xinlei Chu and Shijie Zhang and Xiaobao Dong and Zhao Wang and Jianhua Wang and Yao Zhou and Ke Zhao and Hongcheng Yao and Nan Zheng and Junwen Wang and Yupeng Chen and Dariusz Plewczynski and Pak Chung Sham and Kexin Chen and Dandan Huang and Mulin Jun Li}, title = {Inferring CTCF-binding patterns and anchored loops across human tissues and cell types}, journal = {Patterns}, volume = {4}, number = {8}, pages = {100798}, year = {2023}, url = {https://doi.org/10.1016/j.patter.2023.100798}, doi = {10.1016/J.PATTER.2023.100798}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/patterns/XuYFWWCZDWWZZYZWCPSCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ChenH0JKSC23, author = {Yi{-}Chung Chen and Zhi{-}Kai Huang and Lu Pang and Jian{-}Yu Jiang{-}Lin and Chia{-}Han Kuo and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Seeing the unseen: Wifi-based 2D human pose estimation via an evolving attentive spatial-Frequency network}, journal = {Pattern Recognit. Lett.}, volume = {171}, pages = {21--27}, year = {2023}, url = {https://doi.org/10.1016/j.patrec.2023.04.020}, doi = {10.1016/J.PATREC.2023.04.020}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/prl/ChenH0JKSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HoYLCCY23, author = {Ping{-}Jui Ho and Chen{-}Pei Yi and Yi{-}Jen Lin and Wei{-}Der Chung and Po{-}Huan Chou and Shih{-}Chin Yang}, title = {Torque Measurement and Control for Electric-Assisted Bike Considering Different External Load Conditions}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4657}, year = {2023}, url = {https://doi.org/10.3390/s23104657}, doi = {10.3390/S23104657}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HoYLCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangHCT23, author = {Yung{-}Chung Wang and Yi{-}Chun Houng and Han{-}Xuan Chen and Shu{-}Ming Tseng}, title = {Network Anomaly Intrusion Detection Based on Deep Learning Approach}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2171}, year = {2023}, url = {https://doi.org/10.3390/s23042171}, doi = {10.3390/S23042171}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangHCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sqj/ChenHV23, author = {Chung{-}Yang Chen and Ping{-}Yu Hsu and Hong{-}Ngoc Vu}, title = {Collaborative process tailoring in evolutionary software development: a teamwork-quality perspective}, journal = {Softw. Qual. J.}, volume = {31}, number = {1}, pages = {89--119}, year = {2023}, url = {https://doi.org/10.1007/s11219-022-09597-y}, doi = {10.1007/S11219-022-09597-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sqj/ChenHV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WangHLCC23, author = {Wei{-}Chen Wang and Chien{-}Chung Ho and Yung{-}Chun Li and Liang{-}Chi Chen and Yu{-}Ming Chang}, title = {Reaping Both Latency and Reliability Benefits With Elaborate Sanitization Design for 3D {TLC} {NAND} Flash}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {11}, pages = {3029--3041}, year = {2023}, url = {https://doi.org/10.1109/TC.2023.3272280}, doi = {10.1109/TC.2023.3272280}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/WangHLCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/WuCHCHS23, author = {Shin{-}Ting Wu and Liang{-}Chi Chen and Po{-}Chun Huang and Yuan{-}Hao Chang and Chien{-}Chung Ho and Wei{-}Kuan Shih}, title = {WARM-tree: Making Quadtrees Write-efficient and Space-economic on Persistent Memories}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {5s}, pages = {119:1--119:26}, year = {2023}, url = {https://doi.org/10.1145/3608033}, doi = {10.1145/3608033}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/WuCHCHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ChengHKLM23, author = {Chung{-}Kuan Cheng and Chester Holtz and Andrew B. Kahng and Bill Lin and Uday Mallappa}, title = {DAGSizer: {A} Directed Graph Convolutional Network Approach to Discrete Gate Sizing of {VLSI} Graphs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {28}, number = {4}, pages = {52:1--52:31}, year = {2023}, url = {https://doi.org/10.1145/3577019}, doi = {10.1145/3577019}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/ChengHKLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HoSGC23, author = {Siu{-}Wai Ho and Abdullah A. Saed and Jean{-}Marie Gorce and Chung Shue Chen}, title = {Sinusoidal-Based Multiple Access Scheme for Visible Light Decentralized Asynchronous Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {2}, pages = {2175--2188}, year = {2023}, url = {https://doi.org/10.1109/TVT.2022.3212476}, doi = {10.1109/TVT.2022.3212476}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/HoSGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/XieCSC23, author = {Hongxia Xie and Hua Chung and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Learning to Prompt for Vision-Language Emotion Recognition}, booktitle = {11th International Conference on Affective Computing and Intelligent Interaction, {ACII} 2023 - Workshops and Demos, Cambridge, MA, USA, September 10-13, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ACIIW59127.2023.10388165}, doi = {10.1109/ACIIW59127.2023.10388165}, timestamp = {Wed, 31 Jan 2024 20:36:45 +0100}, biburl = {https://dblp.org/rec/conf/acii/XieCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Chen0DK0W23, author = {Liang Chen and Hongru Wang and Yang Deng and Wai{-}Chung Kwan and Zezhong Wang and Kam{-}Fai Wong}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Towards Robust Personalized Dialogue Generation via Order-Insensitive Representation Regularization}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {7337--7345}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.462}, doi = {10.18653/V1/2023.FINDINGS-ACL.462}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Chen0DK0W23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChenTYDKCTDSGIP23, author = {Peng{-}Jen Chen and Kevin Tran and Yilin Yang and Jingfei Du and Justine Kao and Yu{-}An Chung and Paden Tomasello and Paul{-}Ambroise Duquenne and Holger Schwenk and Hongyu Gong and Hirofumi Inaguma and Sravya Popuri and Changhan Wang and Juan Pino and Wei{-}Ning Hsu and Ann Lee}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Speech-to-Speech Translation for a Real-world Unwritten Language}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {4969--4983}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.307}, doi = {10.18653/V1/2023.FINDINGS-ACL.307}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChenTYDKCTDSGIP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/SuCCLLCLCCH23, author = {Yu{-}Chi Su and Ching{-}Te Chiu and Chih{-}Han Cheng and Kuan{-}Hsien Liu and Tsung{-}Chan Lee and Jia{-}Lin Chen and Jie{-}Yu Luo and Wei{-}Chang Chung and Yao{-}Ren Chang and Kuan{-}Ying Ho}, title = {{CPGAN:} Collective Punishment Generative Adversarial Network for Dry Fingerprint Image Enhancement}, booktitle = {5th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2023, Hangzhou, China, June 11-13, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AICAS57966.2023.10168628}, doi = {10.1109/AICAS57966.2023.10168628}, timestamp = {Mon, 24 Jul 2023 15:56:17 +0200}, biburl = {https://dblp.org/rec/conf/aicas/SuCCLLCLCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aike/HoWKYFCK23, author = {Joshua Ho and Chien{-}Min Wang and Chung{-}Ta King and Yi{-}Hsin You and Chi{-}Wei Feng and Yen{-}Min Chen and Bo{-}Yi Kuo}, title = {Learning Adaptation and Generalization from Human-Inspired Meta-Reinforcement Learning Using Bayesian Knowledge and Analysis}, booktitle = {Sixth {IEEE} International Conference on Artificial Intelligence and Knowledge Engineering, {AIKE} 2023, Laguna Hills, CA, USA, September 25-27, 2023}, pages = {9--16}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIKE59827.2023.00009}, doi = {10.1109/AIKE59827.2023.00009}, timestamp = {Sun, 04 Feb 2024 18:12:16 +0100}, biburl = {https://dblp.org/rec/conf/aike/HoWKYFCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/KuanLHLCCCL23, author = {Chun{-}Yi Kuan and Chen{-}An Li and Tsu{-}Yuan Hsu and Tse{-}Yang Lin and Ho{-}Lam Chung and Kai{-}Wei Chang and Shuo{-}Yiin Chang and Hung{-}Yi Lee}, title = {Towards General-Purpose Text-Instruction-Guided Voice Conversion}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389672}, doi = {10.1109/ASRU57964.2023.10389672}, timestamp = {Tue, 13 Feb 2024 21:21:14 +0100}, biburl = {https://dblp.org/rec/conf/asru/KuanLHLCCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/collabtech/YangLHC23, author = {Hong Yang and Ching{-}Yang Lin and Chung{-}Ching Huang and Ying{-}Yu Chen}, editor = {Hideyuki Takada and Daniel Moritz Marutschke and Claudio Alvarez and Tomoo Inoue and Yugo Hayashi and Davinia Hern{\'{a}}ndez{-}Leo}, title = {Exploring the Reciprocal Emotional Interaction Between Humans and Affective Technology Through Design Fictions and "Speculative Entanglement"}, booktitle = {Collaboration Technologies and Social Computing - 29th International Conference, CollabTech 2023, Osaka, Japan, August 29 - September 1, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14199}, pages = {36--51}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-42141-9\_3}, doi = {10.1007/978-3-031-42141-9\_3}, timestamp = {Sat, 21 Oct 2023 10:46:29 +0200}, biburl = {https://dblp.org/rec/conf/collabtech/YangLHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiAVTZDLCLLLWCDLQHLWJLYJBAKYCCHCHC23, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Han Zhou and Wei Dong and Yangyi Liu and Jun Chen and Huan Liu and Liangyan Li and Zijun Wu and Yubo Dong and Yuyan Li and Tian Qiu and Yu He and Yonghong Lu and Yinwei Wu and Zhenxiang Jiang and Songhua Liu and Xingyi Yang and Yongcheng Jing and Bilel Benjdira and Anas M. Ali and Anis Koubaa and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Wei{-}Ting Chen and Zhi{-}Kai Huang and Yi{-}Chung Chen and Chia{-}Hsuan Hsieh and Hua{-}En Chang and Yuan{-}Chun Chiang and Sy{-}Yen Kuo and Yu Guo and Yuan Gao and Ryan Wen Liu and Yuxu Lu and Jingxiang Qu and Shengfeng He and Wenqi Ren and Trung Hoang and Haichuan Zhang and Amirsaeed Yazdani and Vishal Monga and Lehan Yang and Alex Jiahao Wu and Tiancheng Mai and Xiaofeng Cong and Xuemeng Yin and Xuefei Yin and Hazim Emad and Ahmed Abdallah and Yahya Yasser and Dalia Elshahat and Esraa Elbaz and Zhan Li and Wenqing Kuang and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Zhao Zhang and Yanyan Wei and Junhu Wang and Suiyi Zhao and Huan Zheng and Jin Guo and Yangfan Sun and Tianli Liu and Dejun Hao and Kui Jiang and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 {HR} NonHomogeneous Dehazing Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1808--1825}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00180}, doi = {10.1109/CVPRW59228.2023.00180}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiAVTZDLCLLLWCDLQHLWJLYJBAKYCCHCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Lei Yu and Youwei Li and Xinpeng Li and Ting Jiang and Qi Wu and Mingyan Han and Wenjie Lin and Chengzhi Jiang and Jinting Luo and Haoqiang Fan and Shuaicheng Liu and Yucong Wang and Minjie Cai and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Yanyu Mao and Nihao Zhang and Qian Wang and Mingjun Zheng and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhongbao Yang and Yan Wang and Erlin Pan and Qixuan Cai and Xinan Dai and Magauiya Zhussip and Nikolay Kalyazin and Dmitry Vyal and Xueyi Zou and Youliang Yan and Heaseo Chung and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Bohao Liao and Zhibo Du and Yu{-}Liang Wu and Gege Shi and Long Peng and Yang Wang and Yang Cao and Zhengjun Zha and Zhi{-}Kai Huang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xin Liu and Jiahao Pan and Hongyuan Yu and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Weijian Deng and Hongjie Yuan and Zengtong Lu and Mingyu Ouyang and Wenzhuo Ma and Nian Liu and Hanyou Zheng and Yuantong Zhang and Junxi Zhang and Zhenzhong Chen and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Yurui Zhu and Xi Wang and Xueyang Fu and Zheng{-}Jun Zha and Daheng Yin and Mengyang Liu and Baijun Chen and Ao Li and Lei Luo and Kangjun Jin and Ce Zhu and Xiaoming Zhang and Chengxing Xie and Linze Li and Haiteng Meng and Tianlin Zhang and Tianrui Li and Xiaole Zhao and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Jiahuan Ren and Kang Hu and Jingpeng Shi and Zhijian Wu and Dingjiang Huang and Jinchen Zhu and Hui Li and Qianru Xv and Tianle Liu and Gang Wu and Junpeng Jiang and Xianming Liu and Junjun Jiang and Mingjian Zhang and Shizhuang Weng and Jing Hu and Chengxu Wu and Qinrui Fan and Chengming Feng and Ziwei Luo and Shu Hu and Siwei Lyu and Xi Wu and Xin Wang}, title = {{NTIRE} 2023 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1922--1960}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00189}, doi = {10.1109/CVPRW59228.2023.00189}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Ming Cheng and Haoyu Ma and Qiufang Ma and Xiaopeng Sun and Shijie Zhao and Xuhan Sheng and Yukang Ding and Ming Sun and Xing Wen and Dafeng Zhang and Jia Li and Fan Wang and Zheng Xie and Zongyao He and Zidian Qiu and Zilin Pan and Zhihao Zhan and Xingyuan Xian and Zhi Jin and Yuanbo Zhou and Wei Deng and Ruofeng Nie and Jiajun Zhang and Qinquan Gao and Tong Tong and Kexin Zhang and Junpei Zhang and Rui Peng and Yanbiao Ma and Licheng Jiao and Haoran Bai and Lingshun Kong and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Pu Cao and Tianrui Huang and Lu Yang and Qing Song and Bingxin Chen and Chunhua He and Meiyun Chen and Zijie Guo and Shaojuan Luo and Chengzhi Cao and Kunyu Wang and Fanrui Zhang and Qiang Zhang and Nancy Mehta and Subrahmanyam Murala and Akshay Dudhane and Yujin Wang and Lingen Li and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Junyang Chen and Hao Li and Yukai Shi and Zhijing Yang and Wenbin Zou and Yunchen Zhang and Mingchao Jiang and Zhongxin Yu and Ming Tan and Hongxia Gao and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Jingxiang Chen and Bo Yang and XiSheryl Zhang and Chenghua Li and Weijun Yuan and Zhan Li and Ruting Deng and Jintao Zeng and Pulkit Mahajan and Sahaj Mistry and Shreyas Chatterjee and Vinit Jakhetiya and Badri N. Subudhi and Sunil Prasad Jaiswal and Zhao Zhang and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Bo Wang and Gen Li and Aijin Li and Lei Sun and Ke Chen and Congling Tang and Yunzhe Li and Jun Chen and Yuan{-}Chun Chiang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Sy{-}Yen Kuo and Yiheng Wang and Gang Zhu and Xingyi Yang and Songhua Liu and Yongcheng Jing and Xingyu Hu and Jianwen Song and Changming Sun and Arcot Sowmya and Seung Ho Park and Xiaoyan Lei and Jingchao Wang and Chenbo Zhai and Yufei Zhang and Weifeng Cao and Wenlong Zhang}, title = {{NTIRE} 2023 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1346--1372}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00141}, doi = {10.1109/CVPRW59228.2023.00141}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenHC23, author = {Liang{-}Chi Chen and Chien{-}Chung Ho and Yuan{-}Hao Chang}, title = {UpPipe: {A} Novel Pipeline Management on In-Memory Processors for RNA-seq Quantification}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247915}, doi = {10.1109/DAC56929.2023.10247915}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/PengAAAABCCCDDG23, author = {Bo Peng and Eric Alcaide and Quentin Anthony and Alon Albalak and Samuel Arcadinho and Stella Biderman and Huanqi Cao and Xin Cheng and Michael Chung and Leon Derczynski and Xingjian Du and Matteo Grella and Kranthi Kiran GV and Xuzheng He and Haowen Hou and Przemyslaw Kazienko and Jan Kocon and Jiaming Kong and Bartlomiej Koptyra and Hayden Lau and Jiaju Lin and Krishna Sri Ipsit Mantri and Ferdinand Mom and Atsushi Saito and Guangyu Song and Xiangru Tang and Johan S. Wind and Stanislaw Wozniak and Zhenyuan Zhang and Qinghua Zhou and Jian Zhu and Rui{-}Jie Zhu}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {{RWKV:} Reinventing RNNs for the Transformer Era}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14048--14077}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.936}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.936}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/PengAAAABCCCDDG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HoCCFCLJ23, author = {Kuo{-}Wei Ho and Shao{-}Ting Chung and Tian{-}Fu Chen and Yu{-}Wei Fan and Che Cheng and Cheng{-}Han Liu and Jie{-}Hong R. Jiang}, title = {WolFEx: Word-Level Function Extraction and Simplification from Gate-Level Arithmetic Circuits}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--9}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323906}, doi = {10.1109/ICCAD57390.2023.10323906}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HoCCFCLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangLLCWLCCHCYLLC23, author = {Shao{-}Chang Huang and Ching{-}Ho Li and Chih{-}Cherng Liao and Jung{-}Tsun Chuang and Chien{-}Wei Wang and Gong{-}Kai Lin and Lin{-}Fan Chen and Chun{-}Chih Chen and Kuan{-}I Ho and Che{-}Hua Chang and Hsiao{-}Ying Yang and Chung{-}Ren Lao and Jian{-}Hsing Lee and Ke{-}Horng Chen}, title = {Optimizing Device Metal Routing Layouts by the Simulation Tool}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {219--220}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226704}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226704}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangLLCWLCCHCYLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ZhangTCWC23, author = {Hongwei Zhang and Yahui Tang and Xiaoming Chen and Chen Wang and Vera Yuk Ying Chung}, title = {Intelligent Candidate Space Identification and Optimization for Video Advertisement Implant}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043415}, doi = {10.1109/ICCE56470.2023.10043415}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ZhangTCWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ChenCSC23, author = {Chieh{-}Yun Chen and Yi{-}Chung Chen and Hong{-}Han Shuai and Wen{-}Huang Cheng}, title = {Size Does Matter: Size-aware Virtual Try-on via Clothing-oriented Transformation Try-on Network}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {7479--7488}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00691}, doi = {10.1109/ICCV51070.2023.00691}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ChenCSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/ChenHK23, author = {Ling{-}Chen Chen and Chi{-}Kai Ho and Chung{-}Ta King}, title = {KeyState: Improving Image-based Reinforcement Learning with Keypoint for Robot Control}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2023, Orlando, FL, USA, April 4-6, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIT58465.2023.10143045}, doi = {10.1109/ICIT58465.2023.10143045}, timestamp = {Fri, 23 Jun 2023 22:32:18 +0200}, biburl = {https://dblp.org/rec/conf/icit2/ChenHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/HoYLCCSY23, author = {Ping{-}Jui Ho and Chen{-}Pei Yi and Yi{-}Jen Lin and Wei{-}Der Chung and Po{-}Huan Chou and Bo{-}Huang Sie and Shih{-}Chin Yang}, title = {Motor Torque Control of Electric Assist Bike Considering External Resistances}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312189}, doi = {10.1109/IECON51785.2023.10312189}, timestamp = {Sat, 25 Nov 2023 16:52:31 +0100}, biburl = {https://dblp.org/rec/conf/iecon/HoYLCCSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifuzzy/ChenCCL23, author = {Yu{-}Ling Chen and I{-}Fang Chung and Chi{-}Tung Cheng and Hou{-}Shian Lin}, title = {A 2-step deep learning approach to splenic injury detection}, booktitle = {International Conference on Fuzzy Theory and Its Applications, iFUZZY 2023, Penghu, Taiwan, October 26-29, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iFUZZY60076.2023.10324079}, doi = {10.1109/IFUZZY60076.2023.10324079}, timestamp = {Sat, 09 Dec 2023 09:49:47 +0100}, biburl = {https://dblp.org/rec/conf/ifuzzy/ChenCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/ChihChungKCH23, author = {Chien Chih{-}Chung and Chih{-}Chen Kuo and Yu{-}Chi Chen and Huei{-}Tse Hou}, title = {Design and evaluation of a simulation business management educational card game combined with dynamic feedback from mobile technology}, booktitle = {14th {IIAI} International Congress on Advanced Applied Informatics, {IIAI-AAI} 2023, Koriyama, Japan, July 8-13, 2023}, pages = {732--733}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IIAI-AAI59060.2023.00154}, doi = {10.1109/IIAI-AAI59060.2023.00154}, timestamp = {Thu, 18 Jan 2024 08:27:12 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/ChihChungKCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ShiBCHHCC0ML023, author = {Jiatong Shi and Dan Berrebbi and William Chen and En{-}Pei Hu and Wei{-}Ping Huang and Ho{-}Lam Chung and Xuankai Chang and Shang{-}Wen Li and Abdelrahman Mohamed and Hung{-}yi Lee and Shinji Watanabe}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {{ML-SUPERB:} Multilingual Speech Universal PERformance Benchmark}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {884--888}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1316}, doi = {10.21437/INTERSPEECH.2023-1316}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ShiBCHHCC0ML023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SharmaPZLCYRKSYCH23, author = {Manisha Sharma and Hokyung Park and Yinghong Zhao and Ki{-}Don Lee and Liangshan Chen and Joonah Yoon and Rakesh Ranjan and Caleb Dongkyan Kwon and Hyewon Shim and Myungsoo Yeo and Shin{-}Young Chung and Jon Haefner}, title = {Polarity Dependency of {MOL-TDDB} in FinFET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10117774}, doi = {10.1109/IRPS48203.2023.10117774}, timestamp = {Wed, 24 May 2023 09:43:44 +0200}, biburl = {https://dblp.org/rec/conf/irps/SharmaPZLCYRKSYCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/YaoHK23, author = {Han{-}Cheng Yao and Chi{-}Kai Ho and Chung{-}Ta King}, title = {Learning Diverse and Efficient Goal-reaching Policies for Robot Motion Planning}, booktitle = {32nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2023, Helsinki, Finland, June 19-21, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISIE51358.2023.10227978}, doi = {10.1109/ISIE51358.2023.10227978}, timestamp = {Fri, 08 Sep 2023 15:28:21 +0200}, biburl = {https://dblp.org/rec/conf/isie/YaoHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismr/DharmarajanPSHCLFG23, author = {Karthik Dharmarajan and Will Panitch and Baiyu Shi and Huang Huang and Lawrence Yunliang Chen and Thomas Low and Danyal Fer and Ken Goldberg}, title = {A Trimodal Framework for Robot-Assisted Vascular Shunt Insertion When a Supervising Surgeon is Local, Remote, or Unavailable}, booktitle = {International Symposium on Medical Robotics, {ISMR} 2023, Atlanta, GA, USA, April 19-21, 2023}, pages = {1--8}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISMR57123.2023.10130195}, doi = {10.1109/ISMR57123.2023.10130195}, timestamp = {Sun, 04 Jun 2023 12:05:04 +0200}, biburl = {https://dblp.org/rec/conf/ismr/DharmarajanPSHCLFG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ChenCCHLW23, author = {Pengwen Chen and Chung{-}Kuan Cheng and Albert Chern and Chester Holtz and Aoxi Li and Yucheng Wang}, editor = {David G. Chinnery and Iris Hui{-}Ru Jiang}, title = {Placement Initialization via Sequential Subspace Optimization with Sphere Constraints}, booktitle = {Proceedings of the 2023 International Symposium on Physical Design, {ISPD} 2023, Virtual Event, USA, March 26-29, 2023}, pages = {133--140}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3569052.3571877}, doi = {10.1145/3569052.3571877}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/ChenCCHLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLSLCLCLCKCWWWCWCC23, author = {Po{-}Hao Lee and Chia{-}Fu Lee and Yi{-}Chun Shih and Hon{-}Jarn Lin and Yen{-}An Chang and Cheng{-}Han Lu and Yu{-}Lin Chen and Chieh{-}Pu Lo and Chung{-}Chieh Chen and Cheng{-}Hsiung Kuo and Tan{-}Li Chou and Chia{-}Yu Wang and J. J. Wu and Roger Wang and Harry Chuang and Yih Wang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {A 16nm 32Mb Embedded {STT-MRAM} with a 6ns Read-Access Time, a 1M-Cycle Write Endurance, 20-Year Retention at 150{\textdegree}C and {MTJ-OTP} Solutions for Magnetic Immunity}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {494--495}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067837}, doi = {10.1109/ISSCC42615.2023.10067837}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLSLCLCLCKCWWWCWCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSHRCCKHLSLCLLHTC23, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Li{-}Yang Hong and Jin{-}Sheng Ren and Chih{-}Han Chien and Ho{-}Yu Chen and Chao{-}En Ke and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Meng{-}Fan Chang}, title = {A 22nm 832Kb Hybrid-Domain Floating-Point {SRAM} In-Memory-Compute Macro with 16.2-70.2TFLOPS/W for High-Accuracy AI-Edge Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {126--127}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067527}, doi = {10.1109/ISSCC42615.2023.10067527}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSHRCCKHLSLCLLHTC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ChiuCCSH23, author = {Ching{-}Hao Chiu and Hao{-}Wei Chung and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu E. Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Toward Fairness Through Fair Multi-Exit Framework for Dermatological Disease Diagnosis}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14222}, pages = {97--107}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43898-1\_10}, doi = {10.1007/978-3-031-43898-1\_10}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ChiuCCSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/WangHCKC23, author = {Wei{-}Chen Wang and Chien{-}Chung Ho and Yuan{-}Hao Chang and Tei{-}Wei Kuo}, title = {Retention Leveling: Leverage Retention Refreshing and Wear Leveling Techniques to Enhance Flash Reliability with the Awareness of Temperature}, booktitle = {12th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NVMSA58981.2023.00017}, doi = {10.1109/NVMSA58981.2023.00017}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/WangHCKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChenYHWL23, author = {Liang{-}Chi Chen and Shu{-}Qi Yu and Chien{-}Chung Ho and Wei{-}Chen Wang and Yung{-}Chun Li}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {Efficient Sanitization Design for LSM-based Key-Value Store over 3D {MLC} {NAND} Flash}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {72--75}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577780}, doi = {10.1145/3555776.3577780}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChenYHWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChiangHWHWHHCLC23, author = {H.{-}L. Chiang and Richard A. Hadi and J.{-}F. Wang and H.{-}C. Han and J.{-}J. Wu and H.{-}H. Hsieh and J.{-}J. Horng and W.{-}S. Chou and B.{-}S. Lien and C.{-}H. Chang and Y.{-}C. Chen and Yeong{-}Her Wang and T.{-}C. Chen and J.{-}C. Liu and Y.{-}C. Liu and Meng{-}Hsueh Chiang and K.{-}H. Kao and B. Pulicherla and J. Cai and C.{-}S. Chang and K.{-}W. Su and K.{-}L. Cheng and T.{-}J. Yeh and Y.{-}C. Peng and C. Enz and Mau{-}Chung Frank Chang and M.{-}F. Chang and H.{-}S. Philip Wong and Iuliana P. Radu}, title = {How Fault-Tolerant Quantum Computing Benefits from Cryo-CMOS Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185325}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185325}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChiangHWHWHHCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/PitnerSCLSZLCPZ23, author = {Gregory Pitner and Nathaniel Safron and Tzu{-}Ang Chao and Shengman Li and Sheng{-}Kai Su and Gilad Zeevi and Qing Lin and Hsin{-}Yuan Chiu and Matthias Passlack and Zichen Zhang and D. Mahaveer Sathaiya and Aslan Wei and Carlo Gilardi and Edward Chen and San Lin Liew and Vincent D.{-}H. Hou and Chung{-}Wei Wu and Jeff Wu and Zhiwei Lin and Jeffrey Fagan and Ming Zheng and Han Wang and Subhasish Mitra and H.{-}S. Philip Wong and Iuliana P. Radu}, title = {Building high performance transistors on carbon nanotube channel}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185374}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185374}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/PitnerSCLSZLCPZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WenHHWCLCSKWLLH23, author = {Tai{-}Hao Wen and Je{-}Min Hung and Hung{-}Hsi Hsu and Yuan Wu and Fu{-}Chun Chang and Chung{-}Yuan Li and Chih{-}Han Chien and Chin{-}I Su and Win{-}San Khwa and Jui{-}Jen Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Mon{-}Shu Ho and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang and Meng{-}Fan Chang}, title = {A 28nm Nonvolatile {AI} Edge Processor using 4Mb Analog-Based Near-Memory-Compute ReRAM with 27.2 {TOPS/W} for Tiny {AI} Edge Devices}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185326}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185326}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/WenHHWCLCSKWLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WuHSCHCCSWYCLRW23, author = {Ming{-}Hung Wu and Ming{-}Chun Hong and Ching Shih and Yao{-}Jen Chang and Yu{-}Chen Hsin and Shih{-}Ching Chiu and Kuan{-}Ming Chen and Yi{-}Hui Su and Chih{-}Yao Wang and Shan{-}Yi Yang and Guan{-}Long Chen and Hsin{-}Han Lee and Sk. Ziaur Rahaman and I{-}Jung Wang and Chen{-}Yi Shih and Tsun{-}Chun Chang and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Tuo{-}Hung Hou}, title = {{U-MRAM:} Transistor-Less, High-Speed {(10} ns), Low-Voltage {(0.6} V), Field-Free Unipolar {MRAM} for High-Density Data Memory}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185336}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185336}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/WuHSCHCCSWYCLRW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/WuHSFAHSCCLYQYL23, author = {Wen{-}Chia Wu and Terry Y. T. Hung and D. Mahaveer Sathaiya and Dongxu Fan and Goutham Arutchelvan and Chen{-}Feng Hsu and Sheng{-}Kai Su and Ang{-}Sheng Chou and Edward Chen and Weisheng Li and Zhihao Yu and Hao Qiu and Ying{-}Mei Yang and Kuang{-}I Lin and Yun{-}Yang Shen and Wen{-}Hao Chang and San Lin Liew and Vincent D.{-}H. Hou and Jin Cai and Chung{-}Cheng Wu and Jeff Wu and H.{-}S. Philip Wong and Xinran Wang and Chao{-}Hsin Chien and Chao{-}Ching Cheng and Iuliana P. Radu}, title = {Scaled contact length with low contact resistance in monolayer 2D channel transistors}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185408}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185408}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/WuHSFAHSCCLYQYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1\({}^{\mbox{st}}\) Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACV} 2023 - Workshops, Waikoloa, HI, USA, January 3-7, 2023}, pages = {265--302}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACVW58289.2023.00033}, doi = {10.1109/WACVW58289.2023.00033}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-02989, author = {Ching{-}Hao Chiu and Hao{-}Wei Chung and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, title = {Fair Multi-Exit Framework for Facial Attribute Classification}, journal = {CoRR}, volume = {abs/2301.02989}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.02989}, doi = {10.48550/ARXIV.2301.02989}, eprinttype = {arXiv}, eprint = {2301.02989}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-02989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-07642, author = {Dominic LaBella and Maruf Adewole and Michelle Alonso{-}Basanta and Talissa Altes and Syed Muhammad Anwar and Ujjwal Baid and Timothy Bergquist and Radhika Bhalerao and Sully Chen and Verena Chung and Gian Marco Conte and Farouk Dako and James A. Eddy and Ivan Ezhov and Devon Godfrey and Fathi Hilal and Ariana Familiar and Keyvan Farahani and Juan Eugenio Iglesias and Zhifan Jiang and Elaine Johanson and Anahita Fathi Kazerooni and Collin Kent and John Kirkpatrick and Florian Kofler and Koen Van Leemput and Hongwei Bran Li and Xinyang Liu and Aria Mahtabfar and Shan McBurney{-}Lin and Ryan McLean and Zeke Meier and Ahmed W. Moawad and John Mongan and Pierre Nedelec and Maxence Pajot and Marie Piraud and Arif Rashid and Zachary Reitman and Russell Takeshi Shinohara and Yury Velichko and Chunhao Wang and Pranav I. Warman and Walter I. Wiggins and Mariam Aboian and Jake Albrecht and Udunna Anazodo and Spyridon Bakas and Adam E. Flanders and Anastasia Janas and Goldey Khanna and Marius George Linguraru and Bjoern H. Menze and Ayman Nada and Andreas M. Rauschecker and Jeffrey D. Rudie and Nourel Hoda Tahon and Javier E. Villanueva{-}Meyer and Benedikt Wiestler and Evan Calabrese}, title = {The {ASNR-MICCAI} Brain Tumor Segmentation (BraTS) Challenge 2023: Intracranial Meningioma}, journal = {CoRR}, volume = {abs/2305.07642}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.07642}, doi = {10.48550/ARXIV.2305.07642}, eprinttype = {arXiv}, eprint = {2305.07642}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-07642.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-10615, author = {Jiatong Shi and Dan Berrebbi and William Chen and Ho{-}Lam Chung and En{-}Pei Hu and Wei{-}Ping Huang and Xuankai Chang and Shang{-}Wen Li and Abdelrahman Mohamed and Hung{-}yi Lee and Shinji Watanabe}, title = {{ML-SUPERB:} Multilingual Speech Universal PERformance Benchmark}, journal = {CoRR}, volume = {abs/2305.10615}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.10615}, doi = {10.48550/ARXIV.2305.10615}, eprinttype = {arXiv}, eprint = {2305.10615}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-10615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-12782, author = {Liang Chen and Hongru Wang and Yang Deng and Wai{-}Chung Kwan and Zezhong Wang and Kam{-}Fai Wong}, title = {Towards Robust Personalized Dialogue Generation via Order-Insensitive Representation Regularization}, journal = {CoRR}, volume = {abs/2305.12782}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.12782}, doi = {10.48550/ARXIV.2305.12782}, eprinttype = {arXiv}, eprint = {2305.12782}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-12782.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13048, author = {Bo Peng and Eric Alcaide and Quentin Anthony and Alon Albalak and Samuel Arcadinho and Huanqi Cao and Xin Cheng and Michael Chung and Matteo Grella and Kranthi Kiran G. V. and Xuzheng He and Haowen Hou and Przemyslaw Kazienko and Jan Kocon and Jiaming Kong and Bartlomiej Koptyra and Hayden Lau and Krishna Sri Ipsit Mantri and Ferdinand Mom and Atsushi Saito and Xiangru Tang and Bolun Wang and Johan S. Wind and Stanislaw Wozniak and Ruichong Zhang and Zhenyuan Zhang and Qihang Zhao and Peng Zhou and Jian Zhu and Rui{-}Jie Zhu}, title = {{RWKV:} Reinventing RNNs for the Transformer Era}, journal = {CoRR}, volume = {abs/2305.13048}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13048}, doi = {10.48550/ARXIV.2305.13048}, eprinttype = {arXiv}, eprint = {2305.13048}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13048.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14705, author = {Sheng Shen and Le Hou and Yanqi Zhou and Nan Du and Shayne Longpre and Jason Wei and Hyung Won Chung and Barret Zoph and William Fedus and Xinyun Chen and Tu Vu and Yuexin Wu and Wuyang Chen and Albert Webson and Yunxuan Li and Vincent Y. Zhao and Hongkun Yu and Kurt Keutzer and Trevor Darrell and Denny Zhou}, title = {Flan-MoE: Scaling Instruction-Finetuned Language Models with Sparse Mixture of Experts}, journal = {CoRR}, volume = {abs/2305.14705}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14705}, doi = {10.48550/ARXIV.2305.14705}, eprinttype = {arXiv}, eprint = {2305.14705}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14705.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-04008, author = {Yao Zhu and Xinyu Wang and Hong{-}Shuo Chen and Ronald Salloum and C.{-}C. Jay Kuo}, title = {Green Steganalyzer: {A} Green Learning Approach to Image Steganalysis}, journal = {CoRR}, volume = {abs/2306.04008}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.04008}, doi = {10.48550/ARXIV.2306.04008}, eprinttype = {arXiv}, eprint = {2306.04008}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-04008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-14518, author = {Ching{-}Hao Chiu and Hao{-}Wei Chung and Yu{-}Jen Chen and Yiyu Shi and Tsung{-}Yi Ho}, title = {Toward Fairness Through Fair Multi-Exit Framework for Dermatological Disease Diagnosis}, journal = {CoRR}, volume = {abs/2306.14518}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.14518}, doi = {10.48550/ARXIV.2306.14518}, eprinttype = {arXiv}, eprint = {2306.14518}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-14518.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-00142, author = {Chester Holtz and Pengwen Chen and Alexander Cloninger and Chung{-}Kuan Cheng and Gal Mishne}, title = {Semi-Supervised Laplacian Learning on Stiefel Manifolds}, journal = {CoRR}, volume = {abs/2308.00142}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.00142}, doi = {10.48550/ARXIV.2308.00142}, eprinttype = {arXiv}, eprint = {2308.00142}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-00142.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-11596, author = {Seamless Communication and Lo{\"{\i}}c Barrault and Yu{-}An Chung and Mariano Coria Meglioli and David Dale and Ning Dong and Paul{-}Ambroise Duquenne and Hady Elsahar and Hongyu Gong and Kevin Heffernan and John Hoffman and Christopher Klaiber and Pengwei Li and Daniel Licht and Jean Maillard and Alice Rakotoarison and Kaushik Ram Sadagopan and Guillaume Wenzek and Ethan Ye and Bapi Akula and Peng{-}Jen Chen and Naji El Hachem and Brian Ellis and Gabriel Mejia Gonzalez and Justin Haaheim and Prangthip Hansanti and Russ Howes and Bernie Huang and Min{-}Jae Hwang and Hirofumi Inaguma and Somya Jain and Elahe Kalbassi and Amanda Kallet and Ilia Kulikov and Janice Lam and Daniel Li and Xutai Ma and Ruslan Mavlyutov and Benjamin Peloquin and Mohamed Ramadan and Abinesh Ramakrishnan and Anna Y. Sun and Kevin Tran and Tuan Tran and Igor Tufanov and Vish Vogeti and Carleigh Wood and Yilin Yang and Bokai Yu and Pierre Andrews and Can Balioglu and Marta R. Costa{-}juss{\`{a}} and Onur Celebi and Maha Elbayad and Cynthia Gao and Francisco Guzm{\'{a}}n and Justine Kao and Ann Lee and Alexandre Mourachko and Juan Pino and Sravya Popuri and Christophe Ropers and Safiyyah Saleem and Holger Schwenk and Paden Tomasello and Changhan Wang and Jeff Wang and Skyler Wang}, title = {SeamlessM4T-Massively Multilingual {\&} Multimodal Machine Translation}, journal = {CoRR}, volume = {abs/2308.11596}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.11596}, doi = {10.48550/ARXIV.2308.11596}, eprinttype = {arXiv}, eprint = {2308.11596}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-11596.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-14324, author = {Chun{-}Yi Kuan and Chen{-}An Li and Tsu{-}Yuan Hsu and Tse{-}Yang Lin and Ho{-}Lam Chung and Kai{-}Wei Chang and Shuo{-}Yiin Chang and Hung{-}yi Lee}, title = {Towards General-Purpose Text-Instruction-Guided Voice Conversion}, journal = {CoRR}, volume = {abs/2309.14324}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.14324}, doi = {10.48550/ARXIV.2309.14324}, eprinttype = {arXiv}, eprint = {2309.14324}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-14324.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-04992, author = {Jianing Qiu and Jian Wu and Hao Wei and Peilun Shi and Minqing Zhang and Yunyun Sun and Lin Li and Hanruo Liu and Hongyi Liu and Simeng Hou and Yuyang Zhao and Xuehui Shi and Junfang Xian and Xiaoxia Qu and Sirui Zhu and Lijie Pan and Xiaoniao Chen and Xiaojia Zhang and Shuai Jiang and Kebing Wang and Chenlong Yang and Mingqiang Chen and Sujie Fan and Jianhua Hu and Aiguo Lv and Hui Miao and Li Guo and Shujun Zhang and Cheng Pei and Xiaojuan Fan and Jianqin Lei and Ting Wei and Junguo Duan and Chun Liu and Xiaobo Xia and Siqi Xiong and Junhong Li and Benny Lo and Yih Chung Tham and Tien Yin Wong and Ningli Wang and Wu Yuan}, title = {VisionFM: a Multi-Modal Multi-Task Vision Foundation Model for Generalist Ophthalmic Artificial Intelligence}, journal = {CoRR}, volume = {abs/2310.04992}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.04992}, doi = {10.48550/ARXIV.2310.04992}, eprinttype = {arXiv}, eprint = {2310.04992}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-04992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05513, author = {Jiatong Shi and William Chen and Dan Berrebbi and Hsiu{-}Hsuan Wang and Wei{-}Ping Huang and En{-}Pei Hu and Ho{-}Lam Chung and Xuankai Chang and Yuxun Tang and Shang{-}Wen Li and Abdelrahman Mohamed and Hung{-}yi Lee and Shinji Watanabe}, title = {Findings of the 2023 {ML-SUPERB} Challenge: Pre-Training and Evaluation over More Languages and Beyond}, journal = {CoRR}, volume = {abs/2310.05513}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05513}, doi = {10.48550/ARXIV.2310.05513}, eprinttype = {arXiv}, eprint = {2310.05513}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05513.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-15690, author = {Amir Noorizadegan and Der{-}Liang Young and Y. C. Hon and Chuin{-}Shan Chen}, title = {Physics-Informed with Power-Enhanced Residual Network for Interpolation and Inverse Problems}, journal = {CoRR}, volume = {abs/2310.15690}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.15690}, doi = {10.48550/ARXIV.2310.15690}, eprinttype = {arXiv}, eprint = {2310.15690}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-15690.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-16646, author = {Ming{-}Yu Chung and Sheng{-}Yen Chou and Chia{-}Mu Yu and Pin{-}Yu Chen and Sy{-}Yen Kuo and Tsung{-}Yi Ho}, title = {Rethinking Backdoor Attacks on Dataset Distillation: {A} Kernel Method Perspective}, journal = {CoRR}, volume = {abs/2311.16646}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.16646}, doi = {10.48550/ARXIV.2311.16646}, eprinttype = {arXiv}, eprint = {2311.16646}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-16646.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-05187, author = {Lo{\"{\i}}c Barrault and Yu{-}An Chung and Mariano Coria Meglioli and David Dale and Ning Dong and Mark Duppenthaler and Paul{-}Ambroise Duquenne and Brian Ellis and Hady Elsahar and Justin Haaheim and John Hoffman and Min{-}Jae Hwang and Hirofumi Inaguma and Christopher Klaiber and Ilia Kulikov and Pengwei Li and Daniel Licht and Jean Maillard and Ruslan Mavlyutov and Alice Rakotoarison and Kaushik Ram Sadagopan and Abinesh Ramakrishnan and Tuan Tran and Guillaume Wenzek and Yilin Yang and Ethan Ye and Ivan Evtimov and Pierre Fernandez and Cynthia Gao and Prangthip Hansanti and Elahe Kalbassi and Amanda Kallet and Artyom Kozhevnikov and Gabriel Mejia Gonzalez and Robin San Roman and Christophe Touret and Corinne Wong and Carleigh Wood and Bokai Yu and Pierre Andrews and Can Balioglu and Peng{-}Jen Chen and Marta R. Costa{-}juss{\`{a}} and Maha Elbayad and Hongyu Gong and Francisco Guzm{\'{a}}n and Kevin Heffernan and Somya Jain and Justine Kao and Ann Lee and Xutai Ma and Alexandre Mourachko and Benjamin Peloquin and Juan Pino and Sravya Popuri and Christophe Ropers and Safiyyah Saleem and Holger Schwenk and Anna Y. Sun and Paden Tomasello and Changhan Wang and Jeff Wang and Skyler Wang and Mary Williamson}, title = {Seamless: Multilingual Expressive and Streaming Speech Translation}, journal = {CoRR}, volume = {abs/2312.05187}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.05187}, doi = {10.48550/ARXIV.2312.05187}, eprinttype = {arXiv}, eprint = {2312.05187}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-05187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengHLL22, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Daeyeal Lee and Bill Lin}, title = {Monolithic 3D Semiconductor Footprint Scaling Exploration Based on {VFET} Standard Cell Layout Methodology, Design Flow, and {EDA} Platform}, journal = {{IEEE} Access}, volume = {10}, pages = {65971--65981}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3184008}, doi = {10.1109/ACCESS.2022.3184008}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChengHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCWCP22, author = {Chi{-}En Lee and Chung{-}Ming Chen and Hong{-}Xiang Wang and Li{-}Wei Chen and Cherng{-}Kang Perng}, title = {Utilizing Mask {RCNN} for Monitoring Postoperative Free Flap: Circulatory Compromise Detection Based on Visible-Light and Infrared Images}, journal = {{IEEE} Access}, volume = {10}, pages = {109510--109525}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3214212}, doi = {10.1109/ACCESS.2022.3214212}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCWCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LuYCCHL22, author = {Hoang{-}Yang Lu and Mao{-}Hsu Yen and Che{-}Wei Chang and Chung{-}Wei Cheng and Tzu{-}Ching Hsu and Yu{-}Chi Lin}, title = {Efficient Hardware Implementation of CORDIC-Based Symbol Detector for {GSM} {MIMO} Systems: Algorithm and Hardware Architecture}, journal = {{IEEE} Access}, volume = {10}, pages = {114232--114241}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3217523}, doi = {10.1109/ACCESS.2022.3217523}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LuYCCHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijisss/VuLHC22, author = {Hong{-}Ngoc Vu and Chun{-}Wei Lin and Ping{-}Yu Hsu and Chung{-}Yang Chen}, title = {A Continuous Dispatch Decision Model for Sustainable {IT} Service Assignments}, journal = {Int. J. Inf. Syst. Serv. Sect.}, volume = {14}, number = {1}, pages = {1--22}, year = {2022}, url = {https://doi.org/10.4018/ijisss.295870}, doi = {10.4018/IJISSS.295870}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijisss/VuLHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChenCSC22, author = {Jeng{-}Chung Chen and Chun{-}Chih Chen and Chih{-}Hsiung Shen and Ho{-}Wen Chen}, title = {User Integration in Two IoT Sustainable Services by Evaluation Grid Method}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {3}, pages = {2242--2252}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3091688}, doi = {10.1109/JIOT.2021.3091688}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ChenCSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChenLTCLCL22, author = {Meng Chen and Yifan Liu and John Chung Tam and Ho{-}Yin Chan and Xinyue Li and Chishing Chan and Wen Jung Li}, title = {Wireless AI-Powered IoT Sensors for Laboratory Mice Behavior Recognition}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {3}, pages = {1899--1912}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3090583}, doi = {10.1109/JIOT.2021.3090583}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChenLTCLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/BeamsBCJKKABGGG22, author = {Ryan Beams and Ellenor Brown and Wei{-}Chung Cheng and Janell S. Joyner and Andrea Seung Kim and Kimberly Kontson and Dimitri Amiras and Tassilo Baeuerle and Walter J. Greenleaf and Rafael J. Grossmann and Atul Gupta and Christoffer Hamilton and Hong Hua and Tran Tu Huynh and Christoph Leuze and Sarah B. Murthi and John Penczek and Jennifer Silva and Brennan Spiegel and Amitabh Varshney and Aldo Badano}, title = {Evaluation Challenges for the Application of Extended Reality Devices in Medicine}, journal = {J. Digit. Imaging}, volume = {35}, number = {5}, pages = {1409--1418}, year = {2022}, url = {https://doi.org/10.1007/s10278-022-00622-x}, doi = {10.1007/S10278-022-00622-X}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/BeamsBCJKKABGGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/HuangZCHJS22, author = {Hsiu{-}Chuan Huang and Zhi{-}Kai Zhang and Chung{-}Kuan Chen and Wei{-}da Hong and Jui{-}Chien Jao and Shiuhpyng Shieh}, title = {Adaptive Entry Point Discovery for Web Vulnerability Scanning}, journal = {J. Inf. Sci. Eng.}, volume = {38}, number = {1}, pages = {185--205}, year = {2022}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=184\_2495}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/HuangZCHJS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/CaoXXGLLLGDHY22, author = {Lina Cao and Hongyong Xiang and Jingwen Xu and Yufu Gao and Chenlu Lin and Kun Li and Zhiwei Li and Nana Guo and P. David and Chunguang He and Haijun Yang}, title = {Nutrient Detection Sensors in Seawater Based on {ISI} Web of Science Database}, journal = {J. Sensors}, volume = {2022}, pages = {1--12}, year = {2022}, url = {https://doi.org/10.1155/2022/5754751}, doi = {10.1155/2022/5754751}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/CaoXXGLLLGDHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuSCCHTLLLWCRCW22, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Yen{-}Lin Chung and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Hongwu Jiang and Shanshi Huang and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Chih{-}I Wu and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shimeng Yu and Meng{-}Fan Chang}, title = {Two-Way Transpose Multibit 6T {SRAM} Computing-in-Memory Macro for Inference-Training {AI} Edge Chips}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {609--624}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3108344}, doi = {10.1109/JSSC.2021.3108344}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SuSCCHTLLLWCRCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangZYFWYSHCL22, author = {Dandan Huang and Yao Zhou and Xianfu Yi and Xutong Fan and Jianhua Wang and Hongcheng Yao and Pak Chung Sham and Jihui Hao and Kexin Chen and Mulin Jun Li}, title = {VannoPortal: multiscale functional annotation of human genetic variants for interrogating molecular mechanism of traits and diseases}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {1408--1416}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab853}, doi = {10.1093/NAR/GKAB853}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangZYFWYSHCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/JhongYPLCWLLLMH22, author = {Jhih{-}Hua Jhong and Lantian Yao and Yuxuan Pang and Zhongyan Li and Chia{-}Ru Chung and Rulan Wang and Shangfu Li and Wenshuo Li and Mengqi Luo and Renfei Ma and Yuqi Huang and Xiaoning Zhu and Jiahong Zhang and Hexiang Feng and Qifan Cheng and Chunxuan Wang and Kun Xi and Li{-}Ching Wu and Tzu{-}Hao Chang and Jorng{-}Tzong Horng and Lizhe Zhu and Ying{-}Chih Chiang and Zhuo Wang and Tzong{-}Yi Lee}, title = {dbAMP 2.0: updated resource for antimicrobial peptides with an enhanced scanning method for genomic and proteomic data}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {460--470}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1080}, doi = {10.1093/NAR/GKAB1080}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/JhongYPLCWLLLMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/JiCMHLWW22, author = {Baofeng Ji and Zhenzhen Chen and Shahid Mumtaz and Congzheng Han and Chunguo Li and Hong Wen and Dan Wang}, title = {A Vision of IoV in 5G HetNets: Architecture, Key Technologies, Applications, Challenges, and Trends}, journal = {{IEEE} Netw.}, volume = {36}, number = {2}, pages = {153--161}, year = {2022}, url = {https://doi.org/10.1109/MNET.012.2000527}, doi = {10.1109/MNET.012.2000527}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/JiCMHLWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/BurqRHCBEHMMK22, author = {Maximilien Burq and Erin Rainaldi and King Chung Ho and Chen Chen and Bastiaan R. Bloem and Luc J. W. Evers and Rick C. Helmich and Lance Myers and William J. Marks and Ritu Kapur}, title = {Author Correction: Virtual exam for Parkinson's disease enables frequent and reliable remote measurements of motor function}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00744-0}, doi = {10.1038/S41746-022-00744-0}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/BurqRHCBEHMMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/BurqRHCBEHMMK22a, author = {Maximilien Burq and Erin Rainaldi and King Chung Ho and Chen Chen and Bastiaan R. Bloem and Luc J. W. Evers and Rick C. Helmich and Lance Myers and William J. Marks and Ritu Kapur}, title = {Virtual exam for Parkinson's disease enables frequent and reliable remote measurements of motor function}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00607-8}, doi = {10.1038/S41746-022-00607-8}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/BurqRHCBEHMMK22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangLHYCH22, author = {Yi{-}Chung Yang and Ching{-}Yuan Lu and Shih{-}Jen Huang and Thwong{-}Zong Yang and Yu{-}Cheng Chang and Chung{-}Ru Ho}, title = {On the Reconstruction of Missing Sea Surface Temperature Data from Himawari-8 in Adjacent Waters of Taiwan Using {DINEOF} Conducted with 25-h Data}, journal = {Remote. Sens.}, volume = {14}, number = {12}, pages = {2818}, year = {2022}, url = {https://doi.org/10.3390/rs14122818}, doi = {10.3390/RS14122818}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YangLHYCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChengLWHCCH22, author = {Yuang{-}Tung Cheng and Tsung{-}Lin Lu and Shang{-}Husuan Wang and Jyh{-}Jier Ho and Chung{-}Cheng Chang and Chau{-}Chang Chou and Jiashow Ho}, title = {Performance of High Efficiency Avalanche Poly-SiGe Devices for Photo-Sensing Applications}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1243}, year = {2022}, url = {https://doi.org/10.3390/s22031243}, doi = {10.3390/S22031243}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChengLWHCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/DingSCH22, author = {Dan Ding and Breelyn Styler and Cheng{-}Shiu Chung and Alexander Houriet}, title = {Development of a Vision-Guided Shared-Control System for Assistive Robotic Manipulators}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4351}, year = {2022}, url = {https://doi.org/10.3390/s22124351}, doi = {10.3390/S22124351}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/DingSCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangLWWLHHCCLLW22, author = {Yen{-}Hsiang Wang and Kuan{-}Chieh Lee and Wen{-}Chun Wei and Chung{-}Huang Wang and Hao{-}Jie Liu and Jia{-}Rong Hou and Tien{-}Chen Hsieh and Ju{-}Kai Chen and Ting{-}Yuan Chen and Shien{-}Kuei Liaw and Choa{-}Feng Lin and Chin{-}Cheng Wu and Jen{-}Jie Chieh and Chin{-}Hung Chang}, title = {Hybrid Vibration and {UV} Fluorescence Technology for Rapid Imaging and Guidance for Manual Removal of Fish Bones from Fish Floss}, journal = {Sensors}, volume = {22}, number = {22}, pages = {8978}, year = {2022}, url = {https://doi.org/10.3390/s22228978}, doi = {10.3390/S22228978}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangLWWLHHCCLLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/PengC22, author = {Chao{-}Chung Peng and Yi{-}Ho Chen}, title = {Digital Twins-Based Online Monitoring of {TFE-731} Turbofan Engine Using Fast Orthogonal Search}, journal = {{IEEE} Syst. J.}, volume = {16}, number = {2}, pages = {3060--3071}, year = {2022}, url = {https://doi.org/10.1109/JSYST.2021.3079168}, doi = {10.1109/JSYST.2021.3079168}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/PengC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/HuangHWCLH22, author = {Horng{-}Ruey Huang and Ding{-}Yong Hong and Jan{-}Jan Wu and Kung{-}Fu Chen and Pangfeng Liu and Wei{-}Chung Hsu}, title = {Accelerating Video Captioning on Heterogeneous System Architectures}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {19}, number = {3}, pages = {38:1--38:25}, year = {2022}, url = {https://doi.org/10.1145/3527609}, doi = {10.1145/3527609}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/HuangHWCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/LiangLCIL22, author = {Ya{-}Chun Liang and Kuan{-}Yun Lai and Ho{-}Lin Chen and Kazuo Iwama and Chung{-}Shou Liao}, title = {Tight competitive analyses of online car-sharing problems}, journal = {Theor. Comput. Sci.}, volume = {938}, pages = {86--96}, year = {2022}, url = {https://doi.org/10.1016/j.tcs.2022.10.010}, doi = {10.1016/J.TCS.2022.10.010}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/LiangLCIL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LiWLCLDRWMD22, author = {Zhaojin Li and Bo Wu and Wai Chung Liu and Long Chen and Hongliang Li and Jie Dong and Wei Rao and Dong Wang and Qingyu Meng and Jihong Dong}, title = {Photogrammetric Processing of Tianwen-1 HiRIC Imagery for Precision Topographic Mapping on Mars}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2022.3194081}, doi = {10.1109/TGRS.2022.3194081}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LiWLCLDRWMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChengHHLL22, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Chester Holtz and Daeyeal Lee and Bill Lin}, title = {Machine Learning Prediction for Design and System Technology Co-Optimization Sensitivity Analysis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {30}, number = {8}, pages = {1059--1072}, year = {2022}, url = {https://doi.org/10.1109/TVLSI.2022.3172938}, doi = {10.1109/TVLSI.2022.3172938}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChengHHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChengHH22, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Chester Holtz}, title = {Net Separation-Oriented Printed Circuit Board Placement via Margin Maximization}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {288--293}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712480}, doi = {10.1109/ASP-DAC52403.2022.9712480}, timestamp = {Fri, 04 Mar 2022 13:11:07 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChengHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/PengC22, author = {Chao{-}Chung Peng and Yi{-}Ho Cheng}, title = {Data Driven based Modeling and Fault Detection for the MATLAB/Simulink Turbofan Engine: An {ARX} Model Approach}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2022, Trieste, Italy, August 23-25, 2022}, pages = {498--503}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCTA49430.2022.9966098}, doi = {10.1109/CCTA49430.2022.9966098}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccta/PengC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCCHLW22, author = {Pengwen Chen and Chung{-}Kuan Cheng and Albert Chern and Chester Holtz and Aoxi Li and Yucheng Wang}, editor = {Rob Oshana}, title = {Placement initialization via a projected eigenvector algorithm: late breaking results}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1398--1399}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530620}, doi = {10.1145/3489517.3530620}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenCCHLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangCC22, author = {Hsin{-}Yuan Chang and Yi{-}Yan Chen and Wei{-}Ho Chung}, title = {RangeSRN: Range Super-Resolution Network Using mmWave {FMCW} Radar}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10000943}, doi = {10.1109/GLOBECOM48099.2022.10000943}, timestamp = {Tue, 17 Jan 2023 08:56:31 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChangCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/SalaunYMC22, author = {Lou Sala{\"{u}}n and Hong Yang and Shashwat Mishra and Chung Shue Chen}, title = {A {GNN} Approach for Cell-Free Massive {MIMO}}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {3053--3058}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001647}, doi = {10.1109/GLOBECOM48099.2022.10001647}, timestamp = {Tue, 17 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/SalaunYMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhuWCSK22, author = {Yao Zhu and Xinyu Wang and Hong{-}Shuo Chen and Ronald Salloum and C.{-}C. Jay Kuo}, title = {A-PixelHop: {A} Green, Robust and Explainable Fake-Image Detector}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {8947--8951}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747901}, doi = {10.1109/ICASSP43922.2022.9747901}, timestamp = {Tue, 07 Jun 2022 17:34:47 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ZhuWCSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenC0C22, author = {Wan{-}Yu Chen and Hsin{-}Yuan Chang and Chih{-}Yu Wang and Wei{-}Ho Chung}, title = {Cooperative Neighboring Vehicle Positioning Systems Based on Graph Convolutional Network: {A} Multi-Scenario Transfer Learning Approach}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {3226--3231}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9838627}, doi = {10.1109/ICC45855.2022.9838627}, timestamp = {Fri, 19 Aug 2022 10:24:08 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenC0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ChenHT22, author = {Hsing{-}Chung Chen and Yu{-}Lun Ho and Shian{-}Shyong Tseng}, editor = {Leonard Barolli}, title = {Mask-Wearing Behavior Analysis by Using Expert Knowledge Acquisition Approach Under Covid-19 Situation}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 16th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing {(IMIS} 2022), June 29 - July 1, 2022, Virtual Event / Kitakyushu, Japan}, series = {Lecture Notes in Networks and Systems}, volume = {496}, pages = {247--258}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08819-3\_25}, doi = {10.1007/978-3-031-08819-3\_25}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/ChenHT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LinCCYCD0MLL22, author = {Guan{-}Ting Lin and Yung{-}Sung Chuang and Ho{-}Lam Chung and Shu{-}Wen Yang and Hsuan{-}Jui Chen and Shuyan Annie Dong and Shang{-}Wen Li and Abdelrahman Mohamed and Hung{-}yi Lee and Lin{-}Shan Lee}, editor = {Hanseok Ko and John H. L. Hansen}, title = {{DUAL:} Discrete Spoken Unit Adaptive Learning for Textless Spoken Question Answering}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {5165--5169}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-612}, doi = {10.21437/INTERSPEECH.2022-612}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LinCCYCD0MLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenZHYK22, author = {Hong{-}Shuo Chen and Kaitai Zhang and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {Fake Satellite Image Detection via Parallel Subspace Learning {(PSL)}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1502--1506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937539}, doi = {10.1109/ISCAS48785.2022.9937539}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenZHYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuSCHRCWCLHLSCL22, author = {Ping{-}Chun Wu and Jian{-}Wei Su and Yen{-}Lin Chung and Li{-}Yang Hong and Jin{-}Sheng Ren and Fu{-}Chun Chang and Yuan Wu and Ho{-}Yu Chen and Chen{-}Hsun Lin and Hsu{-}Ming Hsiao and Sih{-}Han Li and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Wei{-}Chung Lo and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Chih{-}I Wu and Meng{-}Fan Chang}, title = {A 28nm 1Mb Time-Domain Computing-in-Memory 6T-SRAM Macro with a 6.6ns Latency, 1241GOPS and 37.01TOPS/W for 8b-MAC Operations for Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731681}, doi = {10.1109/ISSCC42614.2022.9731681}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuSCHRCWCLHLSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/AlhasanCHK22, author = {Hasan Alhasan and Yun{-}Chih Chen and Chien{-}Chung Ho and Tei{-}Wei Kuo}, title = {{RUSM:} Harnessing Unused Resources in 3D {NAND} {SSD} to Enhance Reading Performance}, booktitle = {11th {IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2022, Taipei, Taiwan, August 23-25, 2022}, pages = {63--68}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NVMSA56066.2022.00020}, doi = {10.1109/NVMSA56066.2022.00020}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/AlhasanCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ChenYHCCWC22, author = {Liang{-}Chi Chen and Shu{-}Qi Yu and Chien{-}Chung Ho and Yuan{-}Hao Chang and Da{-}Wei Chang and Wei{-}Chen Wang and Yu{-}Ming Chang}, title = {RNA-seq Quantification on Processing in memory Architecture: Observation and Characterization}, booktitle = {11th {IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2022, Taipei, Taiwan, August 23-25, 2022}, pages = {26--32}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NVMSA56066.2022.00014}, doi = {10.1109/NVMSA56066.2022.00014}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/ChenYHCCWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChiouLC22, author = {Chung{-}Pu Chiou and Hou{-}An Lin and Chia{-}Ping Chen}, editor = {Yung{-}Chun Chang and Yi{-}Chin Huang}, title = {Mandarin-English Code-Switching Speech Recognition System for Specific Domain}, booktitle = {Proceedings of the 34th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2022, Taipei, Taiwan, November 21-22, 2022}, pages = {200--204}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2022}, url = {https://aclanthology.org/2022.rocling-1.25}, timestamp = {Mon, 21 Nov 2022 17:08:17 +0100}, biburl = {https://dblp.org/rec/conf/rocling/ChiouLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ChangHTLWK022, author = {Yu{-}Ming Chang and Chien{-}Chung Ho and Che{-}Wei Tsao and Shu{-}Hsien Liao and Wei{-}Chen Wang and Tei{-}Wei Kuo and Yuan{-}Hao Chang}, editor = {Jiman Hong and Miroslav Bures and Juw Won Park and Tom{\'{a}}s Cern{\'{y}}}, title = {On enduring more data through enabling page rewrite capability on multi-level-cell flash memory}, booktitle = {{SAC} '22: The 37th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, April 25 - 29, 2022}, pages = {107--115}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477314.3507088}, doi = {10.1145/3477314.3507088}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/ChangHTLWK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/HoWCLC22, author = {Chien{-}Chung Ho and Wei{-}Chen Wang and Szu{-}Yu Chen and Yung{-}Chun Li and Kun{-}Chi Chiang}, editor = {Jiman Hong and Miroslav Bures and Juw Won Park and Tom{\'{a}}s Cern{\'{y}}}, title = {{RAM:} exploiting restrained and approximate management for enabling neural network training on NVM-based systems}, booktitle = {{SAC} '22: The 37th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, April 25 - 29, 2022}, pages = {116--123}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477314.3507090}, doi = {10.1145/3477314.3507090}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/HoWCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/HungCCLC022, author = {Ching{-}Wen Hung and Ruei{-}Che Chang and Hong{-}Sheng Chen and Chung{-}Han Liang and Liwei Chan and Bing{-}Yu Chen}, editor = {Maneesh Agrawala and Jacob O. Wobbrock and Eytan Adar and Vidya Setlur}, title = {Puppeteer: Manipulating Human Avatar Actions with Intuitive Hand Gestures and Upper-Body Postures}, booktitle = {The Adjunct Publication of the 35th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2022, Bend, OR, USA, 29 October 2022- 2 November 2022}, pages = {3:1--3:3}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526114.3558689}, doi = {10.1145/3526114.3558689}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/HungCCLC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HongCHLCSCYWRLC22, author = {Ming{-}Chun Hong and Yao{-}Jen Chang and Yu{-}Chen Hsin and Liang{-}Ming Liu and Kuan{-}Ming Chen and Yi{-}Hui Su and Guan{-}Long Chen and Shan{-}Yi Yang and I{-}Jung Wang and Sk. Ziaur Rahaman and Hsin{-}Han Lee and Shih{-}Ching Chiu and Chen{-}Yi Shih and Chih{-}Yao Wang and Fang{-}Ming Chen and Jeng{-}Hua Wei and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Minn{-}Tsong Lin and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 4K-400K Wide Operating-Temperature-Range {MRAM} Technology with Ultrathin Composite Free Layer and Magnesium Spacer}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {379--380}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830503}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830503}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/HongCHLCSCYWRLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/HungCCLC022, author = {Ching{-}Wen Hung and Ruei{-}Che Chang and Hong{-}Sheng Chen and Chung{-}Han Liang and Liwei Chan and Bing{-}Yu Chen}, editor = {Takafumi Koike and Naoya Koizumi and Gerd Bruder and Daniel Roth and Kazuki Takashima and Takefumi Hiraki and Yuki Ban and Michal Piovarci}, title = {Puppeteer: Exploring Intuitive Hand Gestures and Upper-Body Postures for Manipulating Human Avatar Actions}, booktitle = {28th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2022, Tsukuba, Japan, 29 November 2022- 1 December 2022}, pages = {13:1--13:11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3562939.3565609}, doi = {10.1145/3562939.3565609}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vrst/HungCCLC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenCCC22, author = {Kuan{-}Yuan Chen and Hsin{-}Yuan Chang and Ronald Y. Chang and Wei{-}Ho Chung}, title = {Hybrid Beamforming in mmWave {MIMO-OFDM} Systems via Deep Unfolding}, booktitle = {95th {IEEE} Vehicular Technology Conference, {VTC} Spring 2022, Helsinki, Finland, June 19-22, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Spring54318.2022.9860467}, doi = {10.1109/VTC2022-SPRING54318.2022.9860467}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChenCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-03801, author = {Zhengying Liu and Adrien Pavao and Zhen Xu and Sergio Escalera and Fabio Ferreira and Isabelle Guyon and Sirui Hong and Frank Hutter and Rongrong Ji and J{\'{u}}lio C. S. Jacques J{\'{u}}nior and Ge Li and Marius Lindauer and Zhipeng Luo and Meysam Madadi and Thomas Nierhoff and Kangning Niu and Chunguang Pan and Danny Stoll and S{\'{e}}bastien Treguer and Jin Wang and Peng Wang and Chenglin Wu and Youcheng Xiong and Arber Zela and Yang Zhang}, title = {Winning solutions and post-challenge analyses of the ChaLearn AutoDL challenge 2019}, journal = {CoRR}, volume = {abs/2201.03801}, year = {2022}, url = {https://arxiv.org/abs/2201.03801}, eprinttype = {arXiv}, eprint = {2201.03801}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-03801.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-08239, author = {Romal Thoppilan and Daniel De Freitas and Jamie Hall and Noam Shazeer and Apoorv Kulshreshtha and Heng{-}Tze Cheng and Alicia Jin and Taylor Bos and Leslie Baker and Yu Du and YaGuang Li and Hongrae Lee and Huaixiu Steven Zheng and Amin Ghafouri and Marcelo Menegali and Yanping Huang and Maxim Krikun and Dmitry Lepikhin and James Qin and Dehao Chen and Yuanzhong Xu and Zhifeng Chen and Adam Roberts and Maarten Bosma and Yanqi Zhou and Chung{-}Ching Chang and Igor Krivokon and Will Rusch and Marc Pickett and Kathleen S. Meier{-}Hellstern and Meredith Ringel Morris and Tulsee Doshi and Renelito Delos Santos and Toju Duke and Johnny Soraker and Ben Zevenbergen and Vinodkumar Prabhakaran and Mark Diaz and Ben Hutchinson and Kristen Olson and Alejandra Molina and Erin Hoffman{-}John and Josh Lee and Lora Aroyo and Ravi Rajakumar and Alena Butryna and Matthew Lamm and Viktoriya Kuzmina and Joe Fenton and Aaron Cohen and Rachel Bernstein and Ray Kurzweil and Blaise Ag{\"{u}}era y Arcas and Claire Cui and Marian Croak and Ed H. Chi and Quoc Le}, title = {LaMDA: Language Models for Dialog Applications}, journal = {CoRR}, volume = {abs/2201.08239}, year = {2022}, url = {https://arxiv.org/abs/2201.08239}, eprinttype = {arXiv}, eprint = {2201.08239}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-08239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-04911, author = {Guan{-}Ting Lin and Yung{-}Sung Chuang and Ho{-}Lam Chung and Shu{-}Wen Yang and Hsuan{-}Jui Chen and Shuyan Dong and Shang{-}Wen Li and Abdelrahman Mohamed and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {{DUAL:} Discrete Spoken Unit Adaptive Learning for Textless Spoken Question Answering}, journal = {CoRR}, volume = {abs/2203.04911}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.04911}, doi = {10.48550/ARXIV.2203.04911}, eprinttype = {arXiv}, eprint = {2203.04911}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-04911.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-00211, author = {Hong{-}Shuo Chen and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {DefakeHop++: An Enhanced Lightweight Deepfake Detector}, journal = {CoRR}, volume = {abs/2205.00211}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.00211}, doi = {10.48550/ARXIV.2205.00211}, eprinttype = {arXiv}, eprint = {2205.00211}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-00211.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03931, author = {Hsuan Su and Po{-}Han Chi and Shih{-}Cheng Huang and Ho{-}Lam Chung and Saurav Sahay and Shang{-}Tse Chen and Hung{-}Yi Lee}, title = {Few-shot Prompting Towards Controllable Response Generation}, journal = {CoRR}, volume = {abs/2206.03931}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03931}, doi = {10.48550/ARXIV.2206.03931}, eprinttype = {arXiv}, eprint = {2206.03931}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03931.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-01604, author = {Yu{-}Jen Chen and Wei{-}Hsiang Shen and Hao{-}Wei Chung and Jing{-}Hao Chiu and Da{-}Cheng Juan and Tsung{-}Ying Ho and Chi{-}Tung Cheng and Meng{-}Lin Li and Tsung{-}Yi Ho}, title = {Representative Image Feature Extraction via Contrastive Learning Pretraining for Chest X-ray Report Generation}, journal = {CoRR}, volume = {abs/2209.01604}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.01604}, doi = {10.48550/ARXIV.2209.01604}, eprinttype = {arXiv}, eprint = {2209.01604}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-01604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-11416, author = {Hyung Won Chung and Le Hou and Shayne Longpre and Barret Zoph and Yi Tay and William Fedus and Eric Li and Xuezhi Wang and Mostafa Dehghani and Siddhartha Brahma and Albert Webson and Shixiang Shane Gu and Zhuyun Dai and Mirac Suzgun and Xinyun Chen and Aakanksha Chowdhery and Sharan Narang and Gaurav Mishra and Adams Yu and Vincent Y. Zhao and Yanping Huang and Andrew M. Dai and Hongkun Yu and Slav Petrov and Ed H. Chi and Jeff Dean and Jacob Devlin and Adam Roberts and Denny Zhou and Quoc V. Le and Jason Wei}, title = {Scaling Instruction-Finetuned Language Models}, journal = {CoRR}, volume = {abs/2210.11416}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.11416}, doi = {10.48550/ARXIV.2210.11416}, eprinttype = {arXiv}, eprint = {2210.11416}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-11416.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14259, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Chester Holtz}, title = {Net Separation-Oriented Printed Circuit Board Placement via Margin Maximization}, journal = {CoRR}, volume = {abs/2210.14259}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14259}, doi = {10.48550/ARXIV.2210.14259}, eprinttype = {arXiv}, eprint = {2210.14259}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14259.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06474, author = {Peng{-}Jen Chen and Kevin Tran and Yilin Yang and Jingfei Du and Justine Kao and Yu{-}An Chung and Paden Tomasello and Paul{-}Ambroise Duquenne and Holger Schwenk and Hongyu Gong and Hirofumi Inaguma and Sravya Popuri and Changhan Wang and Juan Miguel Pino and Wei{-}Ning Hsu and Ann Lee}, title = {Speech-to-Speech Translation For {A} Real-world Unwritten Language}, journal = {CoRR}, volume = {abs/2211.06474}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06474}, doi = {10.48550/ARXIV.2211.06474}, eprinttype = {arXiv}, eprint = {2211.06474}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13508, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Chaitra Desai and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1st Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, journal = {CoRR}, volume = {abs/2211.13508}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13508}, doi = {10.48550/ARXIV.2211.13508}, eprinttype = {arXiv}, eprint = {2211.13508}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13508.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCTSL21, author = {Yun{-}Chung Chen and Hong{-}Yen Chen and Takeshi Takahashi and Bo Sun and Tsung{-}Nan Lin}, title = {Impact of Code Deobfuscation and Feature Interaction in Android Malware Detection}, journal = {{IEEE} Access}, volume = {9}, pages = {123208--123219}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3110408}, doi = {10.1109/ACCESS.2021.3110408}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCTSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaiYWLLWCLZHWKH21, author = {Hong{-}Jie Dai and Yi{-}Hsin Connie Yang and Ti{-}Hao Wang and Yan{-}Jie Lin and Pin{-}Jou Lu and Chung{-}Yang Wu and Yu{-}Cheng Chang and You{-}Qian Lee and You{-}Chen Zhang and Yuan{-}Chi Hsu and Han{-}Hsiang Wu and Cheng{-}Rong Ke and Chih{-}Jen Huang and Yu{-}Tsang Wang and Sheau{-}Fang Yang and Kuan{-}Chung Hsiao and Ko{-}Jiunn Liu and Li{-}Tzong Chen and I{-}Shou Chang and K. S. Clifford Chao and Tsang{-}Wu Liu}, title = {Cancer Registry Coding via Hybrid Neural Symbolic Systems in the Cross-Hospital Setting}, journal = {{IEEE} Access}, volume = {9}, pages = {112081--112096}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3099175}, doi = {10.1109/ACCESS.2021.3099175}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DaiYWLLWCLZHWKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WuangCCWCHWHH21, author = {Yee{-}Pay Wuang and Yenming J. Chen and Yu{-}Hsien Chiu and Chih{-}Chung Wang and Chiu{-}Ping Chen and Chien{-}Ling Huang and Tang{-}Meng Wu and Tsung{-}Han Hsieh and Wen{-}Hsien Ho}, title = {Effectiveness of Kinesthetic Game-Based Training System in Children With Visual-Perceptual Dysfunction}, journal = {{IEEE} Access}, volume = {9}, pages = {153838--153849}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3128109}, doi = {10.1109/ACCESS.2021.3128109}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WuangCCWCHWHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/TangCLWLGHH21, author = {Yuk{-}Ming Tang and Pen Chung Chen and Kris M. Y. Law and Chun{-}Ho Wu and Yui{-}yip Lau and Jieqi Guan and Dan He and George T. S. Ho}, title = {Comparative analysis of Student's live online learning readiness during the coronavirus {(COVID-19)} pandemic in the higher education sector}, journal = {Comput. Educ.}, volume = {168}, pages = {104211}, year = {2021}, url = {https://doi.org/10.1016/j.compedu.2021.104211}, doi = {10.1016/J.COMPEDU.2021.104211}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/TangCLWLGHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/LiCLYY21, author = {Chung{-}Yi Li and Yuan{-}Ho Chen and Lu{-}An Lai and Wen{-}Chi Ye and Jun Yang}, title = {Simple and hardware-efficient row-based direct-mapping estimators in fixed-width modified Booth multipliers}, journal = {Int. J. Circuit Theory Appl.}, volume = {49}, number = {4}, pages = {909--920}, year = {2021}, url = {https://doi.org/10.1002/cta.2937}, doi = {10.1002/CTA.2937}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/LiCLYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ChenHCL21, author = {Yi{-}Chung Chen and Hsi{-}Ho Huang and Sheng{-}Min Chiu and Chiang Lee}, title = {Joint Promotion Partner Recommendation Systems Using Data from Location-Based Social Networks}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {10}, number = {2}, pages = {57}, year = {2021}, url = {https://doi.org/10.3390/ijgi10020057}, doi = {10.3390/IJGI10020057}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/ChenHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/HossainGRLWW21, author = {M. Shamim Hossain and Nadra Guizani and Ammar Rayes and Victor C. M. Leung and Honggang Wang and Cheng{-}Xiang Wang}, title = {Guest Editorial: AI-Enabled Networking Technologies for Tackling Epidemic Diseases}, journal = {{IEEE} Netw.}, volume = {35}, number = {3}, pages = {12--13}, year = {2021}, url = {https://doi.org/10.1109/MNET.2021.9454555}, doi = {10.1109/MNET.2021.9454555}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/HossainGRLWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/LiuPXEFGHHJJLLL21, author = {Zhengying Liu and Adrien Pavao and Zhen Xu and Sergio Escalera and Fabio Ferreira and Isabelle Guyon and Sirui Hong and Frank Hutter and Rongrong Ji and J{\'{u}}lio C. S. Jacques J{\'{u}}nior and Ge Li and Marius Lindauer and Zhipeng Luo and Meysam Madadi and Thomas Nierhoff and Kangning Niu and Chunguang Pan and Danny Stoll and S{\'{e}}bastien Treguer and Jin Wang and Peng Wang and Chenglin Wu and Youcheng Xiong and Arber Zela and Yang Zhang}, title = {Winning Solutions and Post-Challenge Analyses of the ChaLearn AutoDL Challenge 2019}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {43}, number = {9}, pages = {3108--3125}, year = {2021}, url = {https://doi.org/10.1109/TPAMI.2021.3075372}, doi = {10.1109/TPAMI.2021.3075372}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/LiuPXEFGHHJJLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/FangWZGHZHHW21, author = {Wen{-}Pin Fang and Ding{-}Rong Wu and Zhe{-}Wen Zheng and Ganesh Gopalakrishnan and Chung{-}Ru Ho and Quanan Zheng and Chen{-}Fen Huang and Hua Ho and Min{-}Chuan Weng}, title = {Impacts of the Kuroshio Intrusion through the Luzon Strait on the Local Precipitation Anomaly}, journal = {Remote. Sens.}, volume = {13}, number = {6}, pages = {1113}, year = {2021}, url = {https://doi.org/10.3390/rs13061113}, doi = {10.3390/RS13061113}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/FangWZGHZHHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinCTHC21, author = {Jian{-}Zhi Lin and Wen{-}Yu Chiu and Wei{-}Hsun Tai and Yu{-}Xiang Hong and Chung{-}Yu Chen}, title = {Ankle Muscle Activations during Different Foot-Strike Patterns in Running}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3422}, year = {2021}, url = {https://doi.org/10.3390/s21103422}, doi = {10.3390/S21103422}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinCTHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WuCWY21, author = {Hsiao{-}Kuan Wu and Po{-}Yin Chen and Hong{-}Yi Wu and Chung{-}Huang Yu}, title = {User Local Coordinate-Based Accompanying Robot for Human Natural Movement of Daily Life}, journal = {Sensors}, volume = {21}, number = {11}, pages = {3889}, year = {2021}, url = {https://doi.org/10.3390/s21113889}, doi = {10.3390/S21113889}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WuCWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/HongWSC21, author = {Qian{-}Bei Hong and Chung{-}Hsien Wu and Ming{-}Hsiang Su and Chia{-}Cheng Chang}, title = {Exploring Macroscopic and Microscopic Fluctuations of Elicited Facial Expressions for Mood Disorder Classification}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {12}, number = {4}, pages = {989--1001}, year = {2021}, url = {https://doi.org/10.1109/TAFFC.2019.2909873}, doi = {10.1109/TAFFC.2019.2909873}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/HongWSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeePHKKGLC21, author = {Daeyeal Lee and Dongwon Park and Chia{-}Tung Ho and Ilgweon Kang and Hayoung Kim and Sicun Gao and Bill Lin and Chung{-}Kuan Cheng}, title = {SP{\&}R: SMT-Based Simultaneous Place-and-Route for Standard Cell Synthesis of Advanced Nodes}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {10}, pages = {2142--2155}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3037885}, doi = {10.1109/TCAD.2020.3037885}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LeePHKKGLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/HassanHCL21, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Statistical-QoS Guarantee for IoT Network Driven by Laser-Powered {UAV} Relay and {RF} Backscatter Communications}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {5}, number = {1}, pages = {406--425}, year = {2021}, url = {https://doi.org/10.1109/TGCN.2020.3025951}, doi = {10.1109/TGCN.2020.3025951}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/HassanHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/HassanHCL21a, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Device-Clustering and Rate-Splitting Enabled Device-to-Device Cooperation Framework in Fog Radio Access Network}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {5}, number = {3}, pages = {1482--1501}, year = {2021}, url = {https://doi.org/10.1109/TGCN.2021.3079369}, doi = {10.1109/TGCN.2021.3079369}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/HassanHCL21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/LiHLCLS21, author = {Jianqiang Li and Shuqing Hu and Qinjian Li and Jie Chen and Victor C. M. Leung and Houbing Song}, title = {Global Visual and Semantic Observations for Outdoor Robot Localization}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {8}, number = {4}, pages = {2909--2921}, year = {2021}, url = {https://doi.org/10.1109/TNSE.2020.3045263}, doi = {10.1109/TNSE.2020.3045263}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/LiHLCLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/LiuSCNYCC21, author = {Jinwei Liu and Haiying Shen and Hongmei Chi and Husnu S. Narman and Yongyi Yang and Long Cheng and Wingyan Chung}, title = {A Low-Cost Multi-Failure Resilient Replication Scheme for High-Data Availability in Cloud Storage}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {29}, number = {4}, pages = {1436--1451}, year = {2021}, url = {https://doi.org/10.1109/TNET.2020.3027814}, doi = {10.1109/TNET.2020.3027814}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/LiuSCNYCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChengHLLP21, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Daeyeal Lee and Bill Lin and Dongwon Park}, title = {Complementary-FET {(CFET)} Standard Cell Synthesis Framework for Design and System Technology Co-Optimization Using {SMT}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {6}, pages = {1178--1191}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3065639}, doi = {10.1109/TVLSI.2021.3065639}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChengHLLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HassanHCL21, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Joint Throughput-Power Optimization of Fog-RAN Using Rate-Splitting Multiple Access and Reinforcement-Learning Based User Clustering}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {70}, number = {8}, pages = {8019--8036}, year = {2021}, url = {https://doi.org/10.1109/TVT.2021.3090083}, doi = {10.1109/TVT.2021.3090083}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/HassanHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/HassanHCL21, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Energy-Spectrum Efficient Content Distribution in Fog-RAN Using Rate-Splitting, Common Message Decoding, and 3D-Resource Matching}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {20}, number = {8}, pages = {4929--4946}, year = {2021}, url = {https://doi.org/10.1109/TWC.2021.3063283}, doi = {10.1109/TWC.2021.3063283}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/HassanHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinMWHC21, author = {Ting{-}Chou Lin and Devon J. Merrill and Yen{-}Yi Wu and Chester Holtz and Chung{-}Kuan Cheng}, title = {A Unified Printed Circuit Board Routing Algorithm With Complicated Constraints and Differential Pairs}, booktitle = {{ASPDAC} '21: 26th Asia and South Pacific Design Automation Conference, Tokyo, Japan, January 18-21, 2021}, pages = {170--175}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3394885.3431568}, doi = {10.1145/3394885.3431568}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LinMWHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LinTSLCSLCWH21, author = {Chih{-}Sheng Lin and Fu{-}Cheng Tsai and Jian{-}Wei Su and Sih{-}Han Li and Tian{-}Sheuan Chang and Shyh{-}Shyuan Sheu and Wei{-}Chung Lo and Shih{-}Chieh Chang and Chih{-}I Wu and Tuo{-}Hung Hou}, title = {A 48 {TOPS} and 20943 {TOPS/W} 512kb Computation-in-SRAM Macro for Highly Reconfigurable Ternary {CNN} Acceleration}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634797}, doi = {10.1109/A-SSCC53895.2021.9634797}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LinTSLCSLCWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoon/KoCCHL21, author = {Sheng{-}Yen Ko and Ho{-}Lin Chen and Siu{-}Wing Cheng and Wing{-}Kai Hon and Chung{-}Shou Liao}, editor = {Chi{-}Yeh Chen and Wing{-}Kai Hon and Ling{-}Ju Hung and Chia{-}Wei Lee}, title = {General Max-Min Fair Allocation}, booktitle = {Computing and Combinatorics - 27th International Conference, {COCOON} 2021, Tainan, Taiwan, October 24-26, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13025}, pages = {63--75}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89543-3\_6}, doi = {10.1007/978-3-030-89543-3\_6}, timestamp = {Fri, 22 Oct 2021 15:23:41 +0200}, biburl = {https://dblp.org/rec/conf/cocoon/KoCCHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenHCHLK21, author = {Hsin{-}Yang Chen and Yi{-}Peng Hsu and Wei{-}Te Chang and Ji{-}Lun Ho and Yi{-}Fang Lee and Wen{-}Chung Kao}, title = {Adaptive Color Calibration for Digital Cameras}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602916}, doi = {10.1109/ICCE-TW52618.2021.9602916}, timestamp = {Tue, 23 Nov 2021 09:27:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenHCHLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HsiWL21, author = {Wei{-}Chen Hsi and Chung{-}Hao Wu and Henry Horng{-}Shing Lu}, title = {Online Learning for Network Traffic Data Classification}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602977}, doi = {10.1109/ICCE-TW52618.2021.9602977}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HsiWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenRGHYK21, author = {Hong{-}Shuo Chen and Mozhdeh Rouhsedaghat and Hamza Ghani and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {DefakeHop: {A} Light-Weight High-Performance Deepfake Detector}, booktitle = {2021 {IEEE} International Conference on Multimedia and Expo, {ICME} 2021, Shenzhen, China, July 5-9, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICME51207.2021.9428361}, doi = {10.1109/ICME51207.2021.9428361}, timestamp = {Thu, 03 Feb 2022 12:45:49 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChenRGHYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChenC0PS21, author = {Yaohui Chen and Hoam Chung and Bernard Chen and Ho Yi Ping and Yonghang Sun}, title = {Pneumatic actuation-based bidirectional modules with variable stiffness and closed-loop position control}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {6797--6803}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561576}, doi = {10.1109/ICRA48506.2021.9561576}, timestamp = {Fri, 22 Oct 2021 19:54:31 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChenC0PS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/AlhasanCH21, author = {Hasan Alhasan and Yun{-}Chih Chen and Chien{-}Chung Ho}, title = {{RVO:} Unleashing SSD's Parallelism by Harnessing the Unused Power}, booktitle = {{IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2021, Boston, MA, USA, July 26-28, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISLPED52811.2021.9502496}, doi = {10.1109/ISLPED52811.2021.9502496}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/AlhasanCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenLNMMWGHRYMJ21, author = {HsinChen Chen and Rolf Lagerquist and Ashish Nayak and Hugh Mair and Gokulakrishnan Manoharan and Ericbill Wang and Gordon Gammie and Efron Ho and Anand Rajagopalan and Lee{-}Kee Yong and Ramu Madhavaram and Madhur Jagota and Chi{-}Jui Chung and Sudhakar Maruthi and Jenny Wiedemeier and Tao Chen and Henry Hsieh and Daniel Dia and Amjad Sikiligiri and Manzur Rahman and Barry Chen and Curtis Lin and Vincent Lin and Elly Chiang and Cheng{-}Yuh Wu and Po{-}Yang Hsu and Jason Tsai and Wade Wu and Achuta Thippana and S. A. Huang}, title = {A 7nm 5G Mobile SoC Featuring a 3.0GHz Tri-Gear Application Processor Subsystem}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {54--56}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365774}, doi = {10.1109/ISSCC42613.2021.9365774}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChenLNMMWGHRYMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/ChiuCLLYLL21, author = {Sheng{-}Min Chiu and Yi{-}Chung Chen and Yow{-}Shin Liou and Chiang Lee and Jia{-}Ching Ying and Chee{-}Hoe Loh and Jou{-}Wei Lin}, title = {A Fast, Interactive, Location-Based Food Recommendation Application}, booktitle = {{MISNC} 2021: The 8th Multidisciplinary International Social Networks Conference, Bergen, Norway, November 15 - 17, 2021}, pages = {21--25}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3504006.3504010}, doi = {10.1145/3504006.3504010}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/misnc/ChiuCLLYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/HoWHJL21, author = {Chien{-}Chung Ho and Wei{-}Chen Wang and Te{-}Hao Hsu and Zhi{-}Duan Jiang and Yung{-}Chun Li}, title = {Approximate Programming Design for Enhancing Energy, Endurance and Performance of Neural Network Training on NVM-based Systems}, booktitle = {10th {IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2021, Beijing, China, August 18-20, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/NVMSA53655.2021.9628582}, doi = {10.1109/NVMSA53655.2021.9628582}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/HoWHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/Huang0WCC21, author = {Sin{-}Yuan Huang and Chih{-}Yu Wang and Szu{-}Liang Wang and Wei{-}Chong Chen and Wei{-}Ho Chung}, title = {Full-Duplex Double Relay Secure Communication}, booktitle = {32nd {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2021, Helsinki, Finland, September 13-16, 2021}, pages = {1475--1480}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PIMRC50174.2021.9569559}, doi = {10.1109/PIMRC50174.2021.9569559}, timestamp = {Fri, 29 Oct 2021 13:56:19 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/Huang0WCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChenKWF21, author = {Yilan Chen and Kin Chung Kwan and Li{-}Yi Wei and Hongbo Fu}, editor = {Shuzo John Shiota and Ayumi Kimura and Wan{-}Chun Alex Ma}, title = {Autocomplete Repetitive Stroking with Image Guidance}, booktitle = {{SA} '21: {SIGGRAPH} Asia 2021 Technical Communications, Tokyo, Japan, December 14 - 17, 2021}, pages = {3:1--3:4}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3478512.3488595}, doi = {10.1145/3478512.3488595}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/ChenKWF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/ChengHHL21, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Chester Holtz and Bill Lin}, title = {Design and System Technology Co-Optimization Sensitivity Prediction for {VLSI} Technology Development using Machine Learning}, booktitle = {{ACM/IEEE} International Workshop on System Level Interconnect Prediction, {SLIP} 2021, Munich, Germany, November 4, 2021}, pages = {8--15}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SLIP52707.2021.00009}, doi = {10.1109/SLIP52707.2021.00009}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slip/ChengHHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-06929, author = {Hong{-}Shuo Chen and Mozhdeh Rouhsedaghat and Hamza Ghani and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {DefakeHop: {A} Light-Weight High-Performance Deepfake Detector}, journal = {CoRR}, volume = {abs/2103.06929}, year = {2021}, url = {https://arxiv.org/abs/2103.06929}, eprinttype = {arXiv}, eprint = {2103.06929}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-06929.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07367, author = {Kuan{-}Yun Lai and Ya{-}Chun Liang and Ho{-}Lin Chen and Kazuo Iwama and Chung{-}Shou Liao}, title = {Randomized Scheduling for the Online Car-sharing Problem}, journal = {CoRR}, volume = {abs/2103.07367}, year = {2021}, url = {https://arxiv.org/abs/2103.07367}, eprinttype = {arXiv}, eprint = {2103.07367}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07367.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-05940, author = {Kaitai Zhang and Bin Wang and Hong{-}Shuo Chen and Ye Wang and Shiyu Mou and C.{-}C. Jay Kuo}, title = {Dynamic Texture Synthesis by Incorporating Long-range Spatial and Temporal Correlations}, journal = {CoRR}, volume = {abs/2104.05940}, year = {2021}, url = {https://arxiv.org/abs/2104.05940}, eprinttype = {arXiv}, eprint = {2104.05940}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-05940.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-12747, author = {Zhiyuan Chen and Howe Seng Goh and Kai Ling Sin and Kelly Lim and Nicole Ka Hei Chung and Xin Yu Liew}, title = {Automated Agriculture Commodity Price Prediction System with Machine Learning Techniques}, journal = {CoRR}, volume = {abs/2106.12747}, year = {2021}, url = {https://arxiv.org/abs/2106.12747}, eprinttype = {arXiv}, eprint = {2106.12747}, timestamp = {Tue, 19 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-12747.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-07115, author = {Yilan Chen and Kin Chung Kwan and Li{-}Yi Wei and Hongbo Fu}, title = {Autocomplete Repetitive Stroking with Image Guidance}, journal = {CoRR}, volume = {abs/2108.07115}, year = {2021}, url = {https://arxiv.org/abs/2108.07115}, eprinttype = {arXiv}, eprint = {2108.07115}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-07115.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-09795, author = {Hong{-}Shuo Chen and Kaitai Zhang and Shuowen Hu and Suya You and C.{-}C. Jay Kuo}, title = {Geo-DefakeHop: High-Performance Geographic Fake Image Detection}, journal = {CoRR}, volume = {abs/2110.09795}, year = {2021}, url = {https://arxiv.org/abs/2110.09795}, eprinttype = {arXiv}, eprint = {2110.09795}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-09795.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-04012, author = {Yao Zhu and Xinyu Wang and Hong{-}Shuo Chen and Ronald Salloum and C.{-}C. Jay Kuo}, title = {A-PixelHop: {A} Green, Robust and Explainable Fake-Image Detector}, journal = {CoRR}, volume = {abs/2111.04012}, year = {2021}, url = {https://arxiv.org/abs/2111.04012}, eprinttype = {arXiv}, eprint = {2111.04012}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-04012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenTTTHXK20, author = {Lung{-}Chien Chen and Ching{-}Ho Tien and Po{-}Wei Tseng and Zong{-}Liang Tseng and Wei{-}Lun Huang and You{-}Xun Xu and Hao{-}Chung Kuo}, title = {Effect of Washing Solvents on the Properties of Air-Synthesized Perovskite CsPbBr\({}_{\mbox{3}}\) Quantum Dots for Quantum Dot-Based Light-Emitting Devices}, journal = {{IEEE} Access}, volume = {8}, pages = {159415--159423}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020594}, doi = {10.1109/ACCESS.2020.3020594}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenTTTHXK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChungCY20, author = {Kuo{-}Liang Chung and Jen{-}Shun Cheng and Hong{-}Bin Yang}, title = {Effective Chroma Subsampling and Luma Modification for {RGB} Full-Color Images Using the Multiple Linear Regression Technique}, journal = {{IEEE} Access}, volume = {8}, pages = {118315--118323}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2999910}, doi = {10.1109/ACCESS.2020.2999910}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChungCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/FanXLLYL20, author = {Chunguang Fan and Zongwu Xie and Yiwei Liu and Chongyang Li and Chenglong Yu and Hong Liu}, title = {Manipulator Trajectory Tracking of Fuzzy Control Based on Spatial Extended State Observer}, journal = {{IEEE} Access}, volume = {8}, pages = {24296--24308}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2970493}, doi = {10.1109/ACCESS.2020.2970493}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/FanXLLYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongLLLYMLHGCW20, author = {Jia{-}Sheng Hong and Chung{-}Jung Lin and Yue{-}Hsin Lin and Cheng{-}Chia Lee and Huai{-}Che Yang and Ling{-}Hsuan Meng and Te{-}Ming Lin and Yong{-}Sin Hu and Wan{-}Yuo Guo and Wei{-}Fa Chu and Yu{-}Te Wu}, title = {Machine Learning Application With Quantitative Digital Subtraction Angiography for Detection of Hemorrhagic Brain Arteriovenous Malformations}, journal = {{IEEE} Access}, volume = {8}, pages = {204573--204584}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3036692}, doi = {10.1109/ACCESS.2020.3036692}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HongLLLYMLHGCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/WuTCC20, author = {Mu{-}En Wu and Hui{-}Huang Tsai and Wei{-}Ho Chung and Chien{-}Ming Chen}, title = {Analysis of Kelly betting on finite repeated games}, journal = {Appl. Math. Comput.}, volume = {373}, pages = {125028}, year = {2020}, url = {https://doi.org/10.1016/j.amc.2019.125028}, doi = {10.1016/J.AMC.2019.125028}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/WuTCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/ChenCG20, author = {Yue Chen and Sai{-}Ho Chung and Shu Guo}, title = {Franchising contracts in fashion supply chain operations: models, practices, and real case study}, journal = {Ann. Oper. Res.}, volume = {291}, number = {1}, pages = {83--128}, year = {2020}, url = {https://doi.org/10.1007/s10479-018-2998-5}, doi = {10.1007/S10479-018-2998-5}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/ChenCG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LinWLCCH20, author = {Hao{-}Chiang Koong Lin and Tao{-}Hua Wang and Guo{-}Chung Lin and Shu{-}Chen Cheng and Hong{-}Ren Chen and Yueh{-}Min Huang}, title = {Applying sentiment analysis to automatically classify consumer comments concerning marketing 4Cs aspects}, journal = {Appl. Soft Comput.}, volume = {97}, number = {Part}, pages = {106755}, year = {2020}, url = {https://doi.org/10.1016/j.asoc.2020.106755}, doi = {10.1016/J.ASOC.2020.106755}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/LinWLCCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/PhanABCDGGHKLLM20, author = {Raphael C.{-}W. Phan and Masayuki Abe and Lynn Batten and Jung Hee Cheon and Ed Dawson and Steven D. Galbraith and Jian Guo and Lucas C. K. Hui and Kwangjo Kim and Xuejia Lai and Dong Hoon Lee and Mitsuru Matsui and Tsutomu Matsumoto and Shiho Moriai and Phong Q. Nguyen and Dingyi Pei and Duong Hieu Phan and Josef Pieprzyk and Huaxiong Wang and Hank Wolfe and Duncan S. Wong and Tzong{-}Chen Wu and Bo{-}Yin Yang and Siu{-}Ming Yiu and Yu Yu and Jianying Zhou}, title = {Advances in security research in the Asiacrypt region}, journal = {Commun. {ACM}}, volume = {63}, number = {4}, pages = {76--81}, year = {2020}, url = {https://doi.org/10.1145/3378428}, doi = {10.1145/3378428}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/PhanABCDGGHKLLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/HuangCLLLSLCWCC20, author = {Po{-}Jung Huang and Jui{-}Huan Chang and Hou{-}Hsien Lin and Yu{-}Xuan Li and Chi{-}Ching Lee and Chung{-}Tsai Su and Yun{-}Lung Li and Ming{-}Tai Chang and Sid Weng and Wei{-}Hung Cheng and Cheng{-}Hsun Chiu and Petrus Tang}, title = {DeepVariant-on-Spark: Small-Scale Genome Analysis Using a Cloud-Based Computing Framework}, journal = {Comput. Math. Methods Medicine}, volume = {2020}, pages = {7231205:1--7231205:7}, year = {2020}, url = {https://doi.org/10.1155/2020/7231205}, doi = {10.1155/2020/7231205}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmmm/HuangCLLLSLCWCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcis/HungTHW20, author = {Wei{-}Hsi Hung and Chih{-}Lang Tseng and Chin{-}Fu Ho and Chung{-}Cheng Wu}, title = {How Social Impact Affects Smartphone Brand Loyalty}, journal = {J. Comput. Inf. Syst.}, volume = {60}, number = {5}, pages = {448--458}, year = {2020}, url = {https://doi.org/10.1080/08874417.2018.1529514}, doi = {10.1080/08874417.2018.1529514}, timestamp = {Mon, 05 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcis/HungTHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/WongNCGY20, author = {Hsueh Chung Wong and Eng Yeong Ng and Lai Hoong Cheng and Shawn Gun and Kin Sam Yen}, title = {Classification of inhomogeneous eggshell-mottling patterns using a pretrained convolutional neural network}, journal = {J. Electronic Imaging}, volume = {29}, number = {1}, pages = {013013}, year = {2020}, url = {https://doi.org/10.1117/1.JEI.29.1.013013}, doi = {10.1117/1.JEI.29.1.013013}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/WongNCGY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrnal/SongHCH20, author = {Chau{-}Chung Song and Yu{-}Wei Ho and Yu{-}Kai Chen and Chung{-}Wen Hung}, title = {Design and Application of Intelligent Networking Integration System with CANopen Protocol for Tool Machine Production Line}, journal = {J. Robotics Netw. Artif. Life}, volume = {7}, number = {1}, pages = {1--6}, year = {2020}, url = {https://doi.org/10.2991/jrnal.k.200512.001}, doi = {10.2991/JRNAL.K.200512.001}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jrnal/SongHCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/WangHZYLZWZZWYZ20, author = {Jianhua Wang and Dandan Huang and Yao Zhou and Hongcheng Yao and Huanhuan Liu and Sinan Zhai and Chengwei Wu and Zhanye Zheng and Ke Zhao and Zhao Wang and Xianfu Yi and Shijie Zhang and Xiaorong Liu and Zipeng Liu and Kexin Chen and Ying Yu and Pak Chung Sham and Mulin Jun Li}, title = {CAUSALdb: a database for disease/trait causal variants identified using summary statistics of genome-wide association studies}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D807--D816}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz1026}, doi = {10.1093/NAR/GKZ1026}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/WangHZYLZWZZWYZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/CollinsBKZAFKLG20, author = {Ryan L. Collins and Harrison Brand and Konrad J. Karczewski and Xuefang Zhao and Jessica Alf{\"{o}}ldi and Laurent C. Francioli and Amit V. Khera and Chelsea Lowther and Laura D. Gauthier and Harold Wang and Nicholas A. Watts and Matthew Solomonson and Alexander Baumann and Ruchi Munshi and Mark Walker and Christopher W. Whelan and Yongqing Huang and Ted Brookings and Ted Sharpe and Matthew R. Stone and Elise Valkanas and Jack Fu and Grace Tiao and Kristen M. Laricchia and Valent{\'{\i}}n Ruano{-}Rubio and Christine Stevens and Namrata Gupta and Caroline Cusick and Lauren Margolin and Irina M. Armean and Eric Banks and Louis Bergelson and Kristian Cibulskis and Kristen M. Connolly and Miguel Covarrubias and Beryl B. Cummings and Mark J. Daly and Stacey Donnelly and Yossi Farjoun and Steven Ferriera and Stacey Gabriel and Jeff Gentry and Thibault Jeandet and Diane Kaplan and Christopher Llanwarne and Eric V. Minikel and Benjamin M. Neale and Sam Novod and Anne H. O'Donnell{-}Luria and Nikelle Petrillo and Timothy Poterba and David Roazen and Andrea Saltzman and Kaitlin E. Samocha and Molly Schleicher and Cotton Seed and Jos{\'{e}} Soto and Kathleen Tibbetts and Charlotte Tolonen and Christopher Vittal and Gordon Wade and Arcturus Wang and Qingbo Wang and James S. Ware and Ben Weisburd and Nicola Whiffin and Carlos A. Aguilar Salinas and Tariq Ahmad and Christine M. Albert and Diego Ardissino and Gil Atzmon and John Barnard and Laurent Beaugerie and Emelia J. Benjamin and Michael Boehnke and Lori L. Bonnycastle and Erwin P. Bottinger and Donald W. Bowden and Matthew J. Bown and John C. Chambers and Juliana C. Chan and Daniel Chasman and Judy Cho and Mina K. Chung and Bruce Cohen and Adolfo Correa and Dana Dabelea and Dawood Darbar and Ravindranath Duggirala and Jos{\'{e}}e Dupuis and Patrick T. Ellinor and Roberto Elosua and Jeanette Erdmann and T{\~{o}}nu Esko and Martti F{\"{a}}rkkil{\"{a}} and Jose Florez and Andre Franke and Gad Getz and Benjamin Glaser and Stephen J. Glatt and David Goldstein and Clicerio Gonzalez and Leif Groop and Christopher A. Haiman and Craig Hanis and Matthew Harms and Mikko Hiltunen and Matti M. Holi and Christina M. Hultman and Mikko Kallela and Jaakko Kaprio and Sekar Kathiresan and Bong{-}Jo Kim and Young Jin Kim and George Kirov and Jaspal Kooner and Seppo Koskinen and Harlan M. Krumholz and Subra Kugathasan and Soo Heon Kwak and Markku Laakso and Terho Lehtim{\"{a}}ki and Ruth J. F. Loos and Steven A. Lubitz and Ronald C. W. Ma and Daniel G. MacArthur and Jaume Marrugat and Kari M. Mattila and Steven A. McCarroll and Mark I. McCarthy and Dermot McGovern and Ruth McPherson and James B. Meigs and Olle Melander and Andres Metspalu and Peter M. Nilsson and Michael C. O'Donovan and Dost {\"{O}}ng{\"{u}}r and Lorena Orozco and Michael J. Owen and Colin N. A. Palmer and Aarno Palotie and Kyong Soo Park and Carlos Pato and Ann E. Pulver and Nazneen Rahman and Anne M. Remes and John D. Rioux and Samuli Ripatti and Dan M. Roden and Danish Saleheen and Veikko Salomaa and Nilesh J. Samani and Jeremiah Scharf and Heribert Schunkert and Moore B. Shoemaker and Pamela Sklar and Hilkka Soininen and Harry Sokol and Tim Spector and Patrick F. Sullivan and Jaana Suvisaari and E. Shyong Tai and Yik Ying Teo and Tuomi Tiinamaija and Ming Tsuang and Dan Turner and Teresa Tusie{-}Luna and Erkki Vartiainen and Hugh Watkins and Rinse K. Weersma and Maija Wessman and James G. Wilson and Ramnik J. Xavier and Kent D. Taylor and Henry J. Lin and Stephen S. Rich and Wendy S. Post and Yii{-}Der Ida Chen and Jerome I. Rotter and Chad Nusbaum and Anthony A. Philippakis and Eric S. Lander and Michael E. Talkowski}, title = {A structural variation reference for medical and population genetics}, journal = {Nat.}, volume = {581}, number = {7809}, pages = {444--451}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2287-8}, doi = {10.1038/S41586-020-2287-8}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/CollinsBKZAFKLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/ChenCCB20, author = {Yaohui Chen and Hoam Chung and Bernard Chen and Baoyinjiya}, title = {A lobster-inspired articulated shaft for minimally invasive surgery}, journal = {Robotics Auton. Syst.}, volume = {131}, pages = {103599}, year = {2020}, url = {https://doi.org/10.1016/j.robot.2020.103599}, doi = {10.1016/J.ROBOT.2020.103599}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ras/ChenCCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenYWMHTLW20, author = {Chieh{-}Hung Chen and Ta{-}Kang Yeh and Strong Wen and Guojie Meng and Peng Han and Chi{-}Chia Tang and Jann{-}Yenq Liu and Chung{-}Ho Wang}, title = {Unique Pre-Earthquake Deformation Patterns in the Spatial Domains from {GPS} in Taiwan}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {366}, year = {2020}, url = {https://doi.org/10.3390/rs12030366}, doi = {10.3390/RS12030366}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenYWMHTLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HoeCCYWKLCP20, author = {Zheng{-}Yu Hoe and Chun{-}Chieh Chang and Jia{-}Jin Jason Chen and Chung{-}Kun Yen and Shao{-}Yu Wang and Yu{-}Hsuan Kao and Wei{-}Ming Li and Wenfan Chen and Cheng{-}Tang Pan}, title = {Enhancement of {PVDF} Sensing Characteristics by Retooling the Near-Field Direct-Write Electrospinning System}, journal = {Sensors}, volume = {20}, number = {17}, pages = {4873}, year = {2020}, url = {https://doi.org/10.3390/s20174873}, doi = {10.3390/S20174873}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HoeCCYWKLCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/HoSCK20, author = {Chung{-}Ying Ho and Kuo{-}Kai Shyu and Cheng{-}Yuan Chang and Sen M. Kuo}, title = {Efficient Narrowband Noise Cancellation System Using Adaptive Line Enhancer}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {28}, pages = {1094--1103}, year = {2020}, url = {https://doi.org/10.1109/TASLP.2020.2982578}, doi = {10.1109/TASLP.2020.2982578}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/HoSCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ParkLKHGLC20, author = {Dongwon Park and Daeyeal Lee and Ilgweon Kang and Chester Holtz and Sicun Gao and Bill Lin and Chung{-}Kuan Cheng}, title = {Grid-Based Framework for Routability Analysis and Diagnosis With Conditional Design Rules}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {12}, pages = {5097--5110}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.2977066}, doi = {10.1109/TCAD.2020.2977066}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ParkLKHGLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tccn/JiLCHLW20, author = {Baofeng Ji and Yuqi Li and Sudan Chen and Congzheng Han and Chunguo Li and Hong Wen}, title = {Secrecy Outage Analysis of {UAV} Assisted Relay and Antenna Selection for Cognitive Network Under Nakagami- {\textdollar}\{m\}{\textdollar} Channel}, journal = {{IEEE} Trans. Cogn. Commun. Netw.}, volume = {6}, number = {3}, pages = {904--914}, year = {2020}, url = {https://doi.org/10.1109/TCCN.2020.2965945}, doi = {10.1109/TCCN.2020.2965945}, timestamp = {Mon, 21 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tccn/JiLCHLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/DongHCL20, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Cross-Layer Scheduling and Beamforming in Smart-Grid Powered Cellular Networks With Heterogeneous Energy Coordination}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {5}, pages = {2711--2725}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2020.2971699}, doi = {10.1109/TCOMM.2020.2971699}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/DongHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ZhangCHLHY20, author = {Zhengming Zhang and Hongyang Chen and Meng Hua and Chunguo Li and Yongming Huang and Luxi Yang}, title = {Double Coded Caching in Ultra Dense Networks: Caching and Multicast Scheduling via Deep Reinforcement Learning}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {2}, pages = {1071--1086}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2019.2955490}, doi = {10.1109/TCOMM.2019.2955490}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ZhangCHLHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HorngLZ20, author = {Shi{-}Jinn Horng and Cheng{-}Chung Lu and Wanlei Zhou}, title = {An Identity-Based and Revocable Data-Sharing Scheme in VANETs}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {12}, pages = {15933--15946}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.3037804}, doi = {10.1109/TVT.2020.3037804}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/HorngLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/HassanHCL20, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Hybrid {RF/FSO} Backhaul Networks With Statistical-QoS-Aware Buffer-Aided Relaying}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {19}, number = {3}, pages = {1464--1483}, year = {2020}, url = {https://doi.org/10.1109/TWC.2019.2948344}, doi = {10.1109/TWC.2019.2948344}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/HassanHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/MaBWC20, author = {Chunguang Ma and Hongjun Bei and Chuner Wang and Guihua Chen}, title = {Accelerated Depreciation Tax Credit and Corporate Financialization Based on the {PSM-DID} Model}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2020}, pages = {6622900:1--6622900:10}, year = {2020}, url = {https://doi.org/10.1155/2020/6622900}, doi = {10.1155/2020/6622900}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/MaBWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-clinicalnlp/LinDZWCLHWHCLCY20, author = {Yan{-}Jie Lin and Hong{-}Jie Dai and You{-}Chen Zhang and Chung{-}Yang Wu and Yu{-}Cheng Chang and Pin{-}Jou Lu and Chih{-}Jen Huang and Yu{-}Tsang Wang and Hui{-}Min Hsieh and Kun{-}San Chao and Tsang{-}Wu Liu and I{-}Shou Chang and Yi{-}Hsin Connie Yang and Ti{-}Hao Wang and Ko{-}Jiunn Liu and Li{-}Tzong Chen and Sheau{-}Fang Yang}, editor = {Anna Rumshisky and Kirk Roberts and Steven Bethard and Tristan Naumann}, title = {Cancer Registry Information Extraction via Transfer Learning}, booktitle = {Proceedings of the 3rd Clinical Natural Language Processing Workshop, ClinicalNLP@EMNLP 2020, Online, November 19, 2020}, pages = {201--208}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.clinicalnlp-1.22}, doi = {10.18653/V1/2020.CLINICALNLP-1.22}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-clinicalnlp/LinDZWCLHWHCLCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/MaYCL20, author = {Fengbao Ma and Hongji Yang and William C. Chu and Qinyun Liu}, title = {An Intelligent Health Analysis Approach to Detecting Potential Threats with Health Data Reuse}, booktitle = {44th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2020, Madrid, Spain, July 13-17, 2020}, pages = {1546--1551}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/COMPSAC48688.2020.00-35}, doi = {10.1109/COMPSAC48688.2020.00-35}, timestamp = {Tue, 29 Sep 2020 12:30:55 +0200}, biburl = {https://dblp.org/rec/conf/compsac/MaYCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiAVTLWXQMH20, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2029--2044}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Ancuti\_NTIRE\_2020\_Challenge\_on\_NonHomogeneous\_Dehazing\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00253}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiAVTLWXQMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChengCTT20, author = {Ho Kei Cheng and Jihoon Chung and Yu{-}Wing Tai and Chi{-}Keung Tang}, title = {CascadePSP: Toward Class-Agnostic and Very High-Resolution Segmentation via Global and Local Refinement}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {8887--8896}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Cheng\_CascadePSP\_Toward\_Class-Agnostic\_and\_Very\_High-Resolution\_Segmentation\_via\_Global\_and\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00891}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ChengCTT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HuangCLYL20, author = {Adam Huang and Wen{-}Hsiang Cheng and Chung{-}Wei Lee and Chung{-}Yi Yang and Hon{-}Man Liu}, title = {Multiphase Computed Tomographic Angiography with Bone Subtraction Using 3D Multichannel Convolution Neural Networks\({}^{\mbox{*}}\)}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {1274--1277}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176844}, doi = {10.1109/EMBC44109.2020.9176844}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HuangCLYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenCHKMN20, author = {Chieh{-}Chun Chen and Ray{-}Guang Cheng and Chung{-}Yin Ho and Matthieu Kanj and Bruno Mongazon{-}Cazavet and Navid Nikaein}, title = {Prototyping of Open Source NB-IoT Network}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9322398}, doi = {10.1109/GLOBECOM42002.2020.9322398}, timestamp = {Mon, 01 Feb 2021 08:43:43 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChenCHKMN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HuangLHCC20, author = {Pin{-}Chieh Huang and Chung{-}Chih Lin and Hisang{-}Jen Hsieh and Wei{-}Chia Chen and Ho{-}Huan Chiang}, editor = {Pei{-}Luen Patrick Rau}, title = {Development of Health Care System Based on Smart Clothes}, booktitle = {Cross-Cultural Design. Applications in Health, Learning, Communication, and Creativity - 12th International Conference, {CCD} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12193}, pages = {78--88}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49913-6\_7}, doi = {10.1007/978-3-030-49913-6\_7}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/HuangLHCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengHLP20, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Daeyeal Lee and Dongwon Park}, title = {A Routability-Driven Complimentary-FET {(CFET)} Standard Cell Synthesis Framework using {SMT}}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {158:1--158:8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415611}, doi = {10.1145/3400302.3415611}, timestamp = {Mon, 18 Jan 2021 09:56:56 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChengHLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icea/ChenHCHCC20, author = {Tzer{-}Long Chen and Nan{-}Kai Hsieh and Jhih{-}Chung Chang and Ming Chen Ho and Yu Ru Chang and Po{-}Ya Chuang}, title = {The Implementation of Smart Aquarium System with Intelligent Sensors}, booktitle = {{ACM} {ICEA} '20: 2020 {ACM} International Conference on Intelligent Computing and its Emerging Applications, GangWon Republic of Korea, December 12 - 15, 2020}, pages = {2:1--2:2}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3440943.3444715}, doi = {10.1145/3440943.3444715}, timestamp = {Wed, 29 Sep 2021 09:35:11 +0200}, biburl = {https://dblp.org/rec/conf/icea/ChenHCHCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChengWLRXYQ20, author = {Yuchen Cheng and Chunghsuan Wu and Yanqiang Liu and Rui Ren and Hong Xu and Bin Yang and Zhengwei Qi}, editor = {Jos{\'{e}} Nelson Amaral and Lizy Kurian John and Xipeng Shen}, title = {{OPS:} Optimized Shuffle Management System for Apache Spark}, booktitle = {{ICPP} 2020: 49th International Conference on Parallel Processing, Edmonton, AB, Canada, August 17-20, 2020}, pages = {75:1--75:11}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3404397.3404430}, doi = {10.1145/3404397.3404430}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ChengWLRXYQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChenC0BS20, author = {Yaohui Chen and Hoam Chung and Bernard Chen and Baoyinjiya and Yonghang Sun}, title = {The Lobster-inspired Antagonistic Actuation Mechanism Towards a Bending Module}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {5061--5067}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9196624}, doi = {10.1109/ICRA40945.2020.9196624}, timestamp = {Mon, 28 Sep 2020 12:19:08 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChenC0BS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcs/HuangCLPLC20, author = {Yung{-}Fa Huang and Chien{-}Min Chung and Chuan{-}Bi Lin and Yan{-}Bo Peng and Shing{-}Hong Liu and Honda Chen}, title = {Traffic Classification of QoS Types Based on Machine Learning Combined with {IP} Query and Deep Packet Inspection}, booktitle = {14th International Conference on Signal Processing and Communication Systems, {ICSPCS} 2020, Adelaide, Australia, December 14-16, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICSPCS50536.2020.9310061}, doi = {10.1109/ICSPCS50536.2020.9310061}, timestamp = {Fri, 15 Jan 2021 09:21:24 +0100}, biburl = {https://dblp.org/rec/conf/icspcs/HuangCLPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsouCHWC20, author = {Tsung{-}Han Tsou and Dun{-}Jie Chen and Sheng{-}Yang Hung and Yu{-}Hsiang Wang and Chung{-}Ho Chen}, title = {Optimization of Stride Prefetching Mechanism and Dependent Warp Scheduling on {GPGPU}}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180866}, doi = {10.1109/ISCAS45731.2020.9180866}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/TsouCHWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/PaiHCLWL20, author = {Hung{-}Yu Pai and Kun{-}Che Ho and Guan{-}Jhu Chen and Po{-}Han Liao and Shun{-}Chung Wang and Yi{-}Hua Liu}, title = {An SOC-based Active Equalizer for Fast Charge Balance of Series-Connected Battery Pack}, booktitle = {29th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2020, Delft, The Netherlands, June 17-19, 2020}, pages = {655--659}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISIE45063.2020.9152488}, doi = {10.1109/ISIE45063.2020.9152488}, timestamp = {Thu, 06 Aug 2020 10:33:09 +0200}, biburl = {https://dblp.org/rec/conf/isie/PaiHCLWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SuSCCHTLLLWZJHL20, author = {Jian{-}Wei Su and Xin Si and Yen{-}Chi Chou and Ting{-}Wei Chang and Wei{-}Hsing Huang and Yung{-}Ning Tu and Ruhui Liu and Pei{-}Jung Lu and Ta{-}Wei Liu and Jing{-}Hong Wang and Zhixiao Zhang and Hongwu Jiang and Shanshi Huang and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Kea{-}Tiong Tang and Shyh{-}Shyuan Sheu and Sih{-}Han Li and Heng{-}Yuan Lee and Shih{-}Chieh Chang and Shimeng Yu and Meng{-}Fan Chang}, title = {15.2 {A} 28nm 64Kb Inference-Training Two-Way Transpose Multibit 6T {SRAM} Compute-in-Memory Macro for {AI} Edge Chips}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {240--242}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062949}, doi = {10.1109/ISSCC19947.2020.9062949}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SuSCCHTLLLWZJHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qrs/ShihLHC20, author = {Chihhsiong Shih and Quanen Lai and Huanran Ho and William Cheng{-}Chung Chu}, title = {Smart Feeder Robot for Severely Disabled Patients}, booktitle = {20th {IEEE} International Conference on Software Quality, Reliability and Security Companion, {QRS} Companion 2020, Macau, China, December 11-14, 2020}, pages = {603--608}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/QRS-C51114.2020.00103}, doi = {10.1109/QRS-C51114.2020.00103}, timestamp = {Tue, 26 Jan 2021 17:41:14 +0100}, biburl = {https://dblp.org/rec/conf/qrs/ShihLHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcse/LoBMS0PN20, author = {Dan Chia{-}Tien Lo and Ruth Bearden and Deepa Muralidhar and Hossain Shahriar and Wei Chen and Pascal Paschos and Chung Ng}, editor = {Jian Zhang and Mark Sherriff and Sarah Heckman and Pamela A. Cutter and Alvaro E. Monge}, title = {A Hands-On Lab for Macro Malware Detection using Machine Learning on Virtual Machines}, booktitle = {Proceedings of the 51st {ACM} Technical Symposium on Computer Science Education, {SIGCSE} 2020, Portland, OR, USA, March 11-14, 2020}, pages = {1275}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3328778.3372576}, doi = {10.1145/3328778.3372576}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcse/LoBMS0PN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smm4h/WangDZXWXCL20, author = {Chen{-}Kai Wang and Hong{-}Jie Dai and You{-}Chen Zhang and Bo{-}Chun Xu and Bo{-}Hong Wang and You{-}Ning Xu and Po{-}Hao Chen and Chung{-}Hong Lee}, editor = {Graciela Gonzalez{-}Hernandez and Ari Z. Klein and Ivan Flores and Davy Weissenbacher and Arjun Magge and Karen O'Connor and Abeed Sarker and Anne{-}Lyse Minard and Elena Tutubalina and Zulfat Miftahutdinov and Ilseyar Alimova}, title = {ISLab System for {SMM4H} Shared Task 2020}, booktitle = {Proceedings of the Fifth Social Media Mining for Health Applications Workshop {\&} Shared Task, SMM4H@COLING 2020, Barcelona, Spain (Online), December 12, 2020}, pages = {42--45}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.smm4h-1.6}, timestamp = {Mon, 22 Apr 2024 17:02:59 +0200}, biburl = {https://dblp.org/rec/conf/smm4h/WangDZXWXCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/FuFCKLHHLHY20, author = {Hao{-}Lun Fu and Po{-}Hsiang Fang and Chan{-}Yu Chi and Chung{-}ting Kuo and Meng{-}Hsuan Liu and Howard Muchen Hsu and Cheng{-}Hsun Hsieh and Sheng{-}Fu Liang and Shulan Hsieh and Cheng{-}Ta Yang}, title = {Application of Brain-Computer Interface and Virtual Reality in Advancing Cultural Experience}, booktitle = {2020 {IEEE} International Conference on Visual Communications and Image Processing, {VCIP} 2020, Macau, China, December 1-4, 2020}, pages = {351--354}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VCIP49819.2020.9301801}, doi = {10.1109/VCIP49819.2020.9301801}, timestamp = {Wed, 27 Jan 2021 14:35:05 +0100}, biburl = {https://dblp.org/rec/conf/vcip/FuFCKLHHLHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-10888, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Cross-Layer Scheduling and Beamforming in Smart-Grid Powered Cellular Networks With Heterogeneous Energy Coordination}, journal = {CoRR}, volume = {abs/2001.10888}, year = {2020}, url = {https://arxiv.org/abs/2001.10888}, eprinttype = {arXiv}, eprint = {2001.10888}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-10888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-02551, author = {Ho Kei Cheng and Jihoon Chung and Yu{-}Wing Tai and Chi{-}Keung Tang}, title = {CascadePSP: Toward Class-Agnostic and Very High-Resolution Segmentation via Global and Local Refinement}, journal = {CoRR}, volume = {abs/2005.02551}, year = {2020}, url = {https://arxiv.org/abs/2005.02551}, eprinttype = {arXiv}, eprint = {2005.02551}, timestamp = {Sat, 09 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-02551.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03457, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, journal = {CoRR}, volume = {abs/2005.03457}, year = {2020}, url = {https://arxiv.org/abs/2005.03457}, eprinttype = {arXiv}, eprint = {2005.03457}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03457.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-02729, author = {Chieh{-}Chun Chen and Ray{-}Guang Cheng and Chung{-}Yin Ho and Matthieu Kanj and Bruno Mongazon{-}Cazavet and Navid Nikaein}, title = {Prototyping of Open Source NB-IoT Network}, journal = {CoRR}, volume = {abs/2006.02729}, year = {2020}, url = {https://arxiv.org/abs/2006.02729}, eprinttype = {arXiv}, eprint = {2006.02729}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-02729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-09992, author = {Yanjie Dong and Georgios B. Giannakis and Tianyi Chen and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {Communication-Efficient Robust Federated Learning Over Heterogeneous Datasets}, journal = {CoRR}, volume = {abs/2006.09992}, year = {2020}, url = {https://arxiv.org/abs/2006.09992}, eprinttype = {arXiv}, eprint = {2006.09992}, timestamp = {Tue, 23 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-09992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-16611, author = {Yu{-}Chen Ho and Yi{-}Hsuan Chen and Shen{-}Hua Hung and Chien{-}Hao Huang and Poga Po and Chung{-}Hsi Chan and Di{-}Kai Yang and Yi{-}Chin Tu and Tyng{-}Luh Liu and Chi{-}Tai Fang}, title = {Social Distancing 2.0 with Privacy-Preserving Contact Tracing to Avoid a Second Wave of {COVID-19}}, journal = {CoRR}, volume = {abs/2006.16611}, year = {2020}, url = {https://arxiv.org/abs/2006.16611}, eprinttype = {arXiv}, eprint = {2006.16611}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-16611.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-03827, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Decentralized Content Dissemination in Fog Radio Access Network Using Unsupervised Learning Empowered Rate-Splitting Framework}, journal = {CoRR}, volume = {abs/2007.03827}, year = {2020}, url = {https://arxiv.org/abs/2007.03827}, eprinttype = {arXiv}, eprint = {2007.03827}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-03827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-05910, author = {Chung Hoon Hong and Yuan Liang and Sagnik Sinha Roy and Arushi Jain and Vihang Agarwal and Ryan Draves and Zhizhuo Zhou and William Chen and Yujian Liu and Martha Miracky and Lily Ge and Nikola Banovic and David Jurgens}, title = {Audrey: {A} Personalized Open-Domain Conversational Bot}, journal = {CoRR}, volume = {abs/2011.05910}, year = {2020}, url = {https://arxiv.org/abs/2011.05910}, eprinttype = {arXiv}, eprint = {2011.05910}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-05910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChuangLTLXHH19, author = {Cheng{-}Hung Chuang and Chih{-}Yang Lin and Yuan{-}Yu Tsai and Zhen{-}You Lian and Hong{-}Xia Xie and Chih{-}Chao Hsu and Chung{-}Lin Huang}, title = {Efficient Triple Output Network for Vertebral Segmentation and Identification}, journal = {{IEEE} Access}, volume = {7}, pages = {117978--117985}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2934325}, doi = {10.1109/ACCESS.2019.2934325}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChuangLTLXHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SuUC19, author = {Yu{-}Chen Su and Yeong{-}Luh Ueng and Wei{-}Ho Chung}, title = {Automatic Seal Imprint Verification Systems Using Edge Difference}, journal = {{IEEE} Access}, volume = {7}, pages = {145302--145312}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2945045}, doi = {10.1109/ACCESS.2019.2945045}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SuUC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TsaiWSLWHW19, author = {Yi{-}Cheng Tsai and Mu{-}En Wu and Jia{-}Hao Syu and Chin{-}Laung Lei and Chung{-}Shu Wu and Jan{-}Ming Ho and Chuan{-}Ju Wang}, title = {Assessing the Profitability of Timely Opening Range Breakout on Index Futures Markets}, journal = {{IEEE} Access}, volume = {7}, pages = {32061--32071}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2899177}, doi = {10.1109/ACCESS.2019.2899177}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TsaiWSLWHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XuWTYCZS19, author = {Yan Xu and Fushuan Wen and Chung{-}Li Tseng and Zeng Yang and Minghui Chen and Hongwei Zhao and Huiyu Shang}, title = {Cooperative Control for Damping Inter-Area Oscillations Using Dynamic State Information}, journal = {{IEEE} Access}, volume = {7}, pages = {77877--77884}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2922732}, doi = {10.1109/ACCESS.2019.2922732}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/XuWTYCZS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/HeCTFC19, author = {Yiting He and Yung{-}Chung Chen and Wei Teng and Alex S. L. Fok and Hooi Pin Chew}, title = {Prosthetic Correction of Proclined Maxillary Incisors: {A} Biomechanical Analysis}, journal = {Comput. Math. Methods Medicine}, volume = {2019}, pages = {7416076:1--7416076:9}, year = {2019}, url = {https://doi.org/10.1155/2019/7416076}, doi = {10.1155/2019/7416076}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/HeCTFC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/AliLLCGC19, author = {G. G. Md. Nawaz Ali and Kai Liu and Victor C. S. Lee and Peter H. J. Chong and Yong Liang Guan and Jun Chen}, title = {Towards efficient and scalable implementation for coding-based on-demand data broadcast}, journal = {Comput. Networks}, volume = {154}, pages = {88--104}, year = {2019}, url = {https://doi.org/10.1016/j.comnet.2019.02.012}, doi = {10.1016/J.COMNET.2019.02.012}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/AliLLCGC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/AliLMCC19, author = {G. G. Md. Nawaz Ali and Victor C. S. Lee and Yuxuan Meng and Peter H. J. Chong and Jun Chen}, title = {Performance Analysis of On-Demand Scheduling with and without Network Coding in Wireless Broadcast}, journal = {Future Internet}, volume = {11}, number = {12}, pages = {248}, year = {2019}, url = {https://doi.org/10.3390/fi11120248}, doi = {10.3390/FI11120248}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fi/AliLMCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijshc/ChengCCGL19, author = {Ching{-}Hsue Cheng and Chung{-}Hsi Chen and You{-}Shyang Chen and Ho{-}Long Guo and Chien{-}Ku Lin}, title = {Exploring Taiwanese's smartphone user intention: an integrated model of technology acceptance model and information system successful model}, journal = {Int. J. Soc. Humanist. Comput.}, volume = {3}, number = {2}, pages = {97--107}, year = {2019}, url = {https://doi.org/10.1504/IJSHC.2019.101591}, doi = {10.1504/IJSHC.2019.101591}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijshc/ChengCCGL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/HoCHL19, author = {Chung{-}Han Ho and Ping{-}Teng Chang and Kuo{-}Chen Hung and Kuo{-}Ping Lin}, title = {Developing intuitionistic fuzzy seasonality regression with particle swarm optimization for air pollution forecasting}, journal = {Ind. Manag. Data Syst.}, volume = {119}, number = {3}, pages = {561--577}, year = {2019}, url = {https://doi.org/10.1108/IMDS-02-2018-0063}, doi = {10.1108/IMDS-02-2018-0063}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imds/HoCHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolis/LoAHCOSHL19, author = {Patrick Lo and Bradley Allard and Kevin K. W. Ho and Joyce Chao{-}chen Chen and Daisuke Okada and Andrew J. Stark and James Henri and Chung{-}Chin Lai}, title = {Librarians' perceptions of educational values of comic books: {A} comparative study between Hong Kong, Taiwan, Japan, Australia and New Zealand}, journal = {J. Libr. Inf. Sci.}, volume = {51}, number = {4}, year = {2019}, url = {https://doi.org/10.1177/0961000618763979}, doi = {10.1177/0961000618763979}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolis/LoAHCOSHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/DongHCL19, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Robust Energy Efficient Beamforming in {MISOME-SWIPT} Systems With Proportional Secrecy Rate}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {37}, number = {1}, pages = {202--215}, year = {2019}, url = {https://doi.org/10.1109/JSAC.2018.2872368}, doi = {10.1109/JSAC.2018.2872368}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/DongHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LaiCHLLKC19, author = {Chung{-}Liang Lai and Wei{-}Min Chi and Ying{-}Jui Ho and Chien{-}Chung Lin and Hsiao{-}Che Lin and Chin{-}Liang Kuo and Jian{-}Horng Chen}, title = {Using a numerical method to precisely evaluate the alpha angle in a hip image}, journal = {Medical Biol. Eng. Comput.}, volume = {57}, number = {7}, pages = {1525--1535}, year = {2019}, url = {https://doi.org/10.1007/s11517-019-01973-4}, doi = {10.1007/S11517-019-01973-4}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/LaiCHLLKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ChenWMLH19, author = {Min Chen and Honggang Wang and Sanjeev Mehrotra and Victor C. M. Leung and Iztok Humar}, title = {Intelligent Networks Assisted by Cognitive Computing and Machine Learning}, journal = {{IEEE} Netw.}, volume = {33}, number = {3}, pages = {6--8}, year = {2019}, url = {https://doi.org/10.1109/MNET.2019.8726065}, doi = {10.1109/MNET.2019.8726065}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ChenWMLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/DongCHL19, author = {Yanjie Dong and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {Secure Distributed On-Device Learning Networks with Byzantine Adversaries}, journal = {{IEEE} Netw.}, volume = {33}, number = {6}, pages = {180--187}, year = {2019}, url = {https://doi.org/10.1109/MNET.2019.1900025}, doi = {10.1109/MNET.2019.1900025}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/DongCHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KuoCLLCCHSYC19, author = {Chin{-}Chi Kuo and Chun{-}Min Chang and Kuan{-}Ting Liu and Wei{-}Kai Lin and Hsiu{-}Yin Chiang and Chih{-}Wei Chung and Meng{-}Ru Ho and Pei{-}Ran Sun and Rong{-}Lin Yang and Kuan{-}Ta Chen}, title = {Automation of the kidney function prediction and classification through ultrasound-based kidney imaging using deep learning}, journal = {npj Digit. Medicine}, volume = {2}, year = {2019}, url = {https://doi.org/10.1038/s41746-019-0104-2}, doi = {10.1038/S41746-019-0104-2}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KuoCLLCCHSYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiLJHWZCZ19, author = {Xiang Li and Rui Li and Chunge Ju and Bo Hou and Qi Wei and Bin Zhou and Zhiyong Chen and Rong Zhang}, title = {A Regulated Temperature-Insensitive High-Voltage Charge Pump in Standard {CMOS} Process for Micromachined Gyroscopes}, journal = {Sensors}, volume = {19}, number = {19}, pages = {4149}, year = {2019}, url = {https://doi.org/10.3390/s19194149}, doi = {10.3390/S19194149}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LiLJHWZCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HassanHCL19, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Joint {FSO} Fronthaul and Millimeter-Wave Access Link Optimization in Cloud Small Cell Networks: {A} Statistical-QoS Aware Approach}, journal = {{IEEE} Trans. Commun.}, volume = {67}, number = {6}, pages = {4208--4226}, year = {2019}, url = {https://doi.org/10.1109/TCOMM.2019.2893387}, doi = {10.1109/TCOMM.2019.2893387}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/HassanHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/WangCKHCC19, author = {Wei{-}Chen Wang and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Chien{-}Chung Ho and Yu{-}Ming Chang and Hung{-}Sheng Chang}, title = {Achieving Lossless Accuracy with Lossy Programming for Efficient Neural-Network Training on NVM-Based Systems}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5s}, pages = {68:1--68:22}, year = {2019}, url = {https://doi.org/10.1145/3358191}, doi = {10.1145/3358191}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/WangCKHCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/YeungHCCCC19, author = {Henry Wing Fung Yeung and Junhui Hou and Xiaoming Chen and Jie Chen and Zhibo Chen and Yuk Ying Chung}, title = {Light Field Spatial Super-Resolution Using Deep Efficient Spatial-Angular Separable Convolution}, journal = {{IEEE} Trans. Image Process.}, volume = {28}, number = {5}, pages = {2319--2330}, year = {2019}, url = {https://doi.org/10.1109/TIP.2018.2885236}, doi = {10.1109/TIP.2018.2885236}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/YeungHCCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZhangCHYC19, author = {Rui Zhang and Wenguang Chen and Tse{-}Chuan Hsu and Hongji Yang and Yeh{-}Ching Chung}, title = {{ANG:} a combination of Apriori and graph computing techniques for frequent itemsets mining}, journal = {J. Supercomput.}, volume = {75}, number = {2}, pages = {646--661}, year = {2019}, url = {https://doi.org/10.1007/s11227-017-2049-z}, doi = {10.1007/S11227-017-2049-Z}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ZhangCHYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/KuangCCCY19, author = {Hulin Kuang and Long Chen and Leanne Lai{-}Hang Chan and Ray C. C. Cheung and Hong Yan}, title = {Feature Selection Based on Tensor Decomposition and Object Proposal for Night-Time Multiclass Vehicle Detection}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {49}, number = {1}, pages = {71--80}, year = {2019}, url = {https://doi.org/10.1109/TSMC.2018.2872891}, doi = {10.1109/TSMC.2018.2872891}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/KuangCCCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ChenLJL19, author = {Lei Chen and Xi Li and Hong Ji and Victor C. M. Leung}, title = {Computation offloading balance in small cell networks with mobile edge computing}, journal = {Wirel. Networks}, volume = {25}, number = {7}, pages = {4133--4145}, year = {2019}, url = {https://doi.org/10.1007/s11276-018-1735-y}, doi = {10.1007/S11276-018-1735-Y}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ChenLJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dor/LiLNSLWXZBCCCDD19, author = {Wenhui Li and Anan Liu and Weizhi Nie and Dan Song and Yuqian Li and Weijie Wang and Shu Xiang and Heyu Zhou and Ngoc{-}Minh Bui and Yunchi Cen and Zenian Chen and Huy{-}Hoang Chung{-}Nguyen and Gia{-}Han Diep and Trong{-}Le Do and Eugeni L. Doubrovski and Anh Duc Duong and Jo M. P. Geraedts and Haobin Guo and Trung{-}Hieu Hoang and Yichen Li and Xing Liu and Zishun Liu and Duc{-}Tuan Luu and Yunsheng Ma and Vinh{-}Tiep Nguyen and Jie Nie and Tongwei Ren and Mai{-}Khiem Tran and Son{-}Thanh Tran{-}Nguyen and Minh{-}Triet Tran and The{-}Anh Vu{-}Le and Charlie C. L. Wang and Shijie Wang and Gangshan Wu and Caifei Yang and Meng Yuan and Hao Zhai and Ao Zhang and Fan Zhang and Sicheng Zhao}, editor = {Silvia Biasotti and Guillaume Lavou{\'{e}} and Remco C. Veltkamp}, title = {Monocular Image Based 3D Model Retrieval}, booktitle = {12th Eurographics Workshop on 3D Object Retrieval, 3DOR@Eurographics 2019, Genoa, Italy, May 5-6, 2019}, pages = {103--110}, publisher = {Eurographics Association}, year = {2019}, url = {https://doi.org/10.2312/3dor.20191068}, doi = {10.2312/3DOR.20191068}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dor/LiLNSLWXZBCCCDD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChenYHTHTTHC19, author = {Jhih{-}Jie Chen and Chingyu Yang and Peichen Ho and Ming{-}Chiao Tsai and Chia{-}Fang Ho and Kai{-}Wen Tuan and Chung{-}Ting Tsai and Wen{-}Bin Han and Jason S. Chang}, editor = {Marta R. Costa{-}juss{\`{a}} and Enrique Alfonseca}, title = {Learning to Link Grammar and Encyclopedic Information of Assist {ESL} Learners}, booktitle = {Proceedings of the 57th Conference of the Association for Computational Linguistics, {ACL} 2019, Florence, Italy, July 28 - August 2, 2019, Volume 3: System Demonstrations}, pages = {213--218}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/p19-3034}, doi = {10.18653/V1/P19-3034}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/ChenYHTHTTHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KuoCCTHLCWHS19, author = {Cheng{-}Ju Kuo and Chao{-}Chun Chen and Tzu{-}Ting Chen and Zhi{-}Jing Tsai and Min{-}Hsiung Hung and Yu{-}Chuan Lin and Yi{-}Chung Chen and Ding{-}Chau Wang and Gwo{-}Jiun Homg and Wei{-}Tsung Su}, title = {A Labor-Efficient GAN-based Model Generation Scheme for Deep-Learning Defect Inspection among Dense Beans in Coffee Industry}, booktitle = {15th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2019, Vancouver, BC, Canada, August 22-26, 2019}, pages = {263--270}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/COASE.2019.8843259}, doi = {10.1109/COASE.2019.8843259}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/KuoCCTHLCWHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedTB19, author = {Abdelrahman Abdelhamed and Radu Timofte and Michael S. Brown and Songhyun Yu and Bumjun Park and Jechang Jeong and Seung{-}Won Jung and Dong{-}Wook Kim and Jae Ryun Chung and Jiaming Liu and Yuzhi Wang and Chi{-}Hao Wu and Qin Xu and Chuan Wang and Shaofan Cai and Yifan Ding and Haoqiang Fan and Jue Wang and Kai Zhang and Wangmeng Zuo and Magauiya Zhussip and Dongwon Park and Shakarim Soltanayev and Se Young Chun and Zhiwei Xiong and Chang Chen and Muhammad Haris and Kazutoshi Akita and Tomoki Yoshida and Greg Shakhnarovich and Norimichi Ukita and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Sung{-}Jea Ko and Dong{-}Pan Lim and Seung{-}Wook Kim and Seo{-}Won Ji and Sang{-}Won Lee and Wenyi Tang and Yuchen Fan and Yuqian Zhou and Ding Liu and Thomas S. Huang and Deyu Meng and Lei Zhang and Hongwei Yong and Yiyun Zhao and Pengliang Tang and Yue Lu and Raimondo Schettini and Simone Bianco and Simone Zini and Chi Li and Yang Wang and Zhiguo Cao}, title = {{NTIRE} 2019 Challenge on Real Image Denoising: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2197--2210}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Abdelhamed\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Denoising\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00273}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/Dong0CL19, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Joint Precoding and Power Control in Small-Cell Networks with Proportional-Rate {MISO-BC} Backhaul}, booktitle = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GLOBECOM38437.2019.9014236}, doi = {10.1109/GLOBECOM38437.2019.9014236}, timestamp = {Wed, 04 Mar 2020 10:00:45 +0100}, biburl = {https://dblp.org/rec/conf/globecom/Dong0CL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HoCCL19, author = {Chung{-}Yin Ho and Ray{-}Guang Cheng and Jing{-}Wei Chen and Chang{-}Sheng Liu}, title = {Open NB-IoT Network in a {PC}}, booktitle = {2019 {IEEE} Globecom Workshops, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GCWkshps45667.2019.9024650}, doi = {10.1109/GCWKSHPS45667.2019.9024650}, timestamp = {Thu, 12 Mar 2020 12:42:23 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HoCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HoSCK19, author = {Chung{-}Ying Ho and Kuo{-}Kai Shyu and Cheng{-}Yuan Chang and Sen M. Kuo}, title = {Equation-Error Model Based Active Noise Cancellation Systems}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {8474--8478}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683206}, doi = {10.1109/ICASSP.2019.8683206}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HoSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HuangWHSC19, author = {Kun{-}Yi Huang and Chung{-}Hsien Wu and Qian{-}Bei Hong and Ming{-}Hsiang Su and Yi{-}Hsuan Chen}, title = {Speech Emotion Recognition Using Deep Neural Network Considering Verbal and Nonverbal Speech Sounds}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {5866--5870}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682283}, doi = {10.1109/ICASSP.2019.8682283}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HuangWHSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SuUC19, author = {Yu{-}Chen Su and Yeong{-}Luh Ueng and Wei{-}Ho Chung}, title = {SVM-based Seal Imprint Verification Using Edge Difference}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {1567--1571}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682810}, doi = {10.1109/ICASSP.2019.8682810}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/SuUC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhangCZWK19, author = {Kaitai Zhang and Hong{-}Shuo Chen and Xinfeng Zhang and Ye Wang and C.{-}C. Jay Kuo}, title = {A Data-centric Approach to Unsupervised Texture Segmentation Using Principle Representative Patterns}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {1912--1916}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683487}, doi = {10.1109/ICASSP.2019.8683487}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ZhangCZWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HossainCLD19, author = {Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung and Yanjie Dong}, title = {Cross-Layer Scheduling and Beamforming in Smart Grid Powered Small-Cell Networks}, booktitle = {2019 {IEEE} International Conference on Communications, {ICC} 2019, Shanghai, China, May 20-24, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICC.2019.8761709}, doi = {10.1109/ICC.2019.8761709}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/HossainCLD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangLLHCC19, author = {Wei{-}Chen Wang and Ping{-}Hsien Lin and Yung{-}Chun Li and Chien{-}Chung Ho and Yu{-}Ming Chang and Yuan{-}Hao Chang}, editor = {David Z. Pan}, title = {Toward Instantaneous Sanitization through Disturbance-induced Errors and Recycling Programming over 3D Flash Memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2019, Westminster, CO, USA, November 4-7, 2019}, pages = {1--8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1109/ICCAD45719.2019.8942084}, doi = {10.1109/ICCAD45719.2019.8942084}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangLLHCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ZhangCWJK19, author = {Kaitai Zhang and Hong{-}Shuo Chen and Ye Wang and Xiangyang Ji and C.{-}C. Jay Kuo}, title = {Texture Analysis Via Hierarchical Spatial-Spectral Correlation {(HSSC)}}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {4419--4423}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803556}, doi = {10.1109/ICIP.2019.8803556}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ZhangCWJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/ChangCT19, author = {Chung{-}I Chang and Hong{-}Ren Chen and Pei{-}Han Tseng}, editor = {Lisbet R{\o}nningsbakk and Ting{-}Ting Wu and Frode Eika Sandnes and Yueh{-}Min Huang}, title = {The Impact of Game-Based Situated Learning System in Oral Health Education}, booktitle = {Innovative Technologies and Learning - Second International Conference, {ICITL} 2019, Troms{\o}, Norway, December 2-5, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11937}, pages = {33--40}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35343-8\_4}, doi = {10.1007/978-3-030-35343-8\_4}, timestamp = {Wed, 27 Nov 2019 18:12:10 +0100}, biburl = {https://dblp.org/rec/conf/icitl/ChangCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ZhangLLCLHDWKSY19, author = {You{-}Chen Zhang and Chung{-}Hong Lee and Tyng{-}Yeu Liang and Wei{-}Che Chung and Kuei{-}Han Li and Cheng{-}Chieh Huang and Hong{-}Jie Dai and Chi{-}Shin Wu and Chian{-}Jue Kuo and Chu{-}Hsien Su and Horng{-}Chang Yang}, title = {Depressive Symptoms and Functional Impairments Extraction From Electronic Health Records}, booktitle = {2019 International Conference on Machine Learning and Cybernetics, {ICMLC} 2019, Kobe, Japan, July 7-10, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLC48188.2019.8949199}, doi = {10.1109/ICMLC48188.2019.8949199}, timestamp = {Tue, 14 Jan 2020 10:49:23 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/ZhangLLCLHDWKSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/SuJCC19, author = {Yu{-}Xiang Su and Jhi{-}Han Jheng and Dun{-}Jie Chen and Chung{-}Ho Chen}, title = {Development of an Open {ISA} {GPGPU} for Edge Device Machine Learning Applications}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {214--217}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806196}, doi = {10.1109/ICUFN.2019.8806196}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/SuJCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeWCLL19, author = {Chi Chung Lee and Kwan Ki Wong and Wing Ching Cheng and Siu Ping Li and Ho Ching Li}, title = {Smart Control System on Electrical Safety in Testing Laboratories}, booktitle = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, pages = {3031--3036}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IECON.2019.8927824}, doi = {10.1109/IECON.2019.8927824}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/LeeWCLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieea/WuCC19, author = {Chung{-}Hao Wu and How{-}Ji Chen and Jen{-}Hao Chi}, editor = {Danny Sutanto and Christopher Chao and Masayuki Morimoto and Frank Gunzer and Muhammad Aziz and Seonghwan Yoon and Satoshi Matsuda}, title = {Study on the reuse of in situ solidified reservoir sediment}, booktitle = {Proceedings of the 8th International Conference on Informatics, Environment, Energy and Applications, {IEEA} 2019, Osaka, Japan}, pages = {11--14}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3323716.3323722}, doi = {10.1145/3323716.3323722}, timestamp = {Thu, 29 Jun 2023 15:57:10 +0200}, biburl = {https://dblp.org/rec/conf/ieea/WuCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/HoCC19, author = {Szu{-}Yu Ho and Chih{-}Ming Chen and Chung Chang}, title = {A Chinese Ancient Book Digital Humanities Research Platform to Support Digital Humanities Research}, booktitle = {8th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2019, Toyama, Japan, July 7-11, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IIAI-AAI.2019.00012}, doi = {10.1109/IIAI-AAI.2019.00012}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/HoCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HuLCGCCHYWLM19, author = {Shoukang Hu and Shansong Liu and Heng Fai Chang and Mengzhe Geng and Jiani Chen and Lau Wing Chung and To Ka Hei and Jianwei Yu and Ka Ho Wong and Xunying Liu and Helen Meng}, editor = {Gernot Kubin and Zdravko Kacic}, title = {The {CUHK} Dysarthric Speech Recognition Systems for English and Cantonese}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {3669--3670}, publisher = {{ISCA}}, year = {2019}, url = {https://www.isca-speech.org/archive/interspeech\_2019/hu19c\_interspeech.html}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HuLCGCCHYWLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuanGCV00I19, author = {Wanmai Yuan and Nuwan Ganganath and Chi{-}Tsun Cheng and Shahrokh Valaee and Qing Guo and Francis C. M. Lau and Herbert H. C. Iu}, title = {Semi-Flocking-Controlled Mobile Sensor Networks for Tracking Targets with Different Priorities}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702239}, doi = {10.1109/ISCAS.2019.8702239}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuanGCV00I19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JiTWLC19, author = {Min{-}Zhi Ji and Wei{-}Chung Tseng and Ting{-}Jia Wu and Bo{-}Rong Lin and Chung{-}Ho Chen}, title = {Micro Darknet For Inference: {ESL} reference for inference accelerator design}, booktitle = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, pages = {69--70}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISOCC47750.2019.9027644}, doi = {10.1109/ISOCC47750.2019.9027644}, timestamp = {Fri, 20 Mar 2020 08:51:45 +0100}, biburl = {https://dblp.org/rec/conf/isocc/JiTWLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LinCHHW19, author = {Hong{-}Ling Lin and Shu{-}Meng Cheng and Dai{-}Fang Hsu and Chang{-}Chuan Huang and Ding{-}Chung Wu}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Information System Implementation Optimizes Medical Coding}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1706--1707}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190607}, doi = {10.3233/SHTI190607}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LinCHHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmasia/ChangLCHSC19, author = {Chi{-}Rung Chang and Kuan{-}Yu Lung and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hong{-}Han Shuai and Wen{-}Huang Cheng}, editor = {Changsheng Xu and Mohan S. Kankanhalli and Kiyoharu Aizawa and Shuqiang Jiang and Roger Zimmermann and Wen{-}Huang Cheng}, title = {Stop Hiding Behind Windshield: {A} Windshield Image Enhancer Based on a Two-way Generative Adversarial Network}, booktitle = {MMAsia '19: {ACM} Multimedia Asia, Beijing, China, December 16-18, 2019}, pages = {28:1--28:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338533.3366559}, doi = {10.1145/3338533.3366559}, timestamp = {Wed, 22 Jan 2020 19:38:18 +0100}, biburl = {https://dblp.org/rec/conf/mmasia/ChangLCHSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/GuHWCH19, author = {Chen{-}Sheng Gu and Hong{-}Po Hsieh and Chung{-}Shu Wu and Ray{-}I Chang and Jan{-}Ming Ho}, title = {A Fund Selection Robo-Advisor with Deep-learning Driven Market Prediction}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {2845--2850}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914183}, doi = {10.1109/SMC.2019.8914183}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/GuHWCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/QianHACKL19, author = {Chenxiong Qian and Hong Hu and Mansour Alharthi and Simon Pak Ho Chung and Taesoo Kim and Wenke Lee}, editor = {Nadia Heninger and Patrick Traynor}, title = {{RAZOR:} {A} Framework for Post-deployment Software Debloating}, booktitle = {28th {USENIX} Security Symposium, {USENIX} Security 2019, Santa Clara, CA, USA, August 14-16, 2019}, pages = {1733--1750}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/usenixsecurity19/presentation/qian}, timestamp = {Mon, 01 Feb 2021 08:43:12 +0100}, biburl = {https://dblp.org/rec/conf/uss/QianHACKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/TangWYHCXKWHLLH19, author = {Kea{-}Tiong Tang and Wei{-}Chen Wei and Zuo{-}Wei Yeh and Tzu{-}Hsiang Hsu and Yen{-}Cheng Chiu and Cheng{-}Xin Xue and Yu{-}Chun Kuo and Tai{-}Hsing Wen and Mon{-}Shu Ho and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang}, title = {Considerations Of Integrating Computing-In-Memory And Processing-In-Sensor Into Convolutional Neural Network Accelerators For Low-Power Edge Devices}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {166}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778074}, doi = {10.23919/VLSIC.2019.8778074}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/TangWYHCXKWHLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-01214, author = {Wei{-}Wen Hsu and Chung{-}Hao Chen and Chang Hoa and Yu{-}Ling Hou and Xiang Gao and Yun Shao and Xueli Zhang and Jingjing Wang and Tao He and Yanghong Tai}, title = {Understanding the Mechanism of Deep Learning Framework for Lesion Detection in Pathological Images with Breast Cancer}, journal = {CoRR}, volume = {abs/1903.01214}, year = {2019}, url = {http://arxiv.org/abs/1903.01214}, eprinttype = {arXiv}, eprint = {1903.01214}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-01214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-00887, author = {Yanjie Dong and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {Secure Distributed On-Device Learning Networks With Byzantine Adversaries}, journal = {CoRR}, volume = {abs/1906.00887}, year = {2019}, url = {http://arxiv.org/abs/1906.00887}, eprinttype = {arXiv}, eprint = {1906.00887}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-00887.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-04885, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Joint Precoding and Power Control in Small-Cell Networks With Proportional-Rate {MISO-BC} Backhaul}, journal = {CoRR}, volume = {abs/1908.04885}, year = {2019}, url = {http://arxiv.org/abs/1908.04885}, eprinttype = {arXiv}, eprint = {1908.04885}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-04885.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-04887, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Cross-Layer Scheduling and Beamforming in Smart Grid Powered Small-Cell Networks}, journal = {CoRR}, volume = {abs/1908.04887}, year = {2019}, url = {http://arxiv.org/abs/1908.04887}, eprinttype = {arXiv}, eprint = {1908.04887}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-04887.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CaiWEHFL18, author = {Wei Cai and Zehua Wang and Jason B. Ernst and Zhen Hong and Chen Feng and Victor C. M. Leung}, title = {Decentralized Applications: The Blockchain-Empowered Software System}, journal = {{IEEE} Access}, volume = {6}, pages = {53019--53033}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2870644}, doi = {10.1109/ACCESS.2018.2870644}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CaiWEHFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinCCL18, author = {Yu{-}Chien Lin and Hsin{-}Jung Chen and Wei{-}Ho Chung and Ta{-}Sung Lee}, title = {Geometric Approach to Passive Ranging in Underwater Localization Systems}, journal = {{IEEE} Access}, volume = {6}, pages = {54018--54032}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2870692}, doi = {10.1109/ACCESS.2018.2870692}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LinCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/WuCYC18, author = {Gen{-}Han Wu and Chen{-}Yang Cheng and Hong{-}In Yang and Chung{-}Te Chena}, title = {An improved water flow-like algorithm for order acceptance and scheduling with identical parallel machines}, journal = {Appl. Soft Comput.}, volume = {71}, pages = {1072--1084}, year = {2018}, url = {https://doi.org/10.1016/j.asoc.2017.10.015}, doi = {10.1016/J.ASOC.2017.10.015}, timestamp = {Mon, 24 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/WuCYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChenKSCHLL18, author = {Shu{-}Hwa Chen and Wen{-}Yu Kuo and Sheng{-}Yao Su and Wei{-}Chun Chung and Jen{-}Ming Ho and Henry Horng{-}Shing Lu and Chung{-}Yen Lin}, title = {A gene profiling deconvolution approach to estimating immune cell composition from complex tissues}, journal = {{BMC} Bioinform.}, volume = {19-S}, number = {4}, pages = {15--23}, year = {2018}, url = {https://doi.org/10.1186/s12859-018-2069-6}, doi = {10.1186/S12859-018-2069-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChenKSCHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/WuangCCCWHWH18, author = {Yee{-}Pay Wuang and Yu{-}Hsien Chiu and Yenming J. Chen and Chiu{-}Ping Chen and Chih{-}Chung Wang and Chien{-}Ling Huang and Tang{-}Meng Wu and Wen{-}Hsien Ho}, title = {Game-Based Auxiliary Training System for improving visual perceptual dysfunction in children with developmental disabilities: {A} proposed design and evaluation}, journal = {Comput. Educ.}, volume = {124}, pages = {27--36}, year = {2018}, url = {https://doi.org/10.1016/j.compedu.2018.05.008}, doi = {10.1016/J.COMPEDU.2018.05.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/WuangCCCWHWH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/ChenLL18, author = {Yuan{-}Ho Chen and Chung{-}Yi Li and Luhua Lai}, title = {Fine-Tuning Accuracy Using Conditional Probability of the Bottom Sign-Bit in Fixed-Width Modified Booth Multiplier}, journal = {Circuits Syst. Signal Process.}, volume = {37}, number = {7}, pages = {3115--3130}, year = {2018}, url = {https://doi.org/10.1007/s00034-017-0702-7}, doi = {10.1007/S00034-017-0702-7}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssp/ChenLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ChangLCH18, author = {Yao{-}Chung Chang and Chin{-}Feng Lai and Chi{-}Cheng Chuang and Cheng{-}Yu Hou}, title = {Correlation between use time of machine and decline curve for emerging enterprise information systems}, journal = {Enterp. Inf. Syst.}, volume = {12}, number = {4}, pages = {452--470}, year = {2018}, url = {https://doi.org/10.1080/17517575.2017.1301558}, doi = {10.1080/17517575.2017.1301558}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eis/ChangLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/YangCCL18, author = {Hongxiong Yang and Jacky K. H. Chung and Yuhong Chen and Yijia Li}, title = {The cost calculation method of construction 3D printing aligned with internet of things}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2018}, pages = {147}, year = {2018}, url = {https://doi.org/10.1186/s13638-018-1163-9}, doi = {10.1186/S13638-018-1163-9}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/YangCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LuCHCLWCFCMFLT18, author = {Tsung{-}Chien Lu and Yi Chen and Te{-}Wei Ho and Yao{-}Ting Chang and Yi{-}Ting Lee and Yu{-}Siang Wang and Yen{-}Pin Chen and Chia{-}Ming Fu and Wen{-}Chu Chiang and Matthew Huei{-}Ming Ma and Cheng{-}Chung Fang and Feipei Lai and Anne M. Turner}, title = {A novel depth estimation algorithm of chest compression for feedback of high-quality cardiopulmonary resuscitation based on a smartwatch}, journal = {J. Biomed. Informatics}, volume = {87}, pages = {60--65}, year = {2018}, url = {https://doi.org/10.1016/j.jbi.2018.09.014}, doi = {10.1016/J.JBI.2018.09.014}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/LuCHCLWCFCMFLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/Hassan0CL18, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Delay-QoS-Aware Adaptive Modulation and Power Allocation for Dual-Channel Coherent {OWC}}, journal = {{JOCN}}, volume = {10}, number = {3}, pages = {138--151}, year = {2018}, url = {https://doi.org/10.1364/jocn.10.000138}, doi = {10.1364/JOCN.10.000138}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/Hassan0CL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/YehHPWHKLL18, author = {Shih{-}Ching Yeh and Chung{-}Lin Hou and Wei{-}Hao Peng and Zhen{-}Zhan Wei and Shiuan Huang and Edward Yu{-}Chen Kung and Longsong Lin and Yi{-}Hung Liu}, title = {A multiplayer online car racing virtual-reality game based on internet of brains}, journal = {J. Syst. Archit.}, volume = {89}, pages = {30--40}, year = {2018}, url = {https://doi.org/10.1016/j.sysarc.2018.06.004}, doi = {10.1016/J.SYSARC.2018.06.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/YehHPWHKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/ChiLHLC18, author = {Wei{-}Min Chi and Chien{-}Chung Lin and Ying{-}Jui Ho and Hsiao{-}Che Lin and Jian{-}Horng Chen}, title = {Using nonlinear finite element models to analyse stress distribution during subluxation and torque required for dislocation of newly developed total hip structure after prosthetic impingement}, journal = {Medical Biol. Eng. Comput.}, volume = {56}, number = {1}, pages = {37--47}, year = {2018}, url = {https://doi.org/10.1007/s11517-017-1673-3}, doi = {10.1007/S11517-017-1673-3}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/ChiLHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouSYCLLHSTLCT18, author = {Chih{-}Hung Chou and Sirjana Shrestha and Chi{-}Dung Yang and Nai{-}Wen Chang and Yu{-}Ling Lin and Kuang{-}Wen Liao and Wei{-}Chih Huang and Ting{-}Hsuan Sun and Siang{-}Jyun Tu and Wei{-}Hsiang Lee and Men{-}Yee Chiew and Chun{-}San Tai and Ting{-}Yen Wei and Tzi{-}Ren Tsai and Hsin{-}Tzu Huang and Chung{-}Yu Wang and Hsin{-}Yi Wu and Shu{-}Yi Ho and Pin{-}Rong Chen and Cheng{-}Hsun Chuang and Pei{-}Jung Hsieh and Yi{-}Shin Wu and Wen{-}Liang Chen and Meng{-}Ju Li and Yu{-}chun Wu and Xin{-}Yi Huang and Fung Ling Ng and Waradee Buddhakosai and Pei{-}Chun Huang and Kuan{-}Chun Lan and Chia{-}Yen Huang and Shun{-}Long Weng and Yeong{-}Nan Cheng and Chao Liang and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase update 2018: a resource for experimentally validated microRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D296--D302}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1067}, doi = {10.1093/NAR/GKX1067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouSYCLLHSTLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Torres-Sospedra18, author = {Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez and Adriano J. C. Moreira and Tom{\'{a}}s Lungenstrass and Wei{-}Chung Lu and Stefan Knauth and Germ{\'{a}}n M. Mendoza{-}Silva and Fernando Seco and Antoni P{\'{e}}rez{-}Navarro and Maria Jo{\~{a}}o Nicolau and Ant{\'{o}}nio Costa and Filipe Meneses and Joaqu{\'{\i}}n Farina and Juan Pablo Morales and Wen{-}Chen Lu and Ho{-}Ti Cheng and Shi{-}Shen Yang and Shih{-}Hau Fang and Ying{-}Ren Chien and Yu Tsao}, title = {Off-Line Evaluation of Mobile-Centric Indoor Positioning Systems: The Experiences from the 2017 {IPIN} Competition}, journal = {Sensors}, volume = {18}, number = {2}, pages = {487}, year = {2018}, url = {https://doi.org/10.3390/s18020487}, doi = {10.3390/S18020487}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Torres-Sospedra18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/ChenC18, author = {Kuan{-}Chung Chen and Chung{-}Ho Chen}, title = {Enabling {SIMT} Execution Model on Homogeneous Multi-Core System}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {15}, number = {1}, pages = {6:1--6:26}, year = {2018}, url = {https://doi.org/10.1145/3177960}, doi = {10.1145/3177960}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/ChenC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ChenC18, author = {Houshou Chen and Kuo{-}Chen Chung}, title = {A {PTS} Technique With Non-Disjoint Sub-Block Partitions in {M-QAM} {OFDM} Systems}, journal = {{IEEE} Trans. Broadcast.}, volume = {64}, number = {1}, pages = {146--152}, year = {2018}, url = {https://doi.org/10.1109/TBC.2017.2722230}, doi = {10.1109/TBC.2017.2722230}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/ChenC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WangHCKL18, author = {Wei{-}Chen Wang and Chien{-}Chung Ho and Yuan{-}Hao Chang and Tei{-}Wei Kuo and Ping{-}Hsien Lin}, title = {Scrubbing-Aware Secure Deletion for 3-D {NAND} Flash}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {11}, pages = {2790--2801}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2018.2857260}, doi = {10.1109/TCAD.2018.2857260}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WangHCKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HassanHCL18, author = {Md. Zoheb Hassan and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Statistical Delay-QoS Aware Joint Power Allocation and Relaying Link Selection for Free Space Optics Based Fronthaul Networks}, journal = {{IEEE} Trans. Commun.}, volume = {66}, number = {3}, pages = {1124--1138}, year = {2018}, url = {https://doi.org/10.1109/TCOMM.2017.2771524}, doi = {10.1109/TCOMM.2017.2771524}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/HassanHCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/QinWCZSL18, author = {Zhen Qin and Yilei Wang and Hongrong Cheng and Yingjie Zhou and Zhengguo Sheng and Victor C. M. Leung}, title = {Demographic Information Prediction: {A} Portrait of Smartphone Application Users}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {6}, number = {3}, pages = {432--444}, year = {2018}, url = {https://doi.org/10.1109/TETC.2016.2570603}, doi = {10.1109/TETC.2016.2570603}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/QinWCZSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LinCCCWHLHLTL18, author = {Wen{-}Yen Lin and Wen{-}Cheng Chou and Po{-}Cheng Chang and Chung{-}Chuan Chou and Ming{-}Shien Wen and Ming{-}Yun Ho and Wen{-}Chen Lee and Ming{-}Jer Hsieh and Chung{-}Chih Lin and Tsai{-}Hsuan Tsai and Ming{-}Yih Lee}, title = {Identification of Location Specific Feature Points in a Cardiac Cycle Using a Novel Seismocardiogram Spectrum System}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {2}, pages = {442--449}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2016.2620496}, doi = {10.1109/JBHI.2016.2620496}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/LinCCCWHLHLTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/ChungCLH18, author = {Wen{-}Chun Chung and Pei{-}Yi Cheng and Zipeng Li and Tsung{-}Yi Ho}, title = {Module Placement under Completion-Time Uncertainty in Micro-Electrode-Dot-Array Digital Microfluidic Biochips}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {4}, pages = {811--821}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2018.2822799}, doi = {10.1109/TMSCS.2018.2822799}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/ChungCLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChenC18, author = {Houshou Chen and Kuo{-}Chen Chung}, title = {A Low Complexity {PTS} Technique Using Minimal Trellis in {OFDM} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {1}, pages = {817--821}, year = {2018}, url = {https://doi.org/10.1109/TVT.2017.2780281}, doi = {10.1109/TVT.2017.2780281}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChenC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/DongSHCAL18, author = {Yanjie Dong and Ahmed El Shafie and Md. Jahangir Hossain and Julian Cheng and Naofal Al{-}Dhahir and Victor C. M. Leung}, title = {Secure Beamforming in Full-Duplex {MISO-SWIPT} Systems With Multiple Eavesdroppers}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {10}, pages = {6559--6574}, year = {2018}, url = {https://doi.org/10.1109/TWC.2018.2860960}, doi = {10.1109/TWC.2018.2860960}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/DongSHCAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChenLCL18, author = {Hsin{-}Jung Chen and Li{-}Feng Lin and Wei{-}Ho Chung and Ta{-}Sung Lee}, title = {Energy Efficient Multi-User Millimeter Wave {MIMO} Systems Using Tree Search Hybrid Precoding and Few-Bit ADCs}, journal = {J. Signal Process. Syst.}, volume = {90}, number = {10}, pages = {1387--1399}, year = {2018}, url = {https://doi.org/10.1007/s11265-017-1301-3}, doi = {10.1007/S11265-017-1301-3}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChenLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/DongHCHL18, author = {Yanjie Dong and Md. Zoheb Hassan and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {An Edge Computing Empowered Radio Access Network with UAV-Mounted {FSO} Fronthaul and Backhaul: Key Challenges and Approaches}, journal = {{IEEE} Wirel. Commun.}, volume = {25}, number = {3}, pages = {154--160}, year = {2018}, url = {https://doi.org/10.1109/MWC.2018.1700419}, doi = {10.1109/MWC.2018.1700419}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/DongHCHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/JaoWYTLCPS18, author = {Chin{-}Kuo Jao and Chun{-}Yen Wang and Ting{-}Yu Yeh and Chun{-}Chia Tsai and Li{-}Chung Lo and Jen{-}Hsien Chen and Wei{-}Chen Pao and Wern{-}Ho Sheen}, title = {Industry Perspective}, journal = {{IEEE} Wirel. Commun.}, volume = {25}, number = {2}, pages = {4--7}, year = {2018}, url = {https://doi.org/10.1109/MWC.2018.8352614}, doi = {10.1109/MWC.2018.8352614}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/JaoWYTLCPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/SungHLCR18, author = {Yingrong Coral Sung and Yung{-}Sheng Ho and Yi{-}Bing Lin and Jyh{-}Cheng Chen and Herman Chung{-}Hwa Rao}, title = {Voice/Video Quality Measurement for {LTE} Services}, journal = {{IEEE} Wirel. Commun.}, volume = {25}, number = {4}, pages = {96--103}, year = {2018}, url = {https://doi.org/10.1109/MWC.2018.1700109}, doi = {10.1109/MWC.2018.1700109}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/SungHLCR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ChenYJRL18, author = {Lei Chen and F. Richard Yu and Hong Ji and Bo Rong and Victor C. M. Leung}, title = {Power allocation in small cell networks with full-duplex self-backhauls and massive {MIMO}}, journal = {Wirel. Networks}, volume = {24}, number = {4}, pages = {1083--1098}, year = {2018}, url = {https://doi.org/10.1007/s11276-016-1381-1}, doi = {10.1007/S11276-016-1381-1}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ChenYJRL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/WangCCC18, author = {Guan{-}Hua Wang and Chia{-}Hao Chung and Yian Chen and Homer H. Chen}, title = {Multi-Label Playlist Classification Using Convolutional Neural Network}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2018, Honolulu, HI, USA, November 12-15, 2018}, pages = {1957--1962}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/APSIPA.2018.8659651}, doi = {10.23919/APSIPA.2018.8659651}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/WangCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/HuQYCHKL18, author = {Hong Hu and Chenxiong Qian and Carter Yagemann and Simon Pak Ho Chung and William R. Harris and Taesoo Kim and Wenke Lee}, editor = {David Lie and Mohammad Mannan and Michael Backes and XiaoFeng Wang}, title = {Enforcing Unique Code Target Property for Control-Flow Integrity}, booktitle = {Proceedings of the 2018 {ACM} {SIGSAC} Conference on Computer and Communications Security, {CCS} 2018, Toronto, ON, Canada, October 15-19, 2018}, pages = {1470--1486}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3243734.3243797}, doi = {10.1145/3243734.3243797}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/HuQYCHKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/ChenHS18, author = {Chung{-}Kuan Chen and E.{-}Lin Ho and Shiuhpyng Winston Shieh}, title = {DeepMemIntrospect: Recognizing Data Structures in Memory with Neural Networks}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2018, Kaohsiung, Taiwan, December 10-13, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DESEC.2018.8625160}, doi = {10.1109/DESEC.2018.8625160}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/desec/ChenHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YeungHCCC18, author = {Henry Wing Fung Yeung and Junhui Hou and Jie Chen and Yuk Ying Chung and Xiaoming Chen}, editor = {Vittorio Ferrari and Martial Hebert and Cristian Sminchisescu and Yair Weiss}, title = {Fast Light Field Reconstruction with Deep Coarse-to-Fine Modeling of Spatial-Angular Clues}, booktitle = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich, Germany, September 8-14, 2018, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {11210}, pages = {138--154}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01231-1\_9}, doi = {10.1007/978-3-030-01231-1\_9}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/YeungHCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/LinCC18, author = {Yi{-}Hsun Lin and Chia{-}Hao Chung and Homer H. Chen}, title = {Playlist-Based Tag Propagation for Improving Music Auto-Tagging}, booktitle = {26th European Signal Processing Conference, {EUSIPCO} 2018, Roma, Italy, September 3-7, 2018}, pages = {2270--2274}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/EUSIPCO.2018.8553318}, doi = {10.23919/EUSIPCO.2018.8553318}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/LinCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/DongHCL18, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Robust Secrecy Energy Efficient Beamforming in {MISOME-SWIPT} Systems with Proportional Fairness}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2018, Abu Dhabi, United Arab Emirates, December 9-13, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GLOCOM.2018.8647782}, doi = {10.1109/GLOCOM.2018.8647782}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/DongHCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LiuDYC18, author = {Qinyun Liu and Qing Duan and Hongji Yang and William C. C. Chu}, title = {A Hybrid Business Outlier Detection Algorithm Basing on Creative Computing Methods}, booktitle = {20th {IEEE} International Conference on High Performance Computing and Communications; 16th {IEEE} International Conference on Smart City; 4th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2018, Exeter, United Kingdom, June 28-30, 2018}, pages = {836--841}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCC/SmartCity/DSS.2018.00139}, doi = {10.1109/HPCC/SMARTCITY/DSS.2018.00139}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/LiuDYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/ChenS18, author = {Hong{-}Yen Chen and Chung{-}Yen Su}, title = {An Enhanced Hybrid MobileNet}, booktitle = {9th International Conference on Awareness Science and Technology, iCAST 2018, Fukuoka, Japan, September 19-21, 2018}, pages = {308--312}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICAwST.2018.8517177}, doi = {10.1109/ICAWST.2018.8517177}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icawst/ChenS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/DongSHCAL18, author = {Yanjie Dong and Ahmed El Shafie and Md. Jahangir Hossain and Julian Cheng and Naofal Al{-}Dhahir and Victor C. M. Leung}, title = {Secure Beamforming in Full-Duplex {SWIPT} Systems with Loopback Self-Interference Cancellation}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422373}, doi = {10.1109/ICC.2018.8422373}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/DongSHCAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ZhaoL0CJ18, author = {Yisheng Zhao and Victor C. M. Leung and Hui Gao and Zhonghui Chen and Hong Ji}, title = {Uplink Resource Allocation in Mobile Edge Computing-Based Heterogeneous Networks with Multi-Band {RF} Energy Harvesting}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422201}, doi = {10.1109/ICC.2018.8422201}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ZhaoL0CJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCLWHC18, author = {Ping{-}Hsien Lin and Yu{-}Ming Chang and Yung{-}Chun Li and Wei{-}Chen Wang and Chien{-}Chung Ho and Yuan{-}Hao Chang}, editor = {Iris Bahar}, title = {Achieving fast sanitization with zero live data copy for {MLC} flash memory}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {41}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240773}, doi = {10.1145/3240765.3240773}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCLWHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/HuangHNC18, author = {Chin{-}Ya Huang and Chung{-}Yin Ho and Navid Nikaein and Ray{-}Guang Cheng}, title = {Design and Prototype of {A} Virtualized 5G Infrastructure Supporting Network Slicing}, booktitle = {23rd {IEEE} International Conference on Digital Signal Processing, {DSP} 2018, Shanghai, China, November 19-21, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICDSP.2018.8631816}, doi = {10.1109/ICDSP.2018.8631816}, timestamp = {Mon, 31 Oct 2022 09:05:23 +0100}, biburl = {https://dblp.org/rec/conf/icdsp/HuangHNC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KuoSCC18, author = {Tzu{-}Sheng Kuo and Kuang{-}Tsu Shih and Sheng{-}Lung Chung and Homer H. Chen}, title = {Depth from Gaze}, booktitle = {2018 {IEEE} International Conference on Image Processing, {ICIP} 2018, Athens, Greece, October 7-10, 2018}, pages = {2910--2914}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIP.2018.8451156}, doi = {10.1109/ICIP.2018.8451156}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/KuoSCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ChengLZT18, author = {Miao Cheng and Zunren Liu and Hongwei Zou and Ah Chung Tsoi}, editor = {Long Cheng and Andrew Chi{-}Sing Leung and Seiichi Ozawa}, title = {A Family of Maximum Margin Criterion for Adaptive Learning}, booktitle = {Neural Information Processing - 25th International Conference, {ICONIP} 2018, Siem Reap, Cambodia, December 13-16, 2018, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11303}, pages = {375--387}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04182-3\_33}, doi = {10.1007/978-3-030-04182-3\_33}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ChengLZT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/KuoYHY18, author = {Cheng{-}Chung Kuo and Shu{-}Han Yao and Chia{-}Ling Hou and Chu{-}Sing Yang}, editor = {Jeng{-}Shyang Pan and Akinori Ito and Pei{-}Wei Tsai and Lakhmi C. Jain}, title = {Design and Implementation of a Host-Based Intrusion Detection System for Linux-Based Web Server}, booktitle = {Recent Advances in Intelligent Information Hiding and Multimedia Signal Processing - Proceeding of the Fourteenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, November, 26-28, 2018, Sendai, Japan, Volume 2}, series = {Smart Innovation, Systems and Technologies}, volume = {110}, pages = {354--361}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03748-2\_44}, doi = {10.1007/978-3-030-03748-2\_44}, timestamp = {Tue, 07 Nov 2023 10:29:09 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/KuoYHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ChengKHHLCHKTN18, author = {Ray{-}Guang Cheng and Raymond Knopp and Chung{-}Yin Ho and Kai{-}Hsiang Hsu and Tian{-}Jen Liu and Wei{-}Tai Chen and Bing{-}Zhi Hsieh and Matthieu Kanj and Fran{\c{c}}ois Taburet and Navid Nikaein}, title = {Demo abstract - Design and implementation of an open source NB-IoT eNB}, booktitle = {{IEEE} {INFOCOM} 2018 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2018, Honolulu, HI, USA, April 15-19, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/INFCOMW.2018.8406868}, doi = {10.1109/INFCOMW.2018.8406868}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ChengKHHLCHKTN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiSCCC18, author = {Sen{-}Chih Tsai and Yu{-}Xiang Su and Yu{-}Han Chin and Wei{-}Zhong Ceng and Chung{-}Ho Chen}, title = {Kernel Aware Warp Scheduler}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351552}, doi = {10.1109/ISCAS.2018.8351552}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiSCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipr/ChungYC18, author = {Chia{-}Hao Chung and Ming{-}I Yang and Homer H. Chen}, title = {Subjective Evaluation of Vector Representation of Emotion Flow for Music Retrieval}, booktitle = {{IEEE} 1st Conference on Multimedia Information Processing and Retrieval, {MIPR} 2018, Miami, FL, USA, April 10-12, 2018}, pages = {334--339}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.ieeecomputersociety.org/10.1109/MIPR.2018.00075}, doi = {10.1109/MIPR.2018.00075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mipr/ChungYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChungFLCRC18, author = {Tien{-}Kan Chung and Lin{-}Huei Fang and Tzu{-}Wei Liu and Hou{-}Jen Chu and Jaganmohan Reddy Ranabotu and Chin{-}Chung Chen}, title = {Self- Magnetization-Switchable Micro-Magnetic-Track Based Magnetic-Bead Manipulation}, booktitle = {13th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2018, Singapore, Singapore, April 22-26, 2018}, pages = {163--166}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NEMS.2018.8556910}, doi = {10.1109/NEMS.2018.8556910}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChungFLCRC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/HoLLWC18, author = {Chien{-}Chung Ho and Yung{-}Chun Li and Ping{-}Hsien Lin and Wei{-}Chen Wang and Yuan{-}Hao Chang}, title = {A Stride-Away Programming Scheme to Resolve Crash Recoverability and Data Readability Issues of Multi-Level-Cell Flash Memory}, booktitle = {{IEEE} 7th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2018, Hakodate, Sapporo, Japan, August 28-31, 2018}, pages = {67--72}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NVMSA.2018.00019}, doi = {10.1109/NVMSA.2018.00019}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/HoLLWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/ChenCCK18, author = {Li{-}Sheng Chen and Wei{-}Ho Chung and Ing{-}Yi Chen and Sy{-}Yen Kuo}, title = {Adaptive Repetition Scheme with Machine Learning for 3GPP NB-IoT}, booktitle = {23rd {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2018, Taipei, Taiwan, December 4-7, 2018}, pages = {252--256}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PRDC.2018.00046}, doi = {10.1109/PRDC.2018.00046}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/prdc/ChenCCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcar/YinCLFTK18, author = {Mingzhou Yin and Yue Chen and Kit{-}Hang Lee and Denny K. C. Fu and Zion Tsz Ho Tse and Ka{-}Wai Kwok}, title = {Dynamic Modeling and Characterization of the Core- XyCartesian Motion System}, booktitle = {{IEEE} International Conference on Real-time Computing and Robotics, {RCAR} 2018, Kandima, Maldives, August 1-5, 2018}, pages = {206--211}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/RCAR.2018.8621684}, doi = {10.1109/RCAR.2018.8621684}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rcar/YinCLFTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/Chen18a, author = {Chung{-}Ho Chen}, title = {A glance of the CASLab {HSAIL} {SIMT} {GPU} for OpenCL and TensorFlow applications}, booktitle = {2018 International Symposium on {VLSI} Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, April 16-19, 2018}, pages = {1}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSI-DAT.2018.8373237}, doi = {10.1109/VLSI-DAT.2018.8373237}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/Chen18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-02609, author = {Yanjie Dong and Ahmed El Shafie and Md. Jahangir Hossain and Julian Cheng and Naofal Al{-}Dhahir and Victor C. M. Leung}, title = {Secure Beamforming in Full-Duplex {SWIPT} Systems With Loopback Self-Interference Cancellation}, journal = {CoRR}, volume = {abs/1801.02609}, year = {2018}, url = {http://arxiv.org/abs/1801.02609}, eprinttype = {arXiv}, eprint = {1801.02609}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-02609.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-06381, author = {Yanjie Dong and Md. Zoheb Hassan and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {An Edge Computing Empowered Radio Access Network With UAV-Mounted {FSO} Fronthaul and Backhaul: Key Challenges and Approaches}, journal = {CoRR}, volume = {abs/1803.06381}, year = {2018}, url = {http://arxiv.org/abs/1803.06381}, eprinttype = {arXiv}, eprint = {1803.06381}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-06381.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-10723, author = {Yanjie Dong and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {Extracting the Most Weighted Throughput in {UAV} Empowered Wireless Systems With Nonlinear Energy Harvester}, journal = {CoRR}, volume = {abs/1804.10723}, year = {2018}, url = {http://arxiv.org/abs/1804.10723}, eprinttype = {arXiv}, eprint = {1804.10723}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-10723.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-02004, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Robust Secrecy Energy Efficient Beamforming in {MISOME-SWIPT} Systems With Proportional Fairness}, journal = {CoRR}, volume = {abs/1808.02004}, year = {2018}, url = {http://arxiv.org/abs/1808.02004}, eprinttype = {arXiv}, eprint = {1808.02004}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-02004.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-03856, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Robust Energy Efficient Beamforming in {MISOME-SWIPT} Systems With Proportional Secrecy Rate}, journal = {CoRR}, volume = {abs/1809.03856}, year = {2018}, url = {http://arxiv.org/abs/1809.03856}, eprinttype = {arXiv}, eprint = {1809.03856}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-03856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-04064, author = {Miao Cheng and Zunren Liu and Hongwei Zou and Ah Chung Tsoi}, title = {A Family of Maximum Margin Criterion for Adaptive Learning}, journal = {CoRR}, volume = {abs/1810.04064}, year = {2018}, url = {http://arxiv.org/abs/1810.04064}, eprinttype = {arXiv}, eprint = {1810.04064}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-04064.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-05365, author = {Wei Cai and Zehua Wang and Jason B. Ernst and Zhen Hong and Chen Feng and Victor C. M. Leung}, title = {Decentralized Applications: The Blockchain-Empowered Software System}, journal = {CoRR}, volume = {abs/1810.05365}, year = {2018}, url = {http://arxiv.org/abs/1810.05365}, eprinttype = {arXiv}, eprint = {1810.05365}, timestamp = {Thu, 14 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-05365.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiLKCHWLLYH17, author = {Tzuu{-}Hseng S. Li and Chih{-}Yin Liu and Ping{-}Huan Kuo and Yi{-}Hsuan Chen and Chun{-}Hsien Hou and Hua{-}Yu Wu and Chung{-}Lin Lee and Yi{-}Bin Lin and Wei{-}Hsin Yen and Cheng{-}Ying Hsieh}, title = {Reciprocal Learning for Robot Peers}, journal = {{IEEE} Access}, volume = {5}, pages = {6198--6211}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2016.2637438}, doi = {10.1109/ACCESS.2016.2637438}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiLKCHWLLYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoLZGCJ17, author = {Yisheng Zhao and Victor C. M. Leung and Chunsheng Zhu and Hui Gao and Zhonghui Chen and Hong Ji}, title = {Energy-Efficient Sub-Carrier and Power Allocation in Cloud-Based Cellular Network With Ambient {RF} Energy Harvesting}, journal = {{IEEE} Access}, volume = {5}, pages = {1340--1352}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2667678}, doi = {10.1109/ACCESS.2017.2667678}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhaoLZGCJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HuangMLZZLZLBLZ17, author = {Tao Huang and Hong Mi and Cheng{-}Yuan Lin and Ling Zhao and Linda L. D. Zhong and Fengbin Liu and Ge Zhang and Aiping Lu and Zhaoxiang Bian and Shuhai Lin and Man Zhang and Yanhong Li and Dongdong Hu and Chung{-}Wah Cheng}, title = {{MOST:} most-similar ligand based approach to target prediction}, journal = {{BMC} Bioinform.}, volume = {18}, number = {1}, pages = {165:1--165:11}, year = {2017}, url = {https://doi.org/10.1186/s12859-017-1586-z}, doi = {10.1186/S12859-017-1586-Z}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HuangMLZZLZLBLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChangCCYLKLLC17, author = {Chin{-}Chen Chang and Hong{-}Hao Chen and Yeun{-}Chung Chang and Ming{-}Yang Yang and Chung{-}Ming Lo and Wei{-}Chun Ko and Yee{-}Fan Lee and Kao{-}Lang Liu and Ruey{-}Feng Chang}, title = {Computer-aided diagnosis of liver tumors on computed tomography images}, journal = {Comput. Methods Programs Biomed.}, volume = {145}, pages = {45--51}, year = {2017}, url = {https://doi.org/10.1016/j.cmpb.2017.04.008}, doi = {10.1016/J.CMPB.2017.04.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ChangCCYLKLLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/YehCCHW17, author = {Hui{-}Chung Yeh and Yen{-}Chang Chen and Che{-}Hao Chang and Cheng{-}Hsuan Ho and Chiang Wei}, title = {Rainfall Network Optimization Using Radar and Entropy}, journal = {Entropy}, volume = {19}, number = {10}, pages = {553}, year = {2017}, url = {https://doi.org/10.3390/e19100553}, doi = {10.3390/E19100553}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/YehCCHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinHLNSHY17, author = {Nan{-}Hung Lin and Chung{-}Yao Hsu and Yuxi Luo and Mark L. Nagurka and Jia{-}Li Sung and Chih{-}Yuan Hong and Chen{-}Wen Yen}, title = {Detecting rapid eye movement sleep using a single {EEG} signal channel}, journal = {Expert Syst. Appl.}, volume = {87}, pages = {220--227}, year = {2017}, url = {https://doi.org/10.1016/j.eswa.2017.06.017}, doi = {10.1016/J.ESWA.2017.06.017}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LinHLNSHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/HoDC17, author = {Siu{-}Wai Ho and Jialong Duan and Chung Shue Chen}, title = {Location-based information transmission systems using visible light communications}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {28}, number = {1}, year = {2017}, url = {https://doi.org/10.1002/ett.2922}, doi = {10.1002/ETT.2922}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ett/HoDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/JiangLCZ17, author = {Yunxiang Jiang and Francis C. M. Lau and Hongbin Chen and Feng Zhao}, title = {Energy efficiency optimisation in full-duplex relay systems}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {28}, number = {1}, year = {2017}, url = {https://doi.org/10.1002/ett.2926}, doi = {10.1002/ETT.2926}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/JiangLCZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/DongGHCL17, author = {Yanjie Dong and Xin Ge and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Proportional Fairness-Based Beamforming and Signal Splitting for {MISO-SWIPT} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {21}, number = {5}, pages = {1135--1138}, year = {2017}, url = {https://doi.org/10.1109/LCOMM.2017.2660480}, doi = {10.1109/LCOMM.2017.2660480}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/DongGHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/ChenSQ17, author = {Jengchung Victor Chen and Bo{-}chiuan Su and Hoang Manh Quyet}, title = {Users' intention to disclose location on location-based social network sites {(LBSNS)} in mobile environment: privacy calculus and Big Five}, journal = {Int. J. Mob. Commun.}, volume = {15}, number = {3}, pages = {329--353}, year = {2017}, url = {https://doi.org/10.1504/IJMC.2017.10003255}, doi = {10.1504/IJMC.2017.10003255}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmc/ChenSQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LinCCWCWHWH17, author = {Hsien{-}Cheng Lin and Yu{-}Hsien Chiu and Yenming J. Chen and Yee{-}Pay Wuang and Chiu{-}Ping Chen and Chih{-}Chung Wang and Chien{-}Ling Huang and Tang{-}Meng Wu and Wen{-}Hsien Ho}, title = {Continued use of an interactive computer game-based visual perception learning system in children with developmental delay}, journal = {Int. J. Medical Informatics}, volume = {107}, pages = {76--87}, year = {2017}, url = {https://doi.org/10.1016/j.ijmedinf.2017.09.003}, doi = {10.1016/J.IJMEDINF.2017.09.003}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LinCCWCWHWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/ChenH17, author = {Chou{-}Cheng Chen and Chung{-}Liang Ho}, title = {StemTextSearch: Stem cell gene database with evidence from abstracts}, journal = {J. Biomed. Informatics}, volume = {69}, pages = {150--159}, year = {2017}, url = {https://doi.org/10.1016/j.jbi.2017.03.008}, doi = {10.1016/J.JBI.2017.03.008}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/ChenH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcm/HoCCK17, author = {Chih{-}Hsiang Ho and Li{-}Sheng Chen and Wei{-}Ho Chung and Sy{-}Yen Kuo}, title = {Interfered Users Protection Algorithm for Self-Organizing Networks}, journal = {J. Commun.}, volume = {12}, number = {4}, pages = {234--239}, year = {2017}, url = {https://doi.org/10.12720/jcm.12.4.234-239}, doi = {10.12720/JCM.12.4.234-239}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcm/HoCCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/WangGGCLS17, author = {Kun Wang and Liqiu Gu and Song Guo and Hongbin Chen and Victor C. M. Leung and Yanfei Sun}, title = {Crowdsourcing-Based Content-Centric Network: {A} Social Perspective}, journal = {{IEEE} Netw.}, volume = {31}, number = {5}, pages = {28--34}, year = {2017}, url = {https://doi.org/10.1109/MNET.2017.1600191}, doi = {10.1109/MNET.2017.1600191}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/WangGGCLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChenYHTCCLWTW17, author = {Tien{-}En Chen and Shih{-}I Yang and Li{-}Ting Ho and Kun{-}Hsi Tsai and Yu{-}Hsuan Chen and Yun{-}Fan Chang and Ying{-}Hui Lai and Syu{-}Siang Wang and Yu Tsao and Chau{-}Chung Wu}, title = {{S1} and {S2} Heart Sound Recognition Using Deep Neural Networks}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {64}, number = {2}, pages = {372--380}, year = {2017}, url = {https://doi.org/10.1109/TBME.2016.2559800}, doi = {10.1109/TBME.2016.2559800}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChenYHTCCLWTW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/JiangLHCH17, author = {Yunxiang Jiang and Francis C. M. Lau and Ivan Wang Hei Ho and He Chen and Yongming Huang}, title = {Max-Min Weighted Downlink {SINR} With Uplink {SINR} Constraints for Full-Duplex {MIMO} Systems}, journal = {{IEEE} Trans. Signal Process.}, volume = {65}, number = {12}, pages = {3277--3292}, year = {2017}, url = {https://doi.org/10.1109/TSP.2017.2691664}, doi = {10.1109/TSP.2017.2691664}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/JiangLHCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinC17, author = {Ching{-}Wen Lin and Chung{-}Ho Chen}, title = {A Processor and Cache Online Self-Testing Methodology for OS-Managed Platform}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {8}, pages = {2346--2359}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2017.2698506}, doi = {10.1109/TVLSI.2017.2698506}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LinC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/DongHCL17, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Dynamic Cross-Layer Beamforming in Hybrid Powered Communication Systems With Harvest-Use-Trade Strategy}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {16}, number = {12}, pages = {8011--8025}, year = {2017}, url = {https://doi.org/10.1109/TWC.2017.2756045}, doi = {10.1109/TWC.2017.2756045}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/DongHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinC17a, author = {Ching{-}Wen Lin and Chung{-}Ho Chen}, title = {Processor shield for {L1} data cache software-based on-line self-testing}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {420--425}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858359}, doi = {10.1109/ASPDAC.2017.7858359}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LinC17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HungSLHHCCLC17, author = {Meng{-}Hsiung Hung and Yi{-}Shing Shih and Chin{-}Fu Li and Wei{-}Kai Hong and Ming{-}Yeh Hsu and Chih{-}Hao Chen and Yu{-}Lun Chen and Chun{-}Wei Lin and Yuan{-}Hung Chung}, title = {A reconfigurable dual-band WiFi/BT combo transceiver with integrated 2G/BT SP3T, {LNA/PA} achieving concurrent receiving and wide dynamic range transmitting in 40nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {177--180}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240245}, doi = {10.1109/ASSCC.2017.8240245}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HungSLHHCCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/HoJC17, author = {Chao Chung Ho and Yong{-}Bao Jiang and Ming{-}Shu Chen}, editor = {Qingli Li and Lipo Wang and Mei Zhou and Li Sun and Song Qiu and Hongying Liu}, title = {The healthcare quality and performance evaluation of hospitals with different ownerships-demonstrated by Taiwan hospitals}, booktitle = {10th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2017, Shanghai, China, October 14-16, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CISP-BMEI.2017.8302292}, doi = {10.1109/CISP-BMEI.2017.8302292}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/HoJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsa/CheZLMYZCQ17, author = {Hongming Che and Lin Zou and Qinyun Liu and Sicong Ma and Hongji Yang and Chi Zhang and William Cheng{-}Chung Chu and Haiying Qi}, title = {A Software Defined Work Based Approach to Dependable Scenic Region Management}, booktitle = {International Conference on Dependable Systems and Their Applications, {DSA} 2017, Beijing, China, October 31 - November 2, 2017}, pages = {77--85}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/DSA.2017.21}, doi = {10.1109/DSA.2017.21}, timestamp = {Mon, 09 Aug 2021 01:32:18 +0200}, biburl = {https://dblp.org/rec/conf/dsa/CheZLMYZCQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChenFCH17, author = {Huan Chen and Yao{-}Chung Fan and Bo{-}Chao Cheng and Hong{-}Zhou Huang}, title = {Statistical learning based consumer electronic devices detection for smart grid}, booktitle = {{IEEE} 6th Global Conference on Consumer Electronics, {GCCE} 2017, Nagoya, Japan, October 24-27, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GCCE.2017.8229282}, doi = {10.1109/GCCE.2017.8229282}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gcce/ChenFCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/DongHCL17, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Fronthaul-Aware Group Sparse Precoding and Signal Splitting in {SWIPT} {C-RAN}}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254713}, doi = {10.1109/GLOCOM.2017.8254713}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/DongHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HassanLHC17, author = {Md. Zoheb Hassan and Victor C. M. Leung and Md. Jahangir Hossain and Julian Cheng}, title = {Delay-QoS Aware Adaptive Resource Allocations for Free Space Optical Fronthaul Networks}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254648}, doi = {10.1109/GLOCOM.2017.8254648}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HassanLHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hp3c/HoCCK17, author = {Chih{-}Hsiang Ho and Li{-}Sheng Chen and Wei{-}Ho Chung and Sy{-}Yen Kuo}, title = {Outage Users First Cell Outage Compensation Algorithm for Self-Organizing Networks}, booktitle = {Proceedings of the International Conference on High Performance Compilation, Computing and Communications, {HP3C} 2017, Kuala Lumpur, Malaysia, March 22 - 24, 2017}, pages = {86--90}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3069593.3069600}, doi = {10.1145/3069593.3069600}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hp3c/HoCCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/ChenH17, author = {Rong{-}Chung Chen and Wei{-}Xiu Hong}, title = {Improve the problem of insufficient bandwidth and path congestion in the cloud computing environment by using self-adjustment scheduler}, booktitle = {{IEEE} 8th International Conference on Awareness Science and Technology, iCAST 2017, Taichung, Taiwan, November 8-10, 2017}, pages = {228--233}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICAwST.2017.8256451}, doi = {10.1109/ICAWST.2017.8256451}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icawst/ChenH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/HuangHL17, author = {Chung{-}Huang Huang and Cheng{-}Yih Hong and Jian{-}Fa Li}, title = {A dynamic industry-related analysis on investment, energy consumption and {CO2} emissions}, booktitle = {{IEEE} 8th International Conference on Awareness Science and Technology, iCAST 2017, Taichung, Taiwan, November 8-10, 2017}, pages = {359--362}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICAwST.2017.8256479}, doi = {10.1109/ICAWST.2017.8256479}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icawst/HuangHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/DongHCL17, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Joint {RRH} selection and beamforming in distributed antenna systems with energy harvesting}, booktitle = {2017 International Conference on Computing, Networking and Communications, {ICNC} 2017, Silicon Valley, CA, USA, January 26-29, 2017}, pages = {582--586}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCNC.2017.7876194}, doi = {10.1109/ICCNC.2017.7876194}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccnc/DongHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHLH17, author = {Chung{-}Hao Wu and Wei{-}Chen Hsi and Henry Horng{-}Shing Lu and Hsueh{-}Ming Hang}, title = {Online multiclass passive-aggressive learning on a fixed budget}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050803}, doi = {10.1109/ISCAS.2017.8050803}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/WuHLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismir/ChungCC17, author = {Chia{-}Hao Chung and Yian Chen and Homer H. Chen}, editor = {Sally Jo Cunningham and Zhiyao Duan and Xiao Hu and Douglas Turnbull}, title = {Exploiting Playlists for Representation of Songs and Words for Text-Based Music Retrieval}, booktitle = {Proceedings of the 18th International Society for Music Information Retrieval Conference, {ISMIR} 2017, Suzhou, China, October 23-27, 2017}, pages = {478--485}, year = {2017}, url = {https://ismir2017.smcnus.org/wp-content/uploads/2017/10/47\_Paper.pdf}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismir/ChungCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/ChengSHC17, author = {Po{-}Liang Cheng and Yung{-}Cheng Su and Chung{-}hung Hou and Po{-}Lun Chang}, editor = {Adi V. Gundlapalli and Marie{-}Christine Jaulent and Dongsheng Zhao}, title = {Management of In-Field Patient Tracking and Triage by Using Near-Field Communication in Mass Casualty Incidents}, booktitle = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, series = {Studies in Health Technology and Informatics}, volume = {245}, pages = {1214}, publisher = {{IOS} Press}, year = {2017}, url = {https://doi.org/10.3233/978-1-61499-830-3-1214}, doi = {10.3233/978-1-61499-830-3-1214}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/ChengSHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rws/LinLLPCW17, author = {Yo{-}Sheng Lin and Kai{-}Siang Lan and Yun{-}Wen Lin and Hou{-}Ru Pan and Chih{-}Chung Chen and Chien{-}Chin Wang}, title = {A 90-96 GHz {CMOS} down-conversion mixer with high conversion gain and excellent {LO-RF} isolation}, booktitle = {2017 {IEEE} Radio and Wireless Symposium, {RWS} 2017, Phoenix, AZ, USA, January 15-18, 2017}, pages = {162--165}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RWS.2017.7885976}, doi = {10.1109/RWS.2017.7885976}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/rws/LinLLPCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/TaiCCL17, author = {Tzu{-}Hao Tai and Hsin{-}Jung Chen and Wei{-}Ho Chung and Ta{-}Sung Lee}, title = {Energy efficient norm-and-correlation-based antenna selection algorithm in spatially correlated massive multi-user {MIMO} systems}, booktitle = {2017 {IEEE} International Workshop on Signal Processing Systems, SiPS 2017, Lorient, France, October 3-5, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SiPS.2017.8109989}, doi = {10.1109/SIPS.2017.8109989}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/TaiCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/ChangLHSWH17, author = {Chia{-}Hsiang Chang and Wei{-}Chen Liou and William W. Y. Hsu and Jen{-}Ying Shih and Chung{-}Su Wu and Jan{-}Ming Ho}, title = {An Innovative Framework for Building Agency-Free Credit Rating Systems}, booktitle = {10th {IEEE} Conference on Service-Oriented Computing and Applications, {SOCA} 2017, Kanazawa, Japan, November 22-25, 2017}, pages = {181--189}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SOCA.2017.32}, doi = {10.1109/SOCA.2017.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soca/ChangLHSWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sp/FratantonioQCL17, author = {Yanick Fratantonio and Chenxiong Qian and Simon P. Chung and Wenke Lee}, title = {Cloak and Dagger: From Two Permissions to Complete Control of the {UI} Feedback Loop}, booktitle = {2017 {IEEE} Symposium on Security and Privacy, {SP} 2017, San Jose, CA, USA, May 22-26, 2017}, pages = {1041--1057}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SP.2017.39}, doi = {10.1109/SP.2017.39}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sp/FratantonioQCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swarm/HsuHWLLCL17, author = {Ping{-}Yu Hsu and Ming Chia Hsu and Tien{-}Hao Wei and Yao{-}Chung Lo and Chin{-}Chun Lo and Ming{-}Shien Cheng and Hong Tsuen Lei}, editor = {Ying Tan and Hideyuki Takagi and Yuhui Shi and Ben Niu}, title = {Effects of Event Sentiment on Product Recommendations in a Microblog Platform}, booktitle = {Advances in Swarm Intelligence - 8th International Conference, {ICSI} 2017, Fukuoka, Japan, July 27 - August 1, 2017, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10386}, pages = {119--131}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61833-3\_13}, doi = {10.1007/978-3-319-61833-3\_13}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/swarm/HsuHWLLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/SaedHGC17, author = {Abdullah A. Saed and Siu{-}Wai Ho and Jean{-}Marie Gorce and Chung Shue Chen}, title = {Minimal Noise Variance Decoder for Uncoordinated Multiple Access in {VLC}}, booktitle = {85th {IEEE} Vehicular Technology Conference, {VTC} Spring 2017, Sydney, Australia, June 4-7, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCSpring.2017.8108580}, doi = {10.1109/VTCSPRING.2017.8108580}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/SaedHGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/TanLYCJL17, author = {Zhiyuan Tan and Xi Li and F. Richard Yu and Lei Chen and Hong Ji and Victor C. M. Leung}, title = {Joint Access Selection and Resource Allocation in Cache-Enabled HCNs with {D2D} Communications}, booktitle = {2017 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2017, San Francisco, CA, USA, March 19-22, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WCNC.2017.7925732}, doi = {10.1109/WCNC.2017.7925732}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/TanLYCJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ZhaoLSCJ17, author = {Yisheng Zhao and Victor C. M. Leung and Xinghua Sun and Zhonghui Chen and Hong Ji}, title = {Energy-Efficient Resource Allocation in Cellular Network with Ambient {RF} Energy Harvesting}, booktitle = {2017 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2017, San Francisco, CA, USA, March 19-22, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WCNC.2017.7925879}, doi = {10.1109/WCNC.2017.7925879}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/ZhaoLSCJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LiJTYHC17, author = {Liangyue Li and How Jing and Hanghang Tong and Jaewon Yang and Qi He and Bee{-}Chung Chen}, editor = {Rick Barrett and Rick Cummings and Eugene Agichtein and Evgeniy Gabrilovich}, title = {{NEMO:} Next Career Move Prediction with Contextual Embedding}, booktitle = {Proceedings of the 26th International Conference on World Wide Web Companion, Perth, Australia, April 3-7, 2017}, pages = {505--513}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3041021.3054200}, doi = {10.1145/3041021.3054200}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/LiJTYHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-06623, author = {Yanjie Dong and Ahmed El Shafie and Md. Jahangir Hossain and Julian Cheng and Naofal Al{-}Dhahir and Victor C. M. Leung}, title = {Secure Beamforming in Full-Duplex {SWIPT} Systems}, journal = {CoRR}, volume = {abs/1709.06623}, year = {2017}, url = {http://arxiv.org/abs/1709.06623}, eprinttype = {arXiv}, eprint = {1709.06623}, timestamp = {Fri, 05 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-06623.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-06625, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Dynamic Cross-Layer Beamforming in Hybrid Powered Communication Systems With Harvest-Use-Trade Strategy}, journal = {CoRR}, volume = {abs/1709.06625}, year = {2017}, url = {http://arxiv.org/abs/1709.06625}, eprinttype = {arXiv}, eprint = {1709.06625}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-06625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-05818, author = {Yanjie Dong and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Fronthaul-Aware Group Sparse Precoding and Signal Splitting in {SWIPT} {C-RAN}}, journal = {CoRR}, volume = {abs/1711.05818}, year = {2017}, url = {http://arxiv.org/abs/1711.05818}, eprinttype = {arXiv}, eprint = {1711.05818}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-05818.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-04698, author = {Hong{-}Yen Chen and Chung{-}Yen Su}, title = {The Enhanced Hybrid MobileNet}, journal = {CoRR}, volume = {abs/1712.04698}, year = {2017}, url = {http://arxiv.org/abs/1712.04698}, eprinttype = {arXiv}, eprint = {1712.04698}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-04698.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiKHLYYCCCCWF16, author = {Tzuu{-}Hseng S. Li and Ping{-}Huan Kuo and Ya{-}Fang Ho and Chin{-}Yin Liu and Ting{-}Chieh Yu and Yan{-}Ting Ye and Chien{-}Yu Chang and Guan{-}Yu Chen and Chih{-}Wei Chien and Wei{-}Chung Chen and Li{-}Fan Wu and Nien{-}Chu Fang}, title = {Robots That Think Fast and Slow: An Example of Throwing the Ball Into the Basket}, journal = {{IEEE} Access}, volume = {4}, pages = {5052--5064}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2601167}, doi = {10.1109/ACCESS.2016.2601167}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiKHLYYCCCCWF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HungCCLCLLHL16, author = {Sheng{-}Jou Hung and Yi{-}Lin Chen and Chia{-}Hung Chu and Chuan{-}Chun Lee and Wan{-}Li Chen and Ya{-}Lan Lin and Ming{-}Ching Lin and Chung{-}Liang Ho and Tsunglin Liu}, title = {TRIg: a robust alignment pipeline for non-regular T-cell receptor and immunoglobulin sequences}, journal = {{BMC} Bioinform.}, volume = {17}, pages = {433}, year = {2016}, url = {https://doi.org/10.1186/s12859-016-1304-2}, doi = {10.1186/S12859-016-1304-2}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HungCCLCLLHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/LinKHC16, author = {Chun{-}Cheng Lin and Jia{-}Rong Kang and Chung{-}Chih Hou and Chen{-}Yang Cheng}, title = {Joint order batching and picker Manhattan routing problem}, journal = {Comput. Ind. Eng.}, volume = {95}, pages = {164--174}, year = {2016}, url = {https://doi.org/10.1016/j.cie.2016.03.009}, doi = {10.1016/J.CIE.2016.03.009}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/LinKHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChengH16, author = {Chia{-}Hsin Cheng and Chung{-}Ching Ho}, title = {Implementation of multi-channel technology in ZigBee wireless sensor networks}, journal = {Comput. Electr. Eng.}, volume = {56}, pages = {498--508}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2015.10.002}, doi = {10.1016/J.COMPELECENG.2015.10.002}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/ChengH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cem/ChangSHYCK16, author = {Cheng{-}Yuan Chang and Antonius Siswanto and Chung{-}Ying Ho and Ting{-}Kuo Yeh and Yi{-}Rou Chen and Sen M. Kuo}, title = {Listening in a Noisy Environment: Integration of active noise control in audio products}, journal = {{IEEE} Consumer Electron. Mag.}, volume = {5}, number = {4}, pages = {34--43}, year = {2016}, url = {https://doi.org/10.1109/MCE.2016.2590159}, doi = {10.1109/MCE.2016.2590159}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cem/ChangSHYCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HudecHWLCWFHLH16, author = {Boris Hudec and Chung{-}Wei Hsu and I{-}Ting Wang and Wei{-}Li Lai and Che{-}Chia Chang and Taifang Wang and Karol Fr{\"{o}}hlich and Chia{-}Hua Ho and Chen{-}Hsi Lin and Tuo{-}Hung Hou}, title = {3D resistive {RAM} cell design for high-density storage class memory - a review}, journal = {Sci. China Inf. Sci.}, volume = {59}, number = {6}, pages = {061403:1--061403:21}, year = {2016}, url = {https://doi.org/10.1007/s11432-016-5566-0}, doi = {10.1007/S11432-016-5566-0}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/HudecHWLCWFHLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChungHW16, author = {Min{-}Huey Chung and Cheng{-}Hsun Ho and Hsyien{-}Chia Wen}, title = {Predicting intentions of nurses to adopt patient personal health records: {A} structural equation modeling approach}, journal = {Comput. Methods Programs Biomed.}, volume = {136}, pages = {45--53}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.08.004}, doi = {10.1016/J.CMPB.2016.08.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ChungHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/BurrBSCWKSPLPEL16, author = {Geoffrey W. Burr and Matthew J. BrightSky and Abu Sebastian and Huai{-}Yu Cheng and Jau{-}Yi Wu and SangBum Kim and Norma E. Sosa and Nikolaos Papandreou and Hsiang{-}Lan Lung and Haralampos Pozidis and Evangelos Eleftheriou and Chung Hon Lam}, title = {Recent Progress in Phase-Change Memory Technology}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {6}, number = {2}, pages = {146--162}, year = {2016}, url = {https://doi.org/10.1109/JETCAS.2016.2547718}, doi = {10.1109/JETCAS.2016.2547718}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/BurrBSCWKSPLPEL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/SuC16, author = {Chung{-}Ho Su and Ching{-}Hsue Cheng}, title = {A hybrid fuzzy time series model based on {ANFIS} and integrated nonlinear feature selection method for forecasting stock}, journal = {Neurocomputing}, volume = {205}, pages = {264--273}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2016.03.068}, doi = {10.1016/J.NEUCOM.2016.03.068}, timestamp = {Tue, 21 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/SuC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/KuoWH16, author = {Wen{-}Chung Kuo and Chun{-}Cheng Wang and Hong{-}Ching Hou}, title = {Signed digit data hiding scheme}, journal = {Inf. Process. Lett.}, volume = {116}, number = {2}, pages = {183--191}, year = {2016}, url = {https://doi.org/10.1016/j.ipl.2015.08.003}, doi = {10.1016/J.IPL.2015.08.003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/KuoWH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChenYJRLL16, author = {Lei Chen and F. Richard Yu and Hong Ji and Bo Rong and Xi Li and Victor C. M. Leung}, title = {Green Full-Duplex Self-Backhaul and Energy Harvesting Small Cell Networks With Massive {MIMO}}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {34}, number = {12}, pages = {3709--3724}, year = {2016}, url = {https://doi.org/10.1109/JSAC.2016.2611846}, doi = {10.1109/JSAC.2016.2611846}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChenYJRLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouCSHLLYHWTTH16, author = {Chih{-}Hung Chou and Nai{-}Wen Chang and Sirjana Shrestha and Sheng{-}Da Hsu and Yu{-}Ling Lin and Wei{-}Hsiang Lee and Chi{-}Dung Yang and Hsiao{-}Chin Hong and Ting{-}Yen Wei and Siang{-}Jyun Tu and Tzi{-}Ren Tsai and Shu{-}Yi Ho and Ting{-}Yan Jian and Hsin{-}Yi Wu and Pin{-}Rong Chen and Nai{-}Chieh Lin and Hsin{-}Tzu Huang and Tzu{-}Ling Yang and Chung{-}Yuan Pai and Chun{-}San Tai and Wen{-}Liang Chen and Chia{-}Yen Huang and Chun{-}Chi Liu and Shun{-}Long Weng and Kuang{-}Wen Liao and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase 2016: updates to the experimentally validated miRNA-target interactions database}, journal = {Nucleic Acids Res.}, volume = {44}, number = {Database-Issue}, pages = {239--247}, year = {2016}, url = {https://doi.org/10.1093/nar/gkv1258}, doi = {10.1093/NAR/GKV1258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouCSHLLYHWTTH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/HsuLHH16, author = {Po{-}Chun Hsu and Chen{-}Chih Lin and Shih{-}Jen Huang and Chung{-}Ru Ho}, title = {Effects of Cold Eddy on Kuroshio Meander and Its Surface Properties, East of Taiwan}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {9}, number = {11}, pages = {5055--5063}, year = {2016}, url = {https://doi.org/10.1109/JSTARS.2016.2524698}, doi = {10.1109/JSTARS.2016.2524698}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/HsuLHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/HongHCHLW16, author = {Ding{-}Yong Hong and Chun{-}Chen Hsu and Cheng{-}Yi Chou and Wei{-}Chung Hsu and Pangfeng Liu and Jan{-}Jan Wu}, title = {Optimizing Control Transfer and Memory Virtualization in Full System Emulators}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {12}, number = {4}, pages = {47:1--47:24}, year = {2016}, url = {https://doi.org/10.1145/2837027}, doi = {10.1145/2837027}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/HongHCHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ZhangXCNL16, author = {Haijun Zhang and Hong Xing and Julian Cheng and Arumugam Nallanathan and Victor C. M. Leung}, title = {Secure Resource Allocation for {OFDMA} Two-Way Relay Wireless Sensor Networks Without and With Cooperative Jamming}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {12}, number = {5}, pages = {1714--1725}, year = {2016}, url = {https://doi.org/10.1109/TII.2015.2489610}, doi = {10.1109/TII.2015.2489610}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ZhangXCNL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChenYJLL16, author = {Lei Chen and F. Richard Yu and Hong Ji and Gang Liu and Victor C. M. Leung}, title = {Distributed Virtual Resource Allocation in Small-Cell Networks With Full-Duplex Self-Backhauls and Virtualization}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {65}, number = {7}, pages = {5410--5423}, year = {2016}, url = {https://doi.org/10.1109/TVT.2015.2469149}, doi = {10.1109/TVT.2015.2469149}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChenYJLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/HongWSTC16, author = {Jhen{-}Yao Hong and Der{-}Lor Way and Zen{-}Chung Shih and Wen{-}Kai Tai and Chin{-}Chen Chang}, title = {Inner engraving for the creation of a balanced {LEGO} sculpture}, journal = {Vis. Comput.}, volume = {32}, number = {5}, pages = {569--578}, year = {2016}, url = {https://doi.org/10.1007/s00371-015-1072-4}, doi = {10.1007/S00371-015-1072-4}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/HongWSTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/ZhangDCHL16, author = {Haijun Zhang and Yanjie Dong and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {Fronthauling for 5G {LTE-U} Ultra Dense Cloud Small Cell Networks}, journal = {{IEEE} Wirel. Commun.}, volume = {23}, number = {6}, pages = {48--53}, year = {2016}, url = {https://doi.org/10.1109/MWC.2016.1600066WC}, doi = {10.1109/MWC.2016.1600066WC}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/ZhangDCHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/HoD16, author = {Chung{-}Cheng Ho and Scott C. Douglas}, editor = {Michael B. Matthews}, title = {Reduced-complexity direction-of-arrival estimation for large-aperture antenna arrays employing spatial ambiguities}, booktitle = {50th Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2016, Pacific Grove, CA, USA, November 6-9, 2016}, pages = {684--688}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ACSSC.2016.7869131}, doi = {10.1109/ACSSC.2016.7869131}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/acssc/HoD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LinC16, author = {Ching{-}Wen Lin and Chung{-}Ho Chen}, title = {A processor shield for software-based on-line self-test}, booktitle = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2016, Jeju, South Korea, October 25-28, 2016}, pages = {149--152}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APCCAS.2016.7803919}, doi = {10.1109/APCCAS.2016.7803919}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LinC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChenWHCHW16, author = {Sih{-}Huei Chen and Jia{-}Ching Wang and Wen{-}Chi Hsieh and Yu{-}Hao Chin and Chin{-}Wen Ho and Chung{-}Hsien Wu}, title = {Speech emotion classification using multiple kernel Gaussian process}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2016, Jeju, South Korea, December 13-16, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APSIPA.2016.7820708}, doi = {10.1109/APSIPA.2016.7820708}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ChenWHCHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuCCYLCTLCCTCL16, author = {Liang{-}Ying Lu and Ching{-}Yao Chang and Zhao{-}Hong Chen and Bo{-}Ting Yeh and Tai{-}Hua Lu and Peng{-}Yu Chen and Pin{-}Hao Tang and Kuen{-}Jong Lee and Lih{-}Yih Chiou and Soon{-}Jyh Chang and Chien{-}Hung Tsai and Chung{-}Ho Chen and Jai{-}Ming Lin}, title = {A testable and debuggable dual-core system with thermal-aware dynamic voltage and frequency scaling}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {17--18}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7427980}, doi = {10.1109/ASPDAC.2016.7427980}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuCCYLCTLCCTCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCHC16, author = {Tseng{-}Yi Chen and Yuan{-}Hao Chang and Chien{-}Chung Ho and Shuo{-}Han Chen}, title = {Enabling sub-blocks erase management to boost the performance of 3D {NAND} flash memory}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {92:1--92:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898018}, doi = {10.1145/2897937.2898018}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ChenCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HassanLHC16, author = {Md. Zoheb Hassan and Victor C. M. Leung and Md. Jahangir Hossain and Julian Cheng}, title = {Statistical Delay Aware Joint Power Allocations and Relay Selection for {NLOS} Multichannel {OWC}}, booktitle = {2016 {IEEE} Global Communications Conference, {GLOBECOM} 2016, Washington, DC, USA, December 4-8, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GLOCOM.2016.7842296}, doi = {10.1109/GLOCOM.2016.7842296}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HassanLHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenYJLLR16, author = {Lei Chen and F. Richard Yu and Hong Ji and Victor C. M. Leung and Xi Li and Bo Rong}, title = {A full-duplex self-backhaul scheme for small cell networks with massive {MIMO}}, booktitle = {2016 {IEEE} International Conference on Communications, {ICC} 2016, Kuala Lumpur, Malaysia, May 22-27, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICC.2016.7511567}, doi = {10.1109/ICC.2016.7511567}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ChenYJLLR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenYJRLL16, author = {Lei Chen and F. Richard Yu and Hong Ji and Bo Rong and Xi Li and Victor C. M. Leung}, title = {Energy harvesting small cell networks with full-duplex self-backhaul and massive {MIMO}}, booktitle = {2016 {IEEE} International Conference on Communications, {ICC} 2016, Kuala Lumpur, Malaysia, May 22-27, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICC.2016.7510700}, doi = {10.1109/ICC.2016.7510700}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ChenYJRLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HassanLHC16, author = {Md. Zoheb Hassan and Victor C. M. Leung and Md. Jahangir Hossain and Julian Cheng}, title = {QoS-aware joint power allocations and relay selection for {NLOS} coherent optical wireless communications}, booktitle = {2016 {IEEE} International Conference on Communications, {ICC} 2016, Kuala Lumpur, Malaysia, May 22-27, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICC.2016.7510825}, doi = {10.1109/ICC.2016.7510825}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/HassanLHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HassanLHC16a, author = {Md. Zoheb Hassan and Victor C. M. Leung and Md. Jahangir Hossain and Julian Cheng}, title = {QoS-aware and energy-aware adaptive power allocations for coherent optical wireless communications}, booktitle = {2016 {IEEE} International Conference on Communications, {ICC} 2016, Kuala Lumpur, Malaysia, May 22-27, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICC.2016.7511142}, doi = {10.1109/ICC.2016.7511142}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/HassanLHC16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/TsaiWCL16, author = {Hui{-}Huang Tsai and Mu{-}En Wu and Wei{-}Ho Chung and Cheng{-}Yu Lu}, editor = {Jeng{-}Shyang Pan and Jerry Chun{-}Wei Lin and Chia{-}Hung Wang and Xin Hua Jiang}, title = {On the Study of Trading Strategies Within Limited Arbitrage Based on {SVM}}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Tenth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2016, November 7-9, 2016, Fuzhou City, Fujian Province, China}, series = {Advances in Intelligent Systems and Computing}, volume = {536}, pages = {120--126}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48490-7\_15}, doi = {10.1007/978-3-319-48490-7\_15}, timestamp = {Tue, 07 Apr 2020 12:12:38 +0200}, biburl = {https://dblp.org/rec/conf/icgec/TsaiWCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icls/HongCTLW16, author = {Huang{-}Yao Hong and Bodong Chen and Chin{-}Chung Tsai and Chiu{-}Pin Lin and Ying{-}Tien Wu}, editor = {Chee{-}Kit Looi and Joseph L. Polman and Ulrike Cress and Peter Reimann}, title = {Fostering More Informed Epistemic Views Among Students Through Knowledge Building}, booktitle = {Transforming Learning, Empowering Learners: Proceedings of the 12th International Conference of the Learning Sciences, {ICLS} 2016, Singapore, June 20-24, 2016}, publisher = {International Society of the Learning Sciences}, year = {2016}, url = {https://repository.isls.org/handle/1/310}, timestamp = {Tue, 04 May 2021 17:49:01 +0200}, biburl = {https://dblp.org/rec/conf/icls/HongCTLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/YangCC16, author = {Ting{-}Ya Yang and Houshou Chen and Kuo{-}Chen Chung}, title = {Generation of cyclically permutable codes by galois field Fourier transform}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {322--325}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537041}, doi = {10.1109/ICUFN.2016.7537041}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/YangCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/ChenCCLLZ16, author = {Yu{-}Chi Chen and Sherman S. M. Chow and Kai{-}Min Chung and Russell W. F. Lai and Wei{-}Kai Lin and Hong{-}Sheng Zhou}, editor = {Madhu Sudan}, title = {Cryptography for Parallel {RAM} from Indistinguishability Obfuscation}, booktitle = {Proceedings of the 2016 {ACM} Conference on Innovations in Theoretical Computer Science, Cambridge, MA, USA, January 14-16, 2016}, pages = {179--190}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2840728.2840769}, doi = {10.1145/2840728.2840769}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/innovations/ChenCCLLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangHHWLC16, author = {Yun{-}Chi Huang and Kuan{-}Chieh Hsu and Wan{-}shan Hsieh and Chen{-}Chieh Wang and Chia{-}Han Lu and Chung{-}Ho Chen}, title = {Dynamic {SIMD} re-convergence with paired-path comparison}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {233--236}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527213}, doi = {10.1109/ISCAS.2016.7527213}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangHHWLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismir/ChungLC16, author = {Chia{-}Hao Chung and Jing{-}Kai Lou and Homer H. Chen}, editor = {Michael I. Mandel and Johanna Devaney and Douglas Turnbull and George Tzanetakis}, title = {A Latent Representation of Users, Sessions, and Songs for Listening Behavior Analysis}, booktitle = {Proceedings of the 17th International Society for Music Information Retrieval Conference, {ISMIR} 2016, New York City, United States, August 7-11, 2016}, pages = {323--329}, year = {2016}, url = {https://wp.nyu.edu/ismir2016/wp-content/uploads/sites/2294/2016/07/110\_Paper.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismir/ChungLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisec2/ChenCKHLYR16, author = {Hsing{-}Chung Chen and Hong Chang and Tzu{-}Liang Kung and Yung{-}Fa Huang and Ze{-}Min Lin and Pei{-}Chi Yeh and Qiu{-}Hua Ruan}, editor = {Ilsun You and Fang{-}Yie Leu and Hsing{-}Chung Chen and Igor V. Kotenko}, title = {A Secure Color-Code Key Exchange Protocol for Mobile Chat Application}, booktitle = {Mobile Internet Security - First International Symposium, MobiSec 2016, Taichung, Taiwan, July 14-15, 2016, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {797}, pages = {54--64}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-7850-7\_6}, doi = {10.1007/978-981-10-7850-7\_6}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisec2/ChenCKHLYR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/ChungCHK16, author = {Hyunsuk Chung and Renjie Chen and Soyeon Caren Han and Byeong Ho Kang}, editor = {Richard Booth and Min{-}Ling Zhang}, title = {Combining RDR-Based Machine Learning Approach and Human Expert Knowledge for Phishing Prediction}, booktitle = {{PRICAI} 2016: Trends in Artificial Intelligence - 14th Pacific Rim International Conference on Artificial Intelligence, Phuket, Thailand, August 22-26, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9810}, pages = {80--92}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-42911-3\_7}, doi = {10.1007/978-3-319-42911-3\_7}, timestamp = {Mon, 04 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pricai/ChungCHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qshine/PadhyCHCKH16, author = {Satyajit Padhy and Hsin{-}Yu Chang and Ting{-}Fang Hou and Jerry Chou and Chung{-}Ta King and Cheng{-}Hsin Hsu}, editor = {Jong{-}Hyouk Lee and Sangheon Pack}, title = {A Middleware Solution for Optimal Sensor Management of IoT Applications on {LTE} Devices}, booktitle = {Quality, Reliability, Security and Robustness in Heterogeneous Networks - 12th International Conference, QShine 2016, Seoul, Korea, July 7-8, 2016, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {199}, pages = {283--292}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-60717-7\_28}, doi = {10.1007/978-3-319-60717-7\_28}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qshine/PadhyCHCKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ChenFA16, author = {Yilan Chen and Hongbo Fu and Oscar Kin{-}Chung Au}, editor = {Johannes Kopf and Phillip Chi{-}Wing Fu}, title = {A multi-level sketch-based interface for decorative pattern exploration}, booktitle = {{SIGGRAPH} {ASIA} 2016, Macao, December 5-8, 2016 - Technical Briefs}, pages = {26}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3005362}, timestamp = {Mon, 03 Jul 2023 17:35:02 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/ChenFA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/LinCCL16, author = {Li{-}Feng Lin and Wei{-}Ho Chung and Hsin{-}Jung Chen and Ta{-}Sung Lee}, title = {Energy Efficient Hybrid Precoding for Multi-User Massive {MIMO} Systems Using Low-Resolution ADCs}, booktitle = {2016 {IEEE} International Workshop on Signal Processing Systems, SiPS 2016, Dallas, TX, USA, October 26-28, 2016}, pages = {115--120}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SiPS.2016.28}, doi = {10.1109/SIPS.2016.28}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/LinCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BarnsonCCCCLLLL16, author = {Cody Barnson and Dawn Chandler and Qiao Chen and Christina Chung and Andrew Coccimiglio and Sean La and Lily Li and A{\"{\i}}na Linn and Anna Lubiw and Clare Lyle and Shikha Mahajan and Gregory W. Mierzwinski and Simon Pratt and Yoon Su Yoo and Hongbo Zhang and Kevin Zhang}, title = {Some Counterexamples for Compatible Triangulations}, journal = {CoRR}, volume = {abs/1612.04861}, year = {2016}, url = {http://arxiv.org/abs/1612.04861}, eprinttype = {arXiv}, eprint = {1612.04861}, timestamp = {Tue, 07 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/BarnsonCCCCLLLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChenYJRL16, author = {Lei Chen and F. Richard Yu and Hong Ji and Bo Rong and Victor C. M. Leung}, title = {Dynamic Resource Allocation in Next Generation Cellular Networks with Full-Duplex Self-backhauls}, journal = {CoRR}, volume = {abs/1611.06302}, year = {2016}, url = {http://arxiv.org/abs/1611.06302}, eprinttype = {arXiv}, eprint = {1611.06302}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ChenYJRL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ZhangDCHL16, author = {Haijun Zhang and Yanjie Dong and Julian Cheng and Md. Jahangir Hossain and Victor C. M. Leung}, title = {Fronthauling for 5G {LTE-U} Ultra Dense Cloud Small Cell Networks}, journal = {CoRR}, volume = {abs/1607.07015}, year = {2016}, url = {http://arxiv.org/abs/1607.07015}, eprinttype = {arXiv}, eprint = {1607.07015}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ZhangDCHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChenLLYHL15, author = {Yi{-}Lin Chen and Chuan{-}Chun Lee and Ya{-}Lan Lin and Kai{-}Min Yin and Chung{-}Liang Ho and Tsunglin Liu}, title = {Obtaining long 16S rDNA sequences using multiple primers and its application on dioxin-containing samples}, journal = {{BMC} Bioinform.}, volume = {16}, number = {{S18}}, pages = {S13}, year = {2015}, url = {https://doi.org/10.1186/1471-2105-16-s18-s13}, doi = {10.1186/1471-2105-16-S18-S13}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChenLLYHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HuangWSHCCLCH15, author = {Hui{-}Ling Huang and Yu{-}Chung Wu and Li{-}Jen Su and Yun{-}Ju Huang and Phasit Charoenkwan and Wen{-}Liang Chen and Hua{-}Chin Lee and William C. Chu and Shinn{-}Ying Ho}, title = {Discovery of prognostic biomarkers for predicting lung cancer metastasis using microarray and survival data}, journal = {{BMC} Bioinform.}, volume = {16}, pages = {54:1--54:14}, year = {2015}, url = {https://doi.org/10.1186/s12859-015-0463-x}, doi = {10.1186/S12859-015-0463-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/HuangWSHCCLCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LinTLLC15, author = {Pei{-}Feng Lin and Jen{-}Ho Tsao and Men{-}Tzung Lo and Chen Lin and Yi{-}Chung Chang}, title = {Symbolic Entropy of the Amplitude rather than the Instantaneous Frequency of {EEG} Varies in Dementia}, journal = {Entropy}, volume = {17}, number = {2}, pages = {560--579}, year = {2015}, url = {https://doi.org/10.3390/e17020560}, doi = {10.3390/E17020560}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/LinTLLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/irob/ChungHH15, author = {Hung{-}Yuan Chung and Chun{-}Cheng Hou and Sheng{-}Yen Hsu}, title = {Hexapod moving in complex terrains via a new adaptive {CPG} gait design}, journal = {Ind. Robot}, volume = {42}, number = {2}, pages = {129--141}, year = {2015}, url = {https://doi.org/10.1108/IR-10-2014-0403}, doi = {10.1108/IR-10-2014-0403}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/irob/ChungHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/HouCCSH15, author = {Chun{-}Ching Hou and Che{-}Kai Chang and Yi{-}Chung Chen and Heng{-}Yi Su and Yu{-}Liang Hsu}, title = {Finding Similar Users in Social Networks by Using the Neural-Based Skyline Region}, journal = {J. Comput.}, volume = {10}, number = {5}, pages = {292--299}, year = {2015}, url = {https://doi.org/10.17706/jcp.10.5.292-299}, doi = {10.17706/JCP.10.5.292-299}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcp/HouCCSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/BadanoRCCGKKSSTBCFHHHMMNNPSYY15, author = {Aldo Badano and Craig Revie and Andrew Casertano and Wei{-}Chung Cheng and Phil J. Green and Tom Kimpe and Elizabeth A. Krupinski and Christye Sisson and Stein Olav Skr{\o}vseth and Darren Treanor and Paul A. Boynton and David A. Clunie and Michael J. Flynn and Tatsuo Heki and Stephen M. Hewitt and Hiroyuki Homma and Andy Masia and Takashi Matsui and Bal{\'{a}}zs Nagy and Masahiro Nishibori and John Penczek and Thomas R. Schopf and Yukako Yagi and Hideto Yokoi}, title = {Consistency and Standardization of Color in Medical Imaging: a Consensus Report}, journal = {J. Digit. Imaging}, volume = {28}, number = {1}, pages = {41--52}, year = {2015}, url = {https://doi.org/10.1007/s10278-014-9721-0}, doi = {10.1007/S10278-014-9721-0}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/BadanoRCCGKKSSTBCFHHHMMNNPSYY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsuHLH15, author = {Jia{-}Lien Hsu and Ping{-}Cheng Hung and Hung{-}Yen Lin and Chung{-}Ho Hsieh}, title = {Applying Under-Sampling Techniques and Cost-Sensitive Learning Methods on Risk Assessment of Breast Cancer}, journal = {J. Medical Syst.}, volume = {39}, number = {4}, pages = {40}, year = {2015}, url = {https://doi.org/10.1007/s10916-015-0210-x}, doi = {10.1007/S10916-015-0210-X}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/HsuHLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/HsuHHLW15, author = {Chun{-}Chen Hsu and Ding{-}Yong Hong and Wei{-}Chung Hsu and Pangfeng Liu and Jan{-}Jan Wu}, title = {A dynamic binary translation system in a client/server environment}, journal = {J. Syst. Archit.}, volume = {61}, number = {7}, pages = {307--319}, year = {2015}, url = {https://doi.org/10.1016/j.sysarc.2015.05.002}, doi = {10.1016/J.SYSARC.2015.05.002}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/HsuHHLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/NuwanpriyaHC15, author = {Asanka Nuwanpriya and Siu{-}Wai Ho and Chung Shue Chen}, title = {Indoor {MIMO} Visible Light Communications: Novel Angle Diversity Receivers for Mobile Users}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {33}, number = {9}, pages = {1780--1792}, year = {2015}, url = {https://doi.org/10.1109/JSAC.2015.2432514}, doi = {10.1109/JSAC.2015.2432514}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/NuwanpriyaHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/HosseinborCKSRP15, author = {Ameer Pasha Hosseinbor and Moo K. Chung and Cheng Guan Koay and Stacey M. Schaefer and Carien M. van Reekum and Lara Peschke{-}Schmitz and Mattew J. Sutterer and Andrew L. Alexander and Richard J. Davidson}, title = {4D hyperspherical harmonic (HyperSPHARM) representation of surface anatomy: {A} holistic treatment of multiple disconnected anatomical structures}, journal = {Medical Image Anal.}, volume = {22}, number = {1}, pages = {89--101}, year = {2015}, url = {https://doi.org/10.1016/j.media.2015.02.004}, doi = {10.1016/J.MEDIA.2015.02.004}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/HosseinborCKSRP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChouHHLCH15, author = {Chun{-}Tse Chou and Boris Hudec and Chung{-}Wei Hsu and Wei{-}Li Lai and Chih{-}Cheng Chang and Tuo{-}Hung Hou}, title = {Crossbar array of selector-less TaO\({}_{\mbox{x}}\)/TiO\({}_{\mbox{2}}\) bilayer {RRAM}}, journal = {Microelectron. Reliab.}, volume = {55}, number = {11}, pages = {2220--2223}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.04.002}, doi = {10.1016/J.MICROREL.2015.04.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChouHHLCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/KuoSHC15, author = {Jun{-}Li Kuo and Chen{-}Hua Shih and Cheng{-}Yuan Ho and Yaw{-}Chung Chen}, title = {Advanced bootstrap and adjusted bandwidth for content distribution in peer-to-peer live streaming}, journal = {Peer-to-Peer Netw. Appl.}, volume = {8}, number = {3}, pages = {414--431}, year = {2015}, url = {https://doi.org/10.1007/s12083-014-0263-5}, doi = {10.1007/S12083-014-0263-5}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/KuoSHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LuZCCCWSHLTC15, author = {Jingwei Lu and Hao Zhuang and Pengwen Chen and Hongliang Chang and Chin{-}Chih Chang and Yiu{-}Chung Wong and Lu Sha and Dennis J.{-}H. Huang and Yufeng Luo and Chin{-}Chi Teng and Chung{-}Kuan Cheng}, title = {ePlace-MS: Electrostatics-Based Placement for Mixed-Size Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {5}, pages = {685--698}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2391263}, doi = {10.1109/TCAD.2015.2391263}, timestamp = {Thu, 11 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LuZCCCWSHLTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChungHC15, author = {Hung{-}Yuan Chung and Chun{-}Cheng Hou and Yu{-}Shan Chen}, title = {Indoor Intelligent Mobile Robot Localization Using Fuzzy Compensation and Kalman Filter to Fuse the Data of Gyroscope and Magnetometer}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {10}, pages = {6436--6447}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2416692}, doi = {10.1109/TIE.2015.2416692}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChungHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ShiehHCWC15, author = {Wern{-}Yarng Shieh and Chen{-}Chien James Hsu and Hsin{-}Chuan Chen and Ti{-}Ho Wang and Chien{-}Chung Chen}, title = {Construction of Infrared Signal-Direction Discriminator for Intervehicle Communication}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {64}, number = {6}, pages = {2436--2447}, year = {2015}, url = {https://doi.org/10.1109/TVT.2014.2342262}, doi = {10.1109/TVT.2014.2342262}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ShiehHCWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/KuoWCC15, author = {Wen{-}Chung Kuo and Hong{-}Ji Wei and Yu{-}Hui Chen and Jiin{-}Chiou Cheng}, title = {An Enhanced Secure Anonymous Authentication Scheme Based on Smart Cards and Biometrics for Multi-server Environments}, booktitle = {10th Asia Joint Conference on Information Security, AsiaJCIS 2015, Kaohsiung City, Taiwan, May 24-26, 2015}, pages = {1--5}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AsiaJCIS.2015.11}, doi = {10.1109/ASIAJCIS.2015.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/KuoWCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ShuaiSHYCLC15, author = {Hong{-}Han Shuai and Chih{-}Ya Shen and Hsiang{-}Chun Hsu and De{-}Nian Yang and Chung{-}Kuang Chou and Jihg{-}Hong Lin and Ming{-}Syan Chen}, title = {Revenue maximization for telecommunications company with social viral marketing}, booktitle = {2015 {IEEE} International Conference on Big Data {(IEEE} BigData 2015), Santa Clara, CA, USA, October 29 - November 1, 2015}, pages = {1306--1310}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BigData.2015.7363886}, doi = {10.1109/BIGDATA.2015.7363886}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/ShuaiSHYCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/HuynhCH15, author = {The Dang Huynh and Chung Shue Chen and Siu{-}Wai Ho}, title = {Exploiting user movement for position detection}, booktitle = {12th Annual {IEEE} Consumer Communications and Networking Conference, {CCNC} 2015, Las Vegas, NV, USA, January 9-12, 2015}, pages = {513--518}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CCNC.2015.7158027}, doi = {10.1109/CCNC.2015.7158027}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/HuynhCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/LuSLCKL15, author = {Kangjie Lu and Chengyu Song and Byoungyoung Lee and Simon P. Chung and Taesoo Kim and Wenke Lee}, editor = {Indrajit Ray and Ninghui Li and Christopher Kruegel}, title = {ASLR-Guard: Stopping Address Space Leakage for Code Reuse Attacks}, booktitle = {Proceedings of the 22nd {ACM} {SIGSAC} Conference on Computer and Communications Security, Denver, CO, USA, October 12-16, 2015}, pages = {280--291}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2810103.2813694}, doi = {10.1145/2810103.2813694}, timestamp = {Tue, 10 Nov 2020 19:58:09 +0100}, biburl = {https://dblp.org/rec/conf/ccs/LuSLCKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/HoWZCHHC15, author = {Su{-}Shien Ho and Chun{-}Feng Wu and Jiazheng Zhou and Wenguang Chen and Ching{-}Hsien Hsu and Hung{-}Chang Hsiao and Yeh{-}Ching Chung}, editor = {Sheikh Iqbal Ahamed and Carl K. Chang and William C. Chu and Ivica Crnkovic and Pao{-}Ann Hsiung and Gang Huang and Jingwei Yang}, title = {Distributed Metaserver Mechanism and Recovery Mechanism Support in Quantcast File System}, booktitle = {39th {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2015, Taichung, Taiwan, July 1-5, 2015. Volume 2}, pages = {758--763}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/COMPSAC.2015.109}, doi = {10.1109/COMPSAC.2015.109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/HoWZCHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LinHFYYL15, author = {Xin{-}Yu Lin and Te{-}Wei Ho and Cheng{-}Chung Fang and Zui{-}Shen Yen and Bey{-}Jing Yang and Feipei Lai}, title = {A mobile indoor positioning system based on iBeacon technology}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {4970--4973}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319507}, doi = {10.1109/EMBC.2015.7319507}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LinHFYYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenYJLL15, author = {Lei Chen and F. Richard Yu and Hong Ji and Gang Liu and Victor C. M. Leung}, title = {Distributed Resource Allocation for Virtualized Small Cell Networks with Full Duplex Self-Backhauls}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417391}, doi = {10.1109/GLOCOM.2014.7417391}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChenYJLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/DongZHCL15, author = {Yanjie Dong and Haijun Zhang and Md. Jahangir Hossain and Julian Cheng and Victor C. M. Leung}, title = {Energy Efficient Resource Allocation for {OFDMA} Full Duplex Distributed Antenna Systems with Energy Recycling}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417780}, doi = {10.1109/GLOCOM.2014.7417780}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/DongZHCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HassanLHC15, author = {Md. Zoheb Hassan and Victor C. M. Leung and Md. Jahangir Hossain and Julian Cheng}, title = {Effective Capacity Performance of Coherent {POLMUX} {OWC} with Power Adaptation}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417542}, doi = {10.1109/GLOCOM.2014.7417542}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/HassanLHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HuynhCH15, author = {The Dang Huynh and Chung Shue Chen and Siu{-}Wai Ho}, title = {Localization method for device-to-device through user movement}, booktitle = {{IEEE} International Conference on Communication, {ICC} 2015, London, United Kingdom, June 8-12, 2015, Workshop Proceedings}, pages = {821--826}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCW.2015.7247279}, doi = {10.1109/ICCW.2015.7247279}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/HuynhCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/HsuHKL15, author = {Chen{-}Chien J. Hsu and Ru{-}Yu Hou and Wen{-}Chung Kao and Shih{-}An Li}, title = {FPGA-based path planning using improved Ant Colony Optimization Algorithm}, booktitle = {{IEEE} 5th International Conference on Consumer Electronics - Berlin, ICCE-Berlin 2015, Berlin, Germany, September 6-9, 2015}, pages = {443--444}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-Berlin.2015.7391304}, doi = {10.1109/ICCE-BERLIN.2015.7391304}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/HsuHKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChungCY15, author = {Kuo{-}Chen Chung and Houshou Chen and Ting{-}Ya Yang}, title = {Low complexity {PTS} algorithms with error correction capability in {OFDM} systems}, booktitle = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, pages = {254--256}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICUFN.2015.7182545}, doi = {10.1109/ICUFN.2015.7182545}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChungCY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/HsuLH15, author = {Po{-}Chun Hsu and Chen{-}Chih Lin and Chung{-}Ru Ho}, title = {Heavy rainfall effects on the salinity of Kuroshio surface water East of Taiwan}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {121--123}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7325713}, doi = {10.1109/IGARSS.2015.7325713}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/HsuLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChiuLTWLCWHHLCC15, author = {Cheng Pu Chiu and Yen{-}Chun Liu and Bin{-}Siang Tsai and Yi{-}Jing Wang and Yeh{-}Sheng Lin and Yun{-}Ru Chen and Chien{-}Lin Weng and Sheng{-}Yuan Hsueh and Jack Hung and Ho{-}Yu Lai and Jei{-}Ming Chen and Albert H.{-}B. Cheng and Chien{-}Chung Huang}, title = {{TDDB} improvement of copper/dielectric in the highly-integrated {BEOL} structure for 28nm technology node and beyond}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112701}, doi = {10.1109/IRPS.2015.7112701}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ChiuLTWLCWHHLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YenCC15, author = {Chien{-}Hsuan Yen and Chung{-}Ho Chen and Kuan{-}Chung Chen}, title = {A memory-efficient NoC system for OpenCL many-core platform}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1386--1389}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168901}, doi = {10.1109/ISCAS.2015.7168901}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YenCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/HouLSC15, author = {Cheng{-}Guan Hou and Shih{-}Chun Lin and Shih{-}Tang Su and Wei{-}Ho Chung}, title = {Fault tolerant quickest detection for power quality events in smart grid {AMI} networks}, booktitle = {2015 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2015, Nusa Dua Bali, Indonesia, November 9-12, 2015}, pages = {159--163}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISPACS.2015.7432757}, doi = {10.1109/ISPACS.2015.7432757}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/HouLSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/JiCSLCL15, author = {Baofeng Ji and Sudan Chen and Kang Song and Chunguo Li and Hongjun Chen and Zhiqun Li}, title = {Throughput enhancement schemes for {IEEE} 802.11ah based on multi-layer cooperation}, booktitle = {International Wireless Communications and Mobile Computing Conference, {IWCMC} 2015, Dubrovnik, Croatia, August 24-28, 2015}, pages = {1112--1116}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWCMC.2015.7289238}, doi = {10.1109/IWCMC.2015.7289238}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/JiCSLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/ChungC15, author = {Chia{-}Hao Chung and Homer H. Chen}, title = {Vector representation of emotion flow for popular music}, booktitle = {17th {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2015, Xiamen, China, October 19-21, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MMSP.2015.7340797}, doi = {10.1109/MMSP.2015.7340797}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/ChungC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/LoLCCS15, author = {Shi{-}Wu Lo and Hong{-}Yi Lin and Zhengyuan Chen and Yi{-}Chung Chiang and Tzu{-}Chieh Shen}, editor = {Esmaeil S. Nadimi and Tom{\'{a}}s Cern{\'{y}} and Sung{-}Ryul Kim and Wei Wang}, title = {Improving response time after resuming by reorganizing swap space}, booktitle = {Proceedings of the 2015 Conference on research in adaptive and convergent systems, {RACS} 2015, Prague, Czech Republic, October 9-12, 2015}, pages = {384--388}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811411.2811464}, doi = {10.1145/2811411.2811464}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/LoLCCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ChengJD15, author = {Hongtai Cheng and Guangfei Ji and Chungang Du}, title = {Mobility enhancement design and control for a Dual-Wheel Dual-Armed Mobile Manipulator}, booktitle = {2015 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2015, Zhuhai, China, December 6-9, 2015}, pages = {2091--2096}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ROBIO.2015.7419082}, doi = {10.1109/ROBIO.2015.7419082}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/ChengJD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/SuHLLC15, author = {Shih{-}Tang Su and Cheng{-}Guan Hou and Shih{-}Chun Lin and Chan{-}Nan Lu and Wei{-}Ho Chung}, title = {Quickest change detection via fault tolerant decision fusion for multiple power quality events}, booktitle = {24th Wireless and Optical Communication Conference, {WOCC} 2015, Taipei, Taiwan, October 23-24, 2015}, pages = {67--71}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/WOCC.2015.7346179}, doi = {10.1109/WOCC.2015.7346179}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/wocc/SuHLLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChenYJLL15, author = {Lei Chen and F. Richard Yu and Hong Ji and Gang Liu and Victor C. M. Leung}, title = {Distributed Virtual Resource Allocation in Small Cell Networks with Full Duplex Self-backhauls and Virtualization}, journal = {CoRR}, volume = {abs/1510.05746}, year = {2015}, url = {http://arxiv.org/abs/1510.05746}, eprinttype = {arXiv}, eprint = {1510.05746}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ChenYJLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChenCCLLZ15, author = {Yu{-}Chi Chen and Sherman S. M. Chow and Kai{-}Min Chung and Russell W. F. Lai and Wei{-}Kai Lin and Hong{-}Sheng Zhou}, title = {Computation-Trace Indistinguishability Obfuscation and its Applications}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {406}, year = {2015}, url = {http://eprint.iacr.org/2015/406}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChenCCLLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LiouCSVLLCHH14, author = {Yi{-}Fan Liou and Phasit Charoenkwan and Yerukala Sathipati Srinivasulu and Tamara Vasylenko and Shih{-}Chung Lai and Hua{-}Chin Lee and Yi{-}Hsiung Chen and Hui{-}Ling Huang and Shinn{-}Ying Ho}, title = {{SCMHBP:} prediction and analysis of heme binding proteins using propensity scores of dipeptides}, journal = {{BMC} Bioinform.}, volume = {15}, number = {{S-16}}, pages = {S4}, year = {2014}, url = {https://doi.org/10.1186/1471-2105-15-S16-S4}, doi = {10.1186/1471-2105-15-S16-S4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LiouCSVLLCHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ChinCWHKL14, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Hsin{-}Hung Wu and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {cytoHubba: identifying hub objects and sub-networks from complex interactome}, journal = {{BMC} Syst. Biol.}, volume = {8}, number = {{S-4}}, pages = {S11}, year = {2014}, url = {https://doi.org/10.1186/1752-0509-8-S4-S11}, doi = {10.1186/1752-0509-8-S4-S11}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/ChinCWHKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/LuCCC14, author = {Shih{-}Jung Lu and Ronald Y. Chang and Wei{-}Ho Chung and Chiao{-}En Chen}, title = {Realizing high-accuracy transmission in high-rate data broadcasting networks with heterogeneous users via cooperative communication}, journal = {Digit. Signal Process.}, volume = {25}, pages = {93--103}, year = {2014}, url = {https://doi.org/10.1016/j.dsp.2013.10.024}, doi = {10.1016/J.DSP.2013.10.024}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dsp/LuCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ChangWCLYLTTTS14, author = {Yi{-}Chung Chang and Hsien{-}Tsai Wu and Hong{-}Ruei Chen and An{-}Bang Liu and Jung{-}Jen Yeh and Men{-}Tzung Lo and Jen{-}Ho Tsao and Chieh{-}Ju Tang and I{-}Ting Tsai and Cheuk{-}Kwan Sun}, title = {Application of a Modified Entropy Computational Method in Assessing the Complexity of Pulse Wave Velocity Signals in Healthy and Diabetic Subjects}, journal = {Entropy}, volume = {16}, number = {7}, pages = {4032--4043}, year = {2014}, url = {https://doi.org/10.3390/e16074032}, doi = {10.3390/E16074032}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ChangWCLYLTTTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenC14, author = {Ho{-}Chyuan Chen and Mei{-}Fang Chung}, title = {How to go global with differentiated products}, journal = {Expert Syst. Appl.}, volume = {41}, number = {7}, pages = {3484--3490}, year = {2014}, url = {https://doi.org/10.1016/j.eswa.2013.10.052}, doi = {10.1016/J.ESWA.2013.10.052}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/ChenCLLJYCH14, author = {Chia{-}Pang Chen and Cheng{-}Long Chuang and Tzu{-}Shiang Lin and Chun{-}Yi Liu and Joe{-}Air Jiang and Hsiao{-}Wei Yuan and Chyi{-}Rong Chiou and Chung{-}Hang Hong}, title = {TernCam: an automated energy-efficient visual surveillance system}, journal = {Int. J. Comput. Sci. Eng.}, volume = {9}, number = {1/2}, pages = {44--54}, year = {2014}, url = {https://doi.org/10.1504/IJCSE.2014.058696}, doi = {10.1504/IJCSE.2014.058696}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/ChenCLLJYCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/interactions/LiangCTKHYCGBWKHPKCLLUUHE14, author = {Rong{-}Hao Liang and Li{-}Wei Chan and Hung{-}Yu Tseng and Han{-}Chih Kuo and Da{-}Yuan Huang and De{-}Nian Yang and Bing{-}Yu Chen and Tobias Grosse{-}Puppendahl and Sebastian Beck and Daniel Wilbers and Arjan Kuijper and Heejeong Heo and HyungKun Park and Seungki Kim and Jeeyong Chung and Geehyuk Lee and Woohun Lee and Carl Unander{-}Scharin and {\AA}sa Unander{-}Scharin and Kristina H{\"{o}}{\"{o}}k and Ludvig Elblaus}, title = {Demo hour}, journal = {Interactions}, volume = {21}, number = {5}, pages = {6--9}, year = {2014}, url = {https://doi.org/10.1145/2641409}, doi = {10.1145/2641409}, timestamp = {Tue, 11 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/interactions/LiangCTKHYCGBWKHPKCLLUUHE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/istr/KuoWC14, author = {Wen{-}Chung Kuo and Hong{-}Ji Wei and Jiin{-}Chiou Cheng}, title = {An efficient and secure anonymous mobility network authentication scheme}, journal = {J. Inf. Secur. Appl.}, volume = {19}, number = {1}, pages = {18--24}, year = {2014}, url = {https://doi.org/10.1016/j.jisa.2013.12.002}, doi = {10.1016/J.JISA.2013.12.002}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/istr/KuoWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itc/KuoWC14, author = {Wen{-}Chung Kuo and Hong{-}Ji Wei and Jiin{-}Chiou Cheng}, title = {Enhanced Secure Authentication Scheme with Anonymity for Roaming in Mobility Networks}, journal = {Inf. Technol. Control.}, volume = {43}, number = {2}, pages = {151--156}, year = {2014}, url = {https://doi.org/10.5755/j01.itc.43.2.4029}, doi = {10.5755/J01.ITC.43.2.4029}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itc/KuoWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChenLSLO14, author = {Yuan{-}Ho Chen and Chih{-}Wen Lu and Shian{-}Shing Shyu and Chung{-}Lin Lee and Ting{-}Chia Ou}, title = {A Multi-stage Fault-Tolerant Multiplier with Triple Module Redundancy {(TMR)} Technique}, journal = {J. Circuits Syst. Comput.}, volume = {23}, number = {5}, year = {2014}, url = {https://doi.org/10.1142/S0218126614500741}, doi = {10.1142/S0218126614500741}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ChenLSLO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/ChenTLGHSS14, author = {Fu{-}Ming Chen and Jason Sheng{-}Hong Tsai and Ying Ting Liao and Shu{-}Mei Guo and Ming{-}Chung Ho and Fu{-}Zen Shaw and Leang{-}San Shieh}, title = {An improvement on the transient response of tracking for the sampled-data system based on an improved PD-type iterative learning control}, journal = {J. Frankl. Inst.}, volume = {351}, number = {2}, pages = {1130--1150}, year = {2014}, url = {https://doi.org/10.1016/j.jfranklin.2013.10.014}, doi = {10.1016/J.JFRANKLIN.2013.10.014}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/ChenTLGHSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/KuoSHC14, author = {Jun{-}Li Kuo and Chen{-}Hua Shih and Cheng{-}Yuan Ho and Yaw{-}Chung Chen}, title = {A cross-layer middleware for context-aware cooperative application on mobile ad hoc peer-to-peer network}, journal = {J. Syst. Softw.}, volume = {92}, pages = {95--106}, year = {2014}, url = {https://doi.org/10.1016/j.jss.2013.10.007}, doi = {10.1016/J.JSS.2013.10.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/KuoSHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenCCHJKLHCFCHLWSHYW14, author = {Wei{-}Ming Chen and Herming Chiueh and Tsan{-}Jieh Chen and Chia{-}Lun Ho and Chi Jeng and Ming{-}Dou Ker and Chun{-}Yu Lin and Ya{-}Chun Huang and Chia{-}Wei Chou and Tsun{-}Yuan Fan and Ming{-}Seng Cheng and Yue{-}Loong Hsin and Sheng{-}Fu Liang and Yu{-}Lin Wang and Fu{-}Zen Shaw and Yu{-}Hsing Huang and Chia{-}Hsiang Yang and Chung{-}Yu Wu}, title = {A Fully Integrated 8-Channel Closed-Loop Neural-Prosthetic {CMOS} SoC for Real-Time Epileptic Seizure Control}, journal = {{IEEE} J. Solid State Circuits}, volume = {49}, number = {1}, pages = {232--247}, year = {2014}, url = {https://doi.org/10.1109/JSSC.2013.2284346}, doi = {10.1109/JSSC.2013.2284346}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenCCHJKLHCFCHLWSHYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChengHZK14, author = {Yu{-}Hsin Cheng and Chung{-}Ru Ho and Quanan Zheng and Nan{-}Jung Kuo}, title = {Statistical Characteristics of Mesoscale Eddies in the North Pacific Derived from Satellite Altimetry}, journal = {Remote. Sens.}, volume = {6}, number = {6}, pages = {5164--5183}, year = {2014}, url = {https://doi.org/10.3390/rs6065164}, doi = {10.3390/RS6065164}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChengHZK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuTCSLCS14, author = {Sheng{-}Ren Chiu and Li{-}Tao Teng and Jen{-}Wei Chao and Chung{-}Yang Sue and Chih{-}Hsiou Lin and Hong{-}Ren Chen and Yan{-}Kuin Su}, title = {An Integrated Thermal Compensation System for {MEMS} Inertial Sensors}, journal = {Sensors}, volume = {14}, number = {3}, pages = {4290--4311}, year = {2014}, url = {https://doi.org/10.3390/s140304290}, doi = {10.3390/S140304290}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChiuTCSLCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuHWHC14, author = {Yuh{-}Chung Hu and Wei{-}Li Hsu and Yi{-}Ta Wang and Cheng{-}Tao Ho and Pei{-}Zen Chang}, title = {Enhance the Pyroelectricity of Polyvinylidene Fluoride by Graphene-Oxide Doping}, journal = {Sensors}, volume = {14}, number = {4}, pages = {6877--6890}, year = {2014}, url = {https://doi.org/10.3390/s140406877}, doi = {10.3390/S140406877}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuHWHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LiuJCHLHLHCW14, author = {Hao{-}Li Liu and Chen{-}Kai Jan and Po{-}Chun Chu and Jhong{-}Cing Hong and Pei{-}Yun Lee and Jyh{-}Duen Hsu and Chung{-}Chih Lin and Chiung{-}Ying Huang and Pin{-}Yuan Chen and Kuo{-}Chen Wei}, title = {Design and Experimental Evaluation of a 256-Channel Dual-Frequency Ultrasound Phased-Array System for Transcranial Blood-Brain Barrier Opening and Brain Drug Delivery}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {61}, number = {4}, pages = {1350--1360}, year = {2014}, url = {https://doi.org/10.1109/TBME.2014.2305723}, doi = {10.1109/TBME.2014.2305723}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LiuJCHLHLHCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiuCCH14, author = {Shih{-}Ying Sean Liu and Chung{-}Hung Chang and Hung{-}Ming Chen and Tsung{-}Yi Ho}, title = {{ACER:} An Agglomerative Clustering Based Electrode Addressing and Routing Algorithm for Pin-Constrained {EWOD} Chips}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {9}, pages = {1316--1327}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2329415}, doi = {10.1109/TCAD.2014.2329415}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiuCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/ChangWLCC14, author = {En{-}Hao Chang and Chen{-}Chieh Wang and Chien{-}Te Liu and Kuan{-}Chung Chen and Chung{-}Ho Chen}, title = {Virtualization Technology for {TCP/IP} Offload Engine}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {2}, number = {2}, pages = {117--129}, year = {2014}, url = {https://doi.org/10.1109/TCC.2014.2306425}, doi = {10.1109/TCC.2014.2306425}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/ChangWLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/ChenHC14, author = {Chung{-}Yang Chen and Ya{-}Chun Hong and Pei{-}Chi Chen}, title = {Effects of the Meetings-Flow Approach on Quality Teamwork in the Training of Software Capstone Projects}, journal = {{IEEE} Trans. Educ.}, volume = {57}, number = {3}, pages = {201--208}, year = {2014}, url = {https://doi.org/10.1109/TE.2014.2305918}, doi = {10.1109/TE.2014.2305918}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/te/ChenHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HongWYHHLWC14, author = {Ding{-}Yong Hong and Jan{-}Jan Wu and Pen{-}Chung Yew and Wei{-}Chung Hsu and Chun{-}Chen Hsu and Pangfeng Liu and Chien{-}Min Wang and Yeh{-}Ching Chung}, title = {Efficient and Retargetable Dynamic Binary Translation on Multicores}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {25}, number = {3}, pages = {622--632}, year = {2014}, url = {https://doi.org/10.1109/TPDS.2013.56}, doi = {10.1109/TPDS.2013.56}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HongWYHHLWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChenCC14, author = {Chiao{-}En Chen and Tsung{-}Wei Cho and Wei{-}Ho Chung}, title = {Blockwise-Lattice-Reduction-Aided Tomlinson-Harashima Precoder Designs for {MU-MIMO} Downlink Communications With Clusters of Correlated Users}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {63}, number = {3}, pages = {1146--1159}, year = {2014}, url = {https://doi.org/10.1109/TVT.2013.2284530}, doi = {10.1109/TVT.2013.2284530}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChenCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/WangCC14, author = {Neng{-}Chung Wang and Young{-}Long Chen and Hong{-}Li Chen}, title = {An Efficient Grid-Based Pairwise Key Predistribution Scheme for Wireless Sensor Networks}, journal = {Wirel. Pers. Commun.}, volume = {78}, number = {2}, pages = {801--816}, year = {2014}, url = {https://doi.org/10.1007/s11277-013-1493-1}, doi = {10.1007/S11277-013-1493-1}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/WangCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/HoD14, author = {Chung{-}Cheng Ho and Scott C. Douglas}, editor = {Michael B. Matthews}, title = {An angular sampling theorem for the usable frequency range of antenna array calibration measurements}, booktitle = {48th Asilomar Conference on Signals, Systems and Computers, {ACSSC} 2014, Pacific Grove, CA, USA, November 2-5, 2014}, pages = {377--381}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ACSSC.2014.7094467}, doi = {10.1109/ACSSC.2014.7094467}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/acssc/HoD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ShyuHC14, author = {Kuo{-}Kai Shyu and Chung{-}Ying Ho and Cheng{-}Yuan Chang}, title = {A study on using microcontroller to design active noise control systems}, booktitle = {2014 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2014, Ishigaki, Japan, November 17-20, 2014}, pages = {443--446}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APCCAS.2014.7032814}, doi = {10.1109/APCCAS.2014.7032814}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ShyuHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/SuKLLHKK14, author = {Jui{-}Yiao Su and Wen{-}Ching Ko and Yan{-}Chen Liu and Chang{-}Ho Liu and Jwu{-}Sheng Hu and Hong{-}Chi Ku and Chung{-}Chun Kung}, title = {Design of tactile sensor array on electric gripper jaws for wire gripping recognition}, booktitle = {2014 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2014, New Taipei, Taiwan, August 18-22, 2014}, pages = {1014--1019}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CoASE.2014.6899450}, doi = {10.1109/COASE.2014.6899450}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/SuKLLHKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/JangSCWL14, author = {Yeongjin Jang and Chengyu Song and Simon P. Chung and Tielei Wang and Wenke Lee}, editor = {Gail{-}Joon Ahn and Moti Yung and Ninghui Li}, title = {A11y Attacks: Exploiting Accessibility in Operating Systems}, booktitle = {Proceedings of the 2014 {ACM} {SIGSAC} Conference on Computer and Communications Security, Scottsdale, AZ, USA, November 3-7, 2014}, pages = {103--115}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2660267.2660295}, doi = {10.1145/2660267.2660295}, timestamp = {Tue, 10 Nov 2020 20:00:23 +0100}, biburl = {https://dblp.org/rec/conf/ccs/JangSCWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/HoKC14, author = {Chung{-}Ying Ho and Sen M. Kuo and Cheng{-}Yuan Chang}, title = {Individual control of narrowband noise with disturbance}, booktitle = {{IEEE} China Summit {\&} International Conference on Signal and Information Processing, ChinaSIP 2014, Xi'an, China, July 9-13, 2014}, pages = {62--65}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ChinaSIP.2014.6889202}, doi = {10.1109/CHINASIP.2014.6889202}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/chinasip/HoKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenWCH14, author = {Jyh{-}Cheng Chen and Chun{-}Feng Wu and Wei{-}Ho Chung and Ping{-}Fan Ho}, title = {Sensing phone use of motorcycle drivers}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2014, Austin, TX, USA, December 8-12, 2014}, pages = {4556--4561}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOM.2014.7037526}, doi = {10.1109/GLOCOM.2014.7037526}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenWCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/NuwanpriyaHC14, author = {Asanka Nuwanpriya and Siu{-}Wai Ho and Chung Shue Chen}, title = {Angle diversity receiver for indoor {MIMO} visible light communications}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {444--449}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063472}, doi = {10.1109/GLOCOMW.2014.7063472}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/NuwanpriyaHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/PengKCLC14, author = {Chun{-}Chieh Peng and Yu{-}Hsien Kao and Hou{-}Chung Chou and Si{-}Cheng Lin and Ta{-}Shun Chu}, title = {A scalable microradio receiver used in radiation pattern measurement technology}, booktitle = {21st {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2014, Marseille, France, December 7-10, 2014}, pages = {29--32}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICECS.2014.7049913}, doi = {10.1109/ICECS.2014.7049913}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/PengKCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JuCHT14, author = {Chi{-}Cheng Ju and Ding{-}Yun Chen and Chen{-}Tsai Ho and Chung{-}Hung Tsai}, title = {A panoramic video system by direct manipulation video navigation}, booktitle = {2013 {IEEE} International Conference on Multimedia and Expo Workshops, Chengdu, China, July 14-18, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICMEW.2014.6890611}, doi = {10.1109/ICMEW.2014.6890611}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JuCHT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuLLWHKHLK14, author = {Yi{-}Wen Liu and Hang{-}Ming Liang and Shung{-}You Lao and Chenwei Wu and Hung{-}Kuang Hao and Fan{-}Jie Kung and Yu{-}Tse Ho and Pei{-}Yi Lee and Shih{-}Chung Kang}, title = {Developing "voice care": Real-time methods for event recognition and localization based on acoustic cues}, booktitle = {2013 {IEEE} International Conference on Multimedia and Expo Workshops, Chengdu, China, July 14-18, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICMEW.2014.6890676}, doi = {10.1109/ICMEW.2014.6890676}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/LiuLLWHKHLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LaiLHLL14, author = {Shin{-}Chi Lai and Hsu Cheng Lai and Feng Chung Hong and Huan Ru Lin and Sheau{-}Fang Lei}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {A Novel Coherence-Function-Based Noise Suppression Algorithm by Applying Sound-Source Localization and Awareness-Computation Strategy for Dual Microphones}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {313--316}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.84}, doi = {10.1109/IIH-MSP.2014.84}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/LaiLHLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/KuoWCC14, author = {Wen{-}Chung Kuo and Hong{-}Ji Wei and Yu{-}Hui Chen and Jiin{-}Chiou Cheng}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Cryptanalysis of Anonymous Authenticated and Key Agreement Scheme Based on Biometric for Multi-Server Environment}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {782--787}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-782}, doi = {10.3233/978-1-61499-484-8-782}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/KuoWCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenC14a, author = {Kuan{-}Chung Chen and Chung{-}Ho Chen}, title = {An OpenCL runtime system for a heterogeneous many-core virtual platform}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {2197--2200}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865605}, doi = {10.1109/ISCAS.2014.6865605}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC14, author = {Ching{-}Wen Lin and Chung{-}Ho Chen}, title = {Unambiguous I-cache testing using software-based self-testing methodology}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1756--1759}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865495}, doi = {10.1109/ISCAS.2014.6865495}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangLOHWCCHTTTL14, author = {Alice Wang and Tsung{-}Yao Lin and Shichin Ouyang and Wei{-}Hung Huang and Jidong Wang and Shu{-}Hsin Chang and Sheng{-}Ping Chen and Chun{-}Hsiung Hu and J. C. Tai and Koan{-}Sin Tan and Meng{-}Nan Tsou and Ming{-}Hsien Lee and Gordon Gammie and Chi{-}Wei Yang and Chih{-}Chieh Yang and Yeh{-}Chi Chou and Shih{-}Hung Lin and Wuan Kuo and Chi{-}Jui Chung and Lee{-}Kee Yong and Chia{-}Wei Wang and Kin Hooi Dia and Cheng{-}Hsing Chien and You{-}Ming Tsao and N. K. Singh and Rolf Lagerquist and Chih{-}Cheng Chen and Uming Ko}, title = {10.3 heterogeneous multi-processing quad-core {CPU} and dual-GPU design for optimal performance, power, and thermal tradeoffs in a 28nm mobile application processor}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {180--181}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757390}, doi = {10.1109/ISSCC.2014.6757390}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangLOHWCCHTTTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PengYTTCLHKLTCH14, author = {Chun{-}Hsien Peng and ChiaYu Yang and Adonis Tsu and Chung{-}Jin Tsai and Yosen Chen and C.{-}Y. Lin and Kai Hong and Kaipon Kao and Paul C. P. Liang and Chao Long Tsai and Charles Chien and H. C. Hwang}, title = {A novel {RF} self test for a combo SoC on digital {ATE} with multi-site applications}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035303}, doi = {10.1109/TEST.2014.7035303}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PengYTTCLHKLTCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/KuoWHC14, author = {Wen{-}Chung Kuo and Chun{-}Cheng Wang and Hong{-}Ching Hou and Chen{-}Tsun Chuang}, editor = {Yun{-}Qing Shi and Hyoung{-}Joong Kim and Fernando P{\'{e}}rez{-}Gonz{\'{a}}lez and Ching{-}Nung Yang}, title = {Reversible Shared Data Hiding Based on Modified Signed Digit {EMD}}, booktitle = {Digital-Forensics and Watermarking - 13th International Workshop, {IWDW} 2014, Taipei, Taiwan, October 1-4, 2014. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9023}, pages = {266--275}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-19321-2\_20}, doi = {10.1007/978-3-319-19321-2\_20}, timestamp = {Thu, 28 May 2020 12:51:40 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/KuoWHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/KuoCCLWLHCTHHH14, author = {Yin{-}Hsi Kuo and Yan{-}Ying Chen and Bor{-}Chun Chen and Wen{-}Yu Lee and Chun{-}Che Wu and Chia{-}Hung Lin and Yu{-}Lin Hou and Wen{-}Feng Cheng and Yi{-}Chih Tsai and Chung{-}Yen Hung and Liang{-}Chi Hsieh and Winston H. Hsu}, editor = {Kien A. Hua and Yong Rui and Ralf Steinmetz and Alan Hanjalic and Apostol Natsev and Wenwu Zhu}, title = {Discovering the City by Mining Diverse and Multimodal Data Streams}, booktitle = {Proceedings of the {ACM} International Conference on Multimedia, {MM} '14, Orlando, FL, USA, November 03 - 07, 2014}, pages = {201--204}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2647868.2656406}, doi = {10.1145/2647868.2656406}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/KuoCCLWLHCTHHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/HoCCCHKD14, author = {Chien{-}Chung Ho and Hui{-}Wen Chen and Yuan{-}Hao Chang and Yu{-}Ming Chang and Po{-}Chun Huang and Tei{-}Wei Kuo and David Hung{-}Chang Du}, title = {Energy-aware data placement strategy for SSD-assisted streaming video servers}, booktitle = {{IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2014, Chongqing, China, August 20-21, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NVMSA.2014.6927190}, doi = {10.1109/NVMSA.2014.6927190}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/HoCCCHKD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcomp/QinWXCZSL14, author = {Zhen Qin and Yilei Wang and Yong Xia and Hongrong Cheng and Yingjie Zhou and Zhengguo Sheng and Victor C. M. Leung}, title = {Demographic information prediction based on smartphone application usage}, booktitle = {International Conference on Smart Computing, {SMARTCOMP} 2014, Hong Kong, China, November 3-5, 2014}, pages = {183--190}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SMARTCOMP.2014.7043857}, doi = {10.1109/SMARTCOMP.2014.7043857}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smartcomp/QinWXCZSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/LauCSJLB14, author = {Billy Lau and Simon P. Chung and Chengyu Song and Yeongjin Jang and Wenke Lee and Alexandra Boldyreva}, editor = {Kevin Fu and Jaeyeon Jung}, title = {Mimesis Aegis: {A} Mimicry Privacy Shield-A System's Approach to Data Privacy on Public Cloud}, booktitle = {Proceedings of the 23rd {USENIX} Security Symposium, San Diego, CA, USA, August 20-22, 2014}, pages = {33--48}, publisher = {{USENIX} Association}, year = {2014}, url = {https://www.usenix.org/conference/usenixsecurity14/technical-sessions/presentation/lau}, timestamp = {Mon, 01 Feb 2021 08:43:04 +0100}, biburl = {https://dblp.org/rec/conf/uss/LauCSJLB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/WangJCCLL14, author = {Tielei Wang and Yeongjin Jang and Yizheng Chen and Simon P. Chung and Billy Lau and Wenke Lee}, editor = {Kevin Fu and Jaeyeon Jung}, title = {On the Feasibility of Large-Scale Infections of iOS Devices}, booktitle = {Proceedings of the 23rd {USENIX} Security Symposium, San Diego, CA, USA, August 20-22, 2014}, pages = {79--93}, publisher = {{USENIX} Association}, year = {2014}, url = {https://www.usenix.org/conference/usenixsecurity14/technical-sessions/presentation/wang\_tielei}, timestamp = {Thu, 27 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uss/WangJCCLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HorngLKCCCP14, author = {Jaw{-}Juinn Horng and Szu{-}Lin Liu and Amit Kundu and Chin{-}Ho Chang and Chung{-}Hui Chen and Herman Chiang and Yung{-}Chow Peng}, title = {A 0.7V resistive sensor with temperature/voltage detection function in 16nm FinFET technologies}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858376}, doi = {10.1109/VLSIC.2014.6858376}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HorngLKCCCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HouCRWT14, author = {Jia Hou and Qianhong Chen and Xiaoyong Ren and Siu Chung Wong and Chi K. Tse}, title = {Steady-State Analysis of Series/Series-Parallel Compensated Contactless Resonant Converter}, booktitle = {{IEEE} 79th Vehicular Technology Conference, {VTC} Spring 2014, Seoul, South Korea, May 18-21, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCSpring.2014.7023173}, doi = {10.1109/VTCSPRING.2014.7023173}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/HouCRWT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/ChenCSCLC14, author = {Liang{-}Yu Chen and Hsin{-}Yi Chen and Chi{-}Sheng Shih and Ling{-}Jyh Chen and Kate Ching{-}Ju Lin and Wei{-}Ho Chung}, title = {Runtime service recovery for open information gateway}, booktitle = {Proceeding of {IEEE} International Symposium on a World of Wireless, Mobile and Multimedia Networks, WoWMoM 2014, Sydney, Australia, June 19, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WoWMoM.2014.6918941}, doi = {10.1109/WOWMOM.2014.6918941}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wowmom/ChenCSCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/KuoSHC13, author = {Jun{-}Li Kuo and Chen{-}Hua Shih and Cheng{-}Yuan Ho and Yaw{-}Chung Chen}, title = {A cross-layer approach for real-time multimedia streaming on wireless peer-to-peer ad hoc network}, journal = {Ad Hoc Networks}, volume = {11}, number = {1}, pages = {339--354}, year = {2013}, url = {https://doi.org/10.1016/j.adhoc.2012.06.008}, doi = {10.1016/J.ADHOC.2012.06.008}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/KuoSHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/ZhangCCRLHY13, author = {Jian A. Zhang and Iain B. Collings and Chung Shue Chen and Laurent Roullet and Lin Luo and Siu{-}Wai Ho and Jinhong Yuan}, title = {Evolving small-cell communications towards mobile-over-FTTx networks}, journal = {{IEEE} Commun. Mag.}, volume = {51}, number = {12}, pages = {92--101}, year = {2013}, url = {https://doi.org/10.1109/MCOM.2013.6685763}, doi = {10.1109/MCOM.2013.6685763}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cm/ZhangCCRLHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/ChenC13, author = {Chiao{-}En Chen and Wei{-}Ho Chung}, title = {Computationally efficient near-optimal combined antenna selection algorithms for {V-BLAST} systems}, journal = {Digit. Signal Process.}, volume = {23}, number = {1}, pages = {375--381}, year = {2013}, url = {https://doi.org/10.1016/j.dsp.2012.09.011}, doi = {10.1016/J.DSP.2012.09.011}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/ChenC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/HungCH13, author = {Ho{-}Lung Hung and Chung{-}Hsen Cheng and Yung{-}Fa Huang}, title = {{PAPR} reduction of {OFDM} using invasive weed optimization-based optimal peak reduction tone set selection}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2013}, pages = {244}, year = {2013}, url = {https://doi.org/10.1186/1687-1499-2013-244}, doi = {10.1186/1687-1499-2013-244}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/HungCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/WuHHLLCLHLCT13, author = {Ying{-}Tien Wu and Huei{-}Tse Hou and Fu{-}Kwun Hwang and Ming{-}Hsien Lee and Chih{-}Hung Lai and Guo{-}Li Chiou and Silvia Wen{-}Yu Lee and Yu{-}Chen Hsu and Jyh{-}Chong Liang and Nian{-}Shing Chen and Chin{-}Chung Tsai}, title = {A Review of Intervention Studies On Technology-assisted Instruction From 2005-2010}, journal = {J. Educ. Technol. Soc.}, volume = {16}, number = {3}, pages = {191--203}, year = {2013}, url = {http://www.ifets.info/download\_pdf.php?j\_id=60\&a\_id=1387}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/WuHHLLCLHLCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/expert/CambriaHKZVLYCLLLFOLALCNMGZDYMOJTTKYCL13, author = {Erik Cambria and Guang{-}Bin Huang and Liyanaarachchi Lekamalage Chamara Kasun and Hongming Zhou and Chi{-}Man Vong and Jiarun Lin and Jianping Yin and Zhiping Cai and Qiang Liu and Kuan Li and Victor C. M. Leung and Liang Feng and Yew{-}Soon Ong and Meng{-}Hiot Lim and Anton Akusok and Amaury Lendasse and Francesco Corona and Rui Nian and Yoan Miche and Paolo Gastaldo and Rodolfo Zunino and Sergio Decherchi and Xuefeng Yang and Kezhi Mao and Beom{-}Seok Oh and Je{-}Hyoung Jeon and Kar{-}Ann Toh and Andrew Beng Jin Teoh and Jaihie Kim and Hanchao Yu and Yiqiang Chen and Junfa Liu}, title = {Extreme Learning Machines}, journal = {{IEEE} Intell. Syst.}, volume = {28}, number = {6}, pages = {30--59}, year = {2013}, url = {https://doi.org/10.1109/MIS.2013.140}, doi = {10.1109/MIS.2013.140}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/expert/CambriaHKZVLYCLLLFOLALCNMGZDYMOJTTKYCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/LowTJQCSNTEGPLH13, author = {Hoi Pang Low and Ashutosh Tiwari and Jagadeesh Janjanam and Li Qiu and Chien{-}I Chang and William C. Strohsnitter and Errol R. Norwitz and Sun W. Tam and James E. Evans and Karin M. Green and Joao A. Paulo and Mats Lambe and Chung{-}Cheng Hsieh}, title = {Screening Preeclamptic Cord Plasma for Proteins Associated with Decreased Breast Cancer Susceptibility}, journal = {Genom. Proteom. Bioinform.}, volume = {11}, number = {6}, pages = {335--344}, year = {2013}, url = {https://doi.org/10.1016/j.gpb.2013.09.009}, doi = {10.1016/J.GPB.2013.09.009}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/LowTJQCSNTEGPLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/WangDCHCLOPMCRT13, author = {Dong Wang and Surendra Dasari and Matthew Chambers and Jerry D. Holman and Kan Chen and Daniel C. Liebler and Daniel J. Orton and Samuel O. Purvine and Matthew E. Monroe and Chang Y. Chung and Kristie L. Rose and David L. Tabb}, title = {Basophile: Accurate Fragment Charge State Prediction Improves Peptide Identification Rates}, journal = {Genom. Proteom. Bioinform.}, volume = {11}, number = {2}, pages = {86--95}, year = {2013}, url = {https://doi.org/10.1016/j.gpb.2012.11.004}, doi = {10.1016/J.GPB.2012.11.004}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/WangDCHCLOPMCRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/DouZCX13, author = {Bennian Dou and Hong Zhang and Chun{-}Hua Chen and Chungen Xu}, title = {Rogue Key Attacks on Lu et al.'s Verifiably Encrypted Signature Scheme}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {96-A}, number = {1}, pages = {242--243}, year = {2013}, url = {https://doi.org/10.1587/transfun.E96.A.242}, doi = {10.1587/TRANSFUN.E96.A.242}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/DouZCX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/DouZCX13a, author = {Bennian Dou and Hong Zhang and Chun{-}Hua Chen and Chungen Xu}, title = {Key Substitution Attacks on Multisignature Schemes}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {96-A}, number = {1}, pages = {244--245}, year = {2013}, url = {https://doi.org/10.1587/transfun.E96.A.244}, doi = {10.1587/TRANSFUN.E96.A.244}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/DouZCX13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WangC13, author = {Chen{-}Chieh Wang and Chung{-}Ho Chen}, title = {A System-Level Network Virtual Platform for IPsec Processor Development}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {5}, pages = {1095--1104}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.1095}, doi = {10.1587/TRANSINF.E96.D.1095}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WangC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/LiCCJL13, author = {Xi Li and Shanzhi Chen and Dan Chen and Hong Ji and Victor C. M. Leung}, title = {Green cell association for multimedia transmission in cognitive heterogeneous networks}, journal = {Int. J. Commun. Syst.}, volume = {26}, number = {4}, pages = {530--548}, year = {2013}, url = {https://doi.org/10.1002/dac.2524}, doi = {10.1002/DAC.2524}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/LiCCJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdwm/WangCTH13, author = {Shyue{-}Liang Wang and Chung{-}Yi Chen and I{-}Hsien Ting and Tzung{-}Pei Hong}, title = {Anonymous Spatial Query on Non-Uniform Data}, journal = {Int. J. Data Warehous. Min.}, volume = {9}, number = {4}, pages = {44--61}, year = {2013}, url = {https://doi.org/10.4018/ijdwm.2013100103}, doi = {10.4018/IJDWM.2013100103}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdwm/WangCTH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/LaiLSLL13, author = {Yu{-}Chun Lai and Yu{-}Ming Liang and Sheng{-}Wen Shih and Cheng{-}Chung Lin and Hong{-}Yuan Mark Liao}, title = {Linear Production Game Solution to a {PTZ} Camera Network}, journal = {J. Inf. Sci. Eng.}, volume = {29}, number = {3}, pages = {527--543}, year = {2013}, url = {http://www.iis.sinica.edu.tw/page/jise/2013/201305\_08.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/LaiLSLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/HouC13, author = {I{-}Hong Hou and Chung Shue Chen}, title = {An Energy-Aware Protocol for Self-Organizing Heterogeneous {LTE} Systems}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {31}, number = {5}, pages = {937--946}, year = {2013}, url = {https://doi.org/10.1109/JSAC.2013.130512}, doi = {10.1109/JSAC.2013.130512}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/HouC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KirisliSMDMPDNGMCBCEFLMMMOSWKUKOCPNMUVMGVKNW13, author = {Hortense A. Kirisli and Michiel Schaap and Coert Metz and A. S. Dharampal and W. B. Meijboom and S. L. Papadopoulou and A. Dedic and K. Nieman and Michiel A. de Graaf and M. F. L. Meijs and M. J. Cramer and Alexander Broersen and Suheyla Cetin and Abouzar Eslami and Leonardo Flor{\'{e}}z{-}Valencia and Kuo{-}Lung Lor and Bogdan J. Matuszewski and Imen Melki and Brian Mohr and Ilkay {\"{O}}ks{\"{u}}z and Rahil Khurram Shahzad and Chunliang Wang and Pieter H. Kitslaar and G{\"{o}}zde B. {\"{U}}nal and Amin Katouzian and Maciej Orkisz and Chung{-}Ming Chen and Fr{\'{e}}d{\'{e}}ric Precioso and Laurent Najman and S. Masood and Devrim {\"{U}}nay and Lucas J. van Vliet and Rodrigo Moreno and Roman Goldenberg and Erald Vu{\c{c}}ini and Gabriel P. Krestin and Wiro J. Niessen and Theo van Walsum}, title = {Standardized evaluation framework for evaluating coronary artery stenosis detection, stenosis quantification and lumen segmentation algorithms in computed tomography angiography}, journal = {Medical Image Anal.}, volume = {17}, number = {8}, pages = {859--876}, year = {2013}, url = {https://doi.org/10.1016/j.media.2013.05.007}, doi = {10.1016/J.MEDIA.2013.05.007}, timestamp = {Wed, 26 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KirisliSMDMPDNGMCBCEFLMMMOSWKUKOCPNMUVMGVKNW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/GeCJLL13, author = {Wendong Ge and Shanzhi Chen and Hong Ji and Xi Li and Victor C. M. Leung}, title = {Green Access Point Selection for Wireless Local Area Networks Enhanced by Cognitive Radio}, journal = {Mob. Networks Appl.}, volume = {18}, number = {4}, pages = {553--566}, year = {2013}, url = {https://doi.org/10.1007/s11036-013-0437-z}, doi = {10.1007/S11036-013-0437-Z}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/GeCJLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangCCHTSC13, author = {Yi{-}Jhe Huang and Ding{-}Yuan Chan and Da{-}Chuan Cheng and Yung{-}Jen Ho and Po{-}Pang Tsai and Wu{-}Chung Shen and Rui{-}Fen Chen}, title = {Automated Feature Set Selection and Its Application to {MCC} Identification in Digital Mammograms for Breast Cancer Detection}, journal = {Sensors}, volume = {13}, number = {4}, pages = {4855--4875}, year = {2013}, url = {https://doi.org/10.3390/s130404855}, doi = {10.3390/S130404855}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangCCHTSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/socnet/FuHC13, author = {Yang{-}chih Fu and Hwai{-}Chung Ho and Hsiu Man Chen}, title = {Weak ties and contact initiation in everyday life: Exploring contextual variations from contact diaries}, journal = {Soc. Networks}, volume = {35}, number = {3}, pages = {279--287}, year = {2013}, url = {https://doi.org/10.1016/j.socnet.2013.02.004}, doi = {10.1016/J.SOCNET.2013.02.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/socnet/FuHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenHTHH13, author = {Ying{-}Han Chen and Chung{-}Lun Hsu and Li{-}Chen Tsai and Tsung{-}Wei Huang and Tsung{-}Yi Ho}, title = {A Reliability-Oriented Placement Algorithm for Reconfigurable Digital Microfluidic Biochips Using 3-D Deferred Decision Making Technique}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {32}, number = {8}, pages = {1151--1162}, year = {2013}, url = {https://doi.org/10.1109/TCAD.2013.2249558}, doi = {10.1109/TCAD.2013.2249558}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenHTHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/ChenHNSTYYCLWLOA13, author = {Phoebus Chen and Kirak Hong and Nikhil Naikal and S. Shankar Sastry and J. Doug Tygar and Posu Yan and Allen Y. Yang and Lung{-}Chung Chang and Leon Lin and Simon Wang and Edgar J. Lobaton and Songhwai Oh and Parvez Ahammad}, title = {A low-bandwidth camera sensor platform with applications in smart camera networks}, journal = {{ACM} Trans. Sens. Networks}, volume = {9}, number = {2}, pages = {21:1--21:23}, year = {2013}, url = {https://doi.org/10.1145/2422966.2422978}, doi = {10.1145/2422966.2422978}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tosn/ChenHNSTYYCLWLOA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ShihCHP13, author = {Yuan{-}Yao Shih and Wei{-}Ho Chung and Pi{-}Cheng Hsiu and Ai{-}Chun Pang}, title = {A Mobility-Aware Node Deployment and Tree Construction Framework for ZigBee Wireless Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {62}, number = {6}, pages = {2763--2779}, year = {2013}, url = {https://doi.org/10.1109/TVT.2013.2245693}, doi = {10.1109/TVT.2013.2245693}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/ShihCHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HoSCC13, author = {Yuan{-}Kai Ho and Xin{-}Wei Shih and Yao{-}Wen Chang and Chung{-}Kuan Cheng}, title = {Layer minimization in escape routing for staggered-pin-array PCBs}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {187--192}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509594}, doi = {10.1109/ASPDAC.2013.6509594}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HoSCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ChenCCLH13, author = {Chien{-}Chih Chen and Yu{-}Jung Chang and Wei{-}Chun Chung and Der{-}Tsai Lee and Jan{-}Ming Ho}, editor = {Xiaohua Hu and Tsau Young Lin and Vijay V. Raghavan and Benjamin W. Wah and Ricardo Baeza{-}Yates and Geoffrey C. Fox and Cyrus Shahabi and Matthew Smith and Qiang Yang and Rayid Ghani and Wei Fan and Ronny Lempel and Raghunath Nambiar}, title = {CloudRS: An error correction algorithm of high-throughput sequencing data based on scalable framework}, booktitle = {2013 {IEEE} International Conference on Big Data {(IEEE} BigData 2013), 6-9 October 2013, Santa Clara, CA, {USA}}, pages = {717--722}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BigData.2013.6691642}, doi = {10.1109/BIGDATA.2013.6691642}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/ChenCCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/ChungCCLH13, author = {Wei{-}Chun Chung and Yu{-}Jung Chang and Chien{-}Chih Chen and Der{-}Tsai Lee and Jan{-}Ming Ho}, editor = {Xiaohua Hu and Tsau Young Lin and Vijay V. Raghavan and Benjamin W. Wah and Ricardo Baeza{-}Yates and Geoffrey C. Fox and Cyrus Shahabi and Matthew Smith and Qiang Yang and Rayid Ghani and Wei Fan and Ronny Lempel and Raghunath Nambiar}, title = {Optimizing a MapReduce module of preprocessing high-throughput {DNA} sequencing data}, booktitle = {2013 {IEEE} International Conference on Big Data {(IEEE} BigData 2013), 6-9 October 2013, Santa Clara, CA, {USA}}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BigData.2013.6691694}, doi = {10.1109/BIGDATA.2013.6691694}, timestamp = {Wed, 31 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/ChungCCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcoss/FanISL13, author = {Yao{-}Chung Fan and Cheng Teng Iam and Gia Hao Syu and Wei Hong Lee}, title = {TeleEye: Enabling Real-time Geospatial Query Answering with Mobile Crowd}, booktitle = {{IEEE} International Conference on Distributed Computing in Sensor Systems, {DCOSS} 2013, Cambridge, MA, USA, May 20-23, 2013}, pages = {323--324}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DCOSS.2013.42}, doi = {10.1109/DCOSS.2013.42}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dcoss/FanISL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenCCC13, author = {Chiao{-}En Chen and Tsung{-}Wei Cho and Yuan{-}Sun Chu and Wei{-}Ho Chung}, title = {Blockwise-Lattice-Reduction aided precoders for multiuser {MIMO} with clusters of correlated users}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2013, Budapest, Hungary, June 9-13, 2013}, pages = {5630--5634}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICC.2013.6655490}, doi = {10.1109/ICC.2013.6655490}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ChungWC13, author = {Cheng{-}Shiu Chung and Hongwu Wang and Rory A. Cooper}, title = {Autonomous function of wheelchair-mounted robotic manipulators to perform daily activities}, booktitle = {{IEEE} 13th International Conference on Rehabilitation Robotics, {ICORR} 2013, Seattle, WA, USA, June 24-26, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICORR.2013.6650378}, doi = {10.1109/ICORR.2013.6650378}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ChungWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/FanLIS13, author = {Yao{-}Chung Fan and Wei Hong Lee and Cheng Teng Iam and Gia Hao Syu}, title = {Indoor Place Name Annotations with Mobile Crowd}, booktitle = {19th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2013, Seoul, Korea, December 15-18, 2013}, pages = {546--551}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICPADS.2013.98}, doi = {10.1109/ICPADS.2013.98}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpads/FanLIS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HoCYT13, author = {Cheng{-}Yuan Ho and Ming{-}Chen Chung and Li{-}Hsing Yen and Chien{-}Chao Tseng}, title = {Churn: {A} Key Effect on Real-World {P2P} Software}, booktitle = {42nd International Conference on Parallel Processing, {ICPP} 2013, Lyon, France, October 1-4, 2013}, pages = {140--149}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICPP.2013.23}, doi = {10.1109/ICPP.2013.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/HoCYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/ChenKC13, author = {Wen{-}Yuan Chen and Yu{-}Ming Kuo and Chin{-}Ho Chung}, editor = {Moonis Ali and Tibor Bosse and Koen V. Hindriks and Mark Hoogendoorn and Catholijn M. Jonker and Jan Treur}, title = {Palm Image Recognition Using Image Processing Techniques}, booktitle = {Recent Trends in Applied Artificial Intelligence, 26th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2013, Amsterdam, The Netherlands, June 17-21, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7906}, pages = {572--580}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38577-3\_59}, doi = {10.1007/978-3-642-38577-3\_59}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/ChenKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HsuLC13, author = {Tzu{-}Hsuan Hsu and Ching{-}Wen Lin and Chung{-}Ho Chen}, title = {Using condition flag prediction to improve the performance of out-of-order processors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1240--1243}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572077}, doi = {10.1109/ISCAS.2013.6572077}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HsuLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuCC13, author = {Chien{-}Te Liu and Kuan{-}Chung Chen and Chung{-}Ho Chen}, title = {{CASL} hypervisor and its virtualization platform}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1224--1227}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572073}, doi = {10.1109/ISCAS.2013.6572073}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChungHCC13, author = {Hung{-}Yuan Chung and Chun{-}Cheng Hou and Yu{-}Shan Chen and Chien{-}Li Chao}, title = {An intelligent service robot for transporting object}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563645}, doi = {10.1109/ISIE.2013.6563645}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/ChungHCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChungHL13, author = {Hung{-}Yuan Chung and Chun{-}Cheng Hou and Shih{-}Chun Liu}, title = {Automatic Navigation of a wheeled mobile robot using Particle Swarm Optimization and Fuzzy Control}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563767}, doi = {10.1109/ISIE.2013.6563767}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChungHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/HouCWS13, author = {Chung{-}Chuan Hou and Xiang Chen and Ruoyu Wang and Hsin{-}ping Su}, title = {Harmonic elimination for active front-end converters with low carrier ratio}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563697}, doi = {10.1109/ISIE.2013.6563697}, timestamp = {Mon, 01 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isie/HouCWS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangHCWLCCCHLWCCT13, author = {Chih{-}Wei Chang and Po{-}Tsang Huang and Lei{-}Chun Chou and Shang{-}Lin Wu and Shih{-}Wei Lee and Ching{-}Te Chuang and Kuan{-}Neng Chen and Jin{-}Chern Chiou and Wei Hwang and Yen{-}Chi Lee and Chung{-}Hsi Wu and Kuo{-}Hua Chen and Chi{-}Tsung Chiu and Ho{-}Ming Tong}, title = {Through-silicon-via-based double-side integrated microsystem for neural sensing applications}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {102--103}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487655}, doi = {10.1109/ISSCC.2013.6487655}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangHCWLCCCHLWCCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13, author = {Wei{-}Ming Chen and Herming Chiueh and Tsan{-}Jieh Chen and Chia{-}Lun Ho and Chi Jeng and Shun{-}Ting Chang and Ming{-}Dou Ker and Chun{-}Yu Lin and Ya{-}Chun Huang and Chia{-}Wei Chou and Tsun{-}Yuan Fan and Ming{-}Seng Cheng and Sheng{-}Fu Liang and Tzu{-}Chieh Chien and Sih{-}Yen Wu and Yu{-}Lin Wang and Fu{-}Zen Shaw and Yu{-}Hsing Huang and Chia{-}Hsiang Yang and Jin{-}Chern Chiou and Chih{-}Wei Chang and Lei{-}Chun Chou and Chung{-}Yu Wu}, title = {A fully integrated 8-channel closed-loop neural-prosthetic SoC for real-time epileptic seizure control}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {286--287}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487737}, doi = {10.1109/ISSCC.2013.6487737}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenCCHJCKLHCFCLCWWSHYCCCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/HuHC13, author = {Yuh{-}Chung Hu and Cheng{-}Tao Ho and Pei{-}Zen Chang}, title = {Flexible proximity key-panel}, booktitle = {8th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2013, Suzhou, China, April 7-10, 2013}, pages = {606--609}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NEMS.2013.6559804}, doi = {10.1109/NEMS.2013.6559804}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/HuHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/HsuCCHHL13, author = {Tse{-}Chuan Hsu and Chih{-}Hung Chang and William C. Chu and Shinn{-}Ying Ho and Nien{-}Lin Hsueh and Wei{-}Bin Lee}, title = {Applying Cloud Computing Technologies to Gerontology and Geriatrics Health Care System {(GGHCS)}}, booktitle = {2013 13th International Conference on Quality Software, Najing, China, July 29-30, 2013}, pages = {355--360}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/QSIC.2013.33}, doi = {10.1109/QSIC.2013.33}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/HsuCCHHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChenLCCYH13, author = {Shuo{-}Hung Chen and Hsiao{-}Mei Lin and Kuo{-}Yi Chen and Yuan{-}Hao Chang and Pen{-}Chung Yew and Chien{-}Chung Ho}, editor = {Ching Y. Suen and Amir G. Aghdam and Minyi Guo and Jiman Hong and Esmaeil S. Nadimi}, title = {A systematic methodology for {OS} benchmark characterization}, booktitle = {Research in Adaptive and Convergent Systems, RACS'13, Montreal, QC, Canada, October 1-4, 2013}, pages = {404--409}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2513228.2513234}, doi = {10.1145/2513228.2513234}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/ChenLCCYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/HanQCHLCZX13, author = {Long Han and Huihuan Qian and Wingkwong Chung and Kai Wing Hou and Kit{-}Hang Lee and Xu Chen and Guanghua Zhang and Yangsheng Xu}, title = {System and design of a compact and heavy-payload {AGV} system for flexible production line}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2013, Shenzhen, China, December 12-14, 2013}, pages = {2482--2488}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ROBIO.2013.6739844}, doi = {10.1109/ROBIO.2013.6739844}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/HanQCHLCZX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/ChangLPWHTHC13, author = {Chuan{-}Wang Chang and Hong{-}Jin Lai and Tien{-}Szu Pan and Yung{-}Chen Wu and Chien{-}Lung Huang and Hai{-}Ping Tsui and Chen{-}Ping Ho and Chung{-}Hsien Chen}, title = {Adaptive Resource Allocation for OFDM-Based Single-Relay Cooperative Communication Systems over Rayleigh Fading Channels}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {214--219}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.57}, doi = {10.1109/RVSP.2013.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/ChangLPWHTHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/JiangDWSYHYC13, author = {Changjun Jiang and Zhijun Ding and Pengwei Wang and Haichun Sun and Bo Yuan and Yuan He and ChunGang Yan and Hongzhong Chen}, title = {An Indexing Network Model for Information Services and Its Applications}, booktitle = {2013 {IEEE} 6th International Conference on Service-Oriented Computing and Applications, Koloa, HI, USA, December 16-18, 2013}, pages = {290--297}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SOCA.2013.49}, doi = {10.1109/SOCA.2013.49}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/soca/JiangDWSYHYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vee/HsuLWYHHW13, author = {Chun{-}Chen Hsu and Pangfeng Liu and Jan{-}Jan Wu and Pen{-}Chung Yew and Ding{-}Yong Hong and Wei{-}Chung Hsu and Chien{-}Min Wang}, editor = {Steve Muir and Gernot Heiser and Stephen M. Blackburn}, title = {Improving dynamic binary optimization through early-exit guided code region formation}, booktitle = {{ACM} {SIGPLAN/SIGOPS} International Conference on Virtual Execution Environments (co-located with {ASPLOS} 2013), {VEE} '13, Houston, TX, USA, March 16-17, 2013}, pages = {23--32}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2451512.2451519}, doi = {10.1145/2451512.2451519}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vee/HsuLWYHHW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/ChenJHT13, author = {Ding{-}Yun Chen and Chi{-}Cheng Ju and Chen{-}Tsai Ho and Chung{-}Hung Tsai}, title = {{MVSE:} {A} Multi-core Video decoder System level analytics Engine}, booktitle = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLDI-DAT.2013.6533859}, doi = {10.1109/VLDI-DAT.2013.6533859}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/ChenJHT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenC13, author = {Chiao{-}En Chen and Wei{-}Ho Chung}, title = {A Balanced SLNR-Based Tomlinson-Harashima Precoder for Downlink {MU-MIMO} Systems}, booktitle = {Proceedings of the 77th {IEEE} Vehicular Technology Conference, {VTC} Spring 2013, Dresden, Germany, June 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCSpring.2013.6691815}, doi = {10.1109/VTCSPRING.2013.6691815}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChenC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/WuCC13, author = {Chien{-}Hsien Wu and Wei{-}Ho Chung and Chiao{-}En Chen}, title = {MMSE-Based Precoder Design in Nonregenerative Relay Systems with Direct Link}, booktitle = {Proceedings of the 77th {IEEE} Vehicular Technology Conference, {VTC} Spring 2013, Dresden, Germany, June 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCSpring.2013.6692636}, doi = {10.1109/VTCSPRING.2013.6692636}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/WuCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LiuCCZK13, author = {Ting{-}Li Liu and Wei{-}Ho Chung and Li{-}Sheng Chen and Hongke Zhang and Sy{-}Yen Kuo}, title = {Multi-element antenna with close spacing for highly mobile {OFDM} systems}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {3134--3139}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6555063}, doi = {10.1109/WCNC.2013.6555063}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/LiuCCZK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/TsengCH13, author = {Po{-}Kai Tseng and Wei{-}Ho Chung and Pi{-}Cheng Hsiu}, title = {Minimum Interference Topology Construction for Robust multi-hop cognitive radio networks}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {101--105}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6554546}, doi = {10.1109/WCNC.2013.6554546}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/TsengCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-3085, author = {I{-}Hong Hou and Chung Shue Chen}, title = {An Energy-Aware Protocol for Self-Organizing Heterogeneous {LTE} Systems}, journal = {CoRR}, volume = {abs/1302.3085}, year = {2013}, url = {http://arxiv.org/abs/1302.3085}, eprinttype = {arXiv}, eprint = {1302.3085}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-3085.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/ChenHLCH12, author = {Hsing{-}Chung Chen and Yung{-}Fa Huang and Shu{-}Hong Lee and Chi{-}Tung Chen and Ho{-}Lung Hung}, title = {A mobile location-based with time-constraint {RBAC} associated database management model}, journal = {Comput. Syst. Sci. Eng.}, volume = {27}, number = {6}, year = {2012}, timestamp = {Tue, 05 Aug 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/ChenHLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/HsuHTHCWC12, author = {Yu{-}Chen Hsu and Hsin Ning Jessie Ho and Chin{-}Chung Tsai and Gwo{-}Jen Hwang and Hui{-}Chun Chu and Chin{-}Yeh Wang and Nian{-}Shing Chen}, title = {Research Trends in Technology-based Learning from 2000 to 2009: {A} content Analysis of Publications in Selected Journals}, journal = {J. Educ. Technol. Soc.}, volume = {15}, number = {2}, pages = {354--370}, year = {2012}, url = {http://www.ifets.info/download\_pdf.php?j\_id=55\&a\_id=1252}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/HsuHTHCWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChenC12, author = {Richard H. Chen and Wei{-}Ho Chung}, title = {Reduced Complexity {MIMO} Detection Scheme Using Statistical Search Space Reduction}, journal = {{IEEE} Commun. Lett.}, volume = {16}, number = {3}, pages = {292--295}, year = {2012}, url = {https://doi.org/10.1109/LCOMM.2012.021612.120017}, doi = {10.1109/LCOMM.2012.021612.120017}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KuoSHWC12, author = {Jun{-}Li Kuo and Chen{-}Hua Shih and Cheng{-}Yuan Ho and Ming{-}Ching Wang and Yaw{-}Chung Chen}, title = {{RING:} {A} Cross-Layer {P2P} Group Conferencing Mechanism over Mobile Ad-Hoc Networks}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {9}, pages = {2759--2768}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.2759}, doi = {10.1587/TRANSCOM.E95.B.2759}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KuoSHWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ShihKHC12, author = {Chen{-}Hua Shih and Jun{-}Li Kuo and Cheng{-}Yuan Ho and Yaw{-}Chung Chen}, title = {Media-Independent Handover Design for Seamless Mobility in Heterogeneous WiMAX/Wi-Fi Networks}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {10}, pages = {3168--3178}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.3168}, doi = {10.1587/TRANSCOM.E95.B.3168}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ShihKHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijat/LinPPKHL12, author = {Chung{-}Yi Lin and Tung{-}Cheng Pan and Yao{-}Chi Peng and Cheng{-}Hao Ko and Rong{-}Mou Hong and Jian{-}Shian Lin}, title = {Multi-Angle Bending Machine for Creating High Luminance Efficiency {LED} Module with Diversified Light Distribution Curve}, journal = {Int. J. Autom. Technol.}, volume = {6}, number = {3}, pages = {354--362}, year = {2012}, url = {https://doi.org/10.20965/ijat.2012.p0354}, doi = {10.20965/IJAT.2012.P0354}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijat/LinPPKHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/ChenHLLWTL12, author = {Chih{-}Feng Chen and Ling{-}Wei Hsu and Chun{-}Chung Lui and Chen{-}Chang Lee and Hsu{-}Huei Weng and Yuan{-}Hsiung Tsai and Ho{-}Ling Liu}, title = {In vivo correlation between semi-quantitative hemodynamic parameters and \emph{K}\({}^{\mbox{trans}}\) derived from {DCE-MRI} of brain tumors}, journal = {Int. J. Imaging Syst. Technol.}, volume = {22}, number = {2}, pages = {132--136}, year = {2012}, url = {https://doi.org/10.1002/ima.22013}, doi = {10.1002/IMA.22013}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/ChenHLLWTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/HuangCHYL12, author = {Deng{-}Yuan Huang and Chao{-}Ho Chen and Wu{-}Chih Hu and Shu{-}Chung Yi and Yu{-}Feng Lin}, title = {Feature-Based Vehicle Flow Analysis and Measurement for a Real-Time Traffic Surveillance System}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {3}, number = {3}, pages = {282--296}, year = {2012}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2012/vol3/JIH-MSP-2012-03-005.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/HuangCHYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HsiaoWCCH12, author = {Tsung{-}Chih Hsiao and Zhen Yu Wu and Yu{-}Fang Chung and Tzer{-}Shyong Chen and Gwoboa Horng}, title = {A Secure Integrated Medical Information System}, journal = {J. Medical Syst.}, volume = {36}, number = {5}, pages = {3103--3113}, year = {2012}, url = {https://doi.org/10.1007/s10916-011-9793-z}, doi = {10.1007/S10916-011-9793-Z}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HsiaoWCCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChenJL12, author = {Dan Chen and Hong Ji and Victor C. M. Leung}, title = {Distributed Best-Relay Selection for Improving {TCP} Performance Over Cognitive Radio Networks: {A} Cross-Layer Design Approach}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {30}, number = {2}, pages = {315--322}, year = {2012}, url = {https://doi.org/10.1109/JSAC.2012.120210}, doi = {10.1109/JSAC.2012.120210}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChenJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChungJCLHWS12, author = {Te{-}yuan Chung and Jian{-}Hong Jhang and Jing{-}Sian Chen and Yi{-}Chien Lo and Gwo{-}Herng Ho and Mount{-}Learn Wu and Ching{-}Cherng Sun}, title = {A study of large area die bonding materials and their corresponding mechanical and thermal properties}, journal = {Microelectron. Reliab.}, volume = {52}, number = {5}, pages = {872--877}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.03.029}, doi = {10.1016/J.MICROREL.2011.03.029}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChungJCLHWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ChungC12, author = {Wei{-}Ho Chung and Chiao{-}En Chen}, title = {Detecting Number of Coherent Signals in Array Processing by Ljung-Box Statistic}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {48}, number = {2}, pages = {1739--1747}, year = {2012}, url = {https://doi.org/10.1109/TAES.2012.6178093}, doi = {10.1109/TAES.2012.6178093}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/ChungC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/ChenC12, author = {Ching{-}Hui Chen and Wei{-}Ho Chung}, title = {Dual Diversity Space-Time Coding for Multimedia Broadcast/Multicast Service in {MIMO} Systems}, journal = {{IEEE} Trans. Commun.}, volume = {60}, number = {11}, pages = {3286--3297}, year = {2012}, url = {https://doi.org/10.1109/TCOMM.2012.081412.110503}, doi = {10.1109/TCOMM.2012.081412.110503}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/ChenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiCCDT12, author = {Chung{-}Yi Li and Yuan{-}Ho Chen and Tsin{-}Yuan Chang and Lih{-}Yuan Deng and Kiwing To}, title = {Period Extension and Randomness Enhancement Using High-Throughput Reseeding-Mixing {PRNG}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {2}, pages = {385--389}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2010.2103332}, doi = {10.1109/TVLSI.2010.2103332}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiCCDT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LiangCNCZK12, author = {Yu{-}Shan Liang and Wei{-}Ho Chung and Guo{-}Kai Ni and Ing{-}Yi Chen and Hongke Zhang and Sy{-}Yen Kuo}, title = {Resource Allocation with Interference Avoidance in {OFDMA} Femtocell Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {61}, number = {5}, pages = {2243--2255}, year = {2012}, url = {https://doi.org/10.1109/TVT.2012.2191164}, doi = {10.1109/TVT.2012.2191164}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LiangCNCZK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/ChenC12, author = {Chiao{-}En Chen and Wei{-}Ho Chung}, title = {An Iterative Minmax Per-Stream {MSE} Transceiver Design for {MIMO} Interference Channel}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {1}, number = {3}, pages = {229--232}, year = {2012}, url = {https://doi.org/10.1109/WCL.2012.032312.110285}, doi = {10.1109/WCL.2012.032312.110285}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/ChenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YuC12, author = {Eric Shianda Yu and Chung{-}Ho Chen}, title = {A SIMD-accelerated software rendering pipeline for 3D graphics processing}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {440--443}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6419066}, doi = {10.1109/APCCAS.2012.6419066}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YuC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/YangZCT12, author = {Hongji Yang and Shang Zheng and William Cheng{-}Chung Chu and Ching{-}Tsorng Tsai}, editor = {Karl R. P. H. Leung and Pornsiri Muenchaisri}, title = {Linking Functions and Quality Attributes for Software Evolution}, booktitle = {19th Asia-Pacific Software Engineering Conference, {APSEC} 2012, Hong Kong, China, December 4-7, 2012}, pages = {250--259}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APSEC.2012.151}, doi = {10.1109/APSEC.2012.151}, timestamp = {Sun, 08 Aug 2021 01:40:48 +0200}, biburl = {https://dblp.org/rec/conf/apsec/YangZCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/WangCNCK12, author = {Fu{-}Ping Wang and Wei{-}Ho Chung and Guo{-}Kai Ni and Ing{-}Yi Chen and Sy{-}Yen Kuo}, title = {Moving Object Extraction Using Compressed Domain Features of {H.264} {INTRA} Frames}, booktitle = {Ninth {IEEE} International Conference on Advanced Video and Signal-Based Surveillance, {AVSS} 2012, Beijing, China, September 18-21, 2012}, pages = {258--263}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AVSS.2012.46}, doi = {10.1109/AVSS.2012.46}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/WangCNCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/HongSWSCCW12, author = {Cheng{-}Hao Hong and Hsiao{-}Husan Shen and Huan{-}Chung Wu and Husan Shen and Chiao{-}Wen Cheng and Ta{-}Shun Chu and Jen{-}Ming Wu}, title = {Fast selection of time-interleaved samples for wireless healthcare monitoring with pulse radar}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {45--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418473}, doi = {10.1109/BIOCAS.2012.6418473}, timestamp = {Tue, 17 Aug 2021 21:08:19 +0200}, biburl = {https://dblp.org/rec/conf/biocas/HongSWSCCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/HongHYWHLWC12, author = {Ding{-}Yong Hong and Chun{-}Chen Hsu and Pen{-}Chung Yew and Jan{-}Jan Wu and Wei{-}Chung Hsu and Pangfeng Liu and Chien{-}Min Wang and Yeh{-}Ching Chung}, editor = {Carol Eidt and Anne M. Holler and Uma Srinivasan and Saman P. Amarasinghe}, title = {{HQEMU:} a multi-threaded and retargetable dynamic binary translator on multicores}, booktitle = {10th Annual {IEEE/ACM} International Symposium on Code Generation and Optimization, {CGO} 2012, San Jose, CA, USA, March 31 - April 04, 2012}, pages = {104--113}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2259016.2259030}, doi = {10.1145/2259016.2259030}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cgo/HongHYWHLWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/WangGCCSHC12, author = {Hongwu Wang and Garrett G. Grindle and Jorge L. Candiotti and Cheng{-}Shiu Chung and Motoki Shino and Elaine Houston and Rory A. Cooper}, title = {The Personal Mobility and Manipulation Appliance (PerMMA): {A} robotic wheelchair with advanced mobility and manipulation}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {3324--3327}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346676}, doi = {10.1109/EMBC.2012.6346676}, timestamp = {Tue, 25 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/WangGCCSHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/HorngWCYF12, author = {Wen{-}Ren Horng and Chung{-}Cheng Wang and Chin{-}Yu Chen and Xiu{-}Hao Yi and Chun{-}Hsiung Fang}, title = {Voltage regulation of Fuel Cells - {A} {T-S} fuzzy model approach}, booktitle = {9th International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2012, 29-31 May 2012, Chongqing, China}, pages = {628--632}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FSKD.2012.6233849}, doi = {10.1109/FSKD.2012.6233849}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/fskd/HorngWCYF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KuKC12, author = {Hong{-}Chi Ku and Chung{-}Chun Kung and Wei{-}Yin Chen}, title = {A novel cluster validity criterion for the bilinear models and its application to the {T-S} fuzzy bilinear model identification}, booktitle = {{FUZZ-IEEE} 2012, {IEEE} International Conference on Fuzzy Systems, Brisbane, Australia, June 10-15, 2012, Proceedings}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FUZZ-IEEE.2012.6250841}, doi = {10.1109/FUZZ-IEEE.2012.6250841}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KuKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LuoCH12, author = {Lin Luo and Chung Shue Chen and Siu{-}Wai Ho}, title = {On the peak-to-average power ratio of pre-equalized base-field Hartley {OFDM}}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2012, 3-7 December 2012, Anaheim, California, {USA}}, pages = {631--636}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOMW.2012.6477647}, doi = {10.1109/GLOCOMW.2012.6477647}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LuoCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenHJT12, author = {Ding{-}Yun Chen and Chen{-}Tsai Ho and Chi{-}Cheng Ju and Chung{-}Hung Tsai}, title = {A novel parallel {H.264} decoder using dynamic load balance on dual core embedded system}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {2313--2316}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288377}, doi = {10.1109/ICASSP.2012.6288377}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenHJT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HouC12, author = {I{-}Hong Hou and Chung Shue Chen}, title = {Self-organized resource allocation in {LTE} systems with weighted proportional fairness}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {5348--5353}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364444}, doi = {10.1109/ICC.2012.6364444}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/HouC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiuSWKW12, author = {Chia{-}Horng Liu and June{-}Ming Shen and Chung{-}Ho Wang and Yu{-}Chen Kuo and Neal Wu}, title = {On the design of low-frequency broadcasting system and its applications to disaster management}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {6319--6323}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364680}, doi = {10.1109/ICC.2012.6364680}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/LiuSWKW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ShihCLC12, author = {Chi{-}Sheng Shih and Ling{-}Jyh Chen and Ching{-}Ju Lin and Wei{-}Ho Chung}, title = {Open information gateway for disaster management}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {6348--6352}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364969}, doi = {10.1109/ICC.2012.6364969}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/ShihCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/HoLHC12, author = {Ching{-}Li Ho and Cheng{-}Han Lin and Wen{-}Shyang Hwang and Shen{-}Ming Chung}, editor = {George A. Tsihrintzis and Jeng{-}Shyang Pan and Hsiang{-}Cheh Huang and Maria Virvou and Lakhmi C. Jain}, title = {Dynamic {GTS} Allocation Scheme in {IEEE} 802.15.4 by Multi-Factor}, booktitle = {Eighth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2012, Piraeus-Athens, Greece, July 18-20, 2012}, pages = {457--460}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IIH-MSP.2012.117}, doi = {10.1109/IIH-MSP.2012.117}, timestamp = {Mon, 06 Apr 2020 19:01:12 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/HoLHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/WangCTH12, author = {Shyue{-}Liang Wang and Chung{-}Yi Chen and I{-}Hsien Ting and Tzung{-}Pei Hong}, editor = {Eric Pardede}, title = {Anonymous spatial query on non-uniform data}, booktitle = {The 14th International Conference on Information Integration and Web-based Applications {\&} Services, {IIWAS} '12, Bali, Indonesia - December 3-5, 2012}, pages = {126--131}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2428736.2428758}, doi = {10.1145/2428736.2428758}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiwas/WangCTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/KungLTCC12, author = {Tzu{-}Liang Kung and Cheng{-}Kuan Lin and Jimmy J. M. Tan and Hon{-}Chan Chen and Hsing{-}Chung Chen}, editor = {Ilsun You and Leonard Barolli and Antonio Gentile and Hae{-}Duck Joshua Jeong and Marek R. Ogiela and Fatos Xhafa}, title = {Identifying Restricted-Faults in Multiprocessor Meshes under the {PMC} Diagnostic Model}, booktitle = {Sixth International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2012, Palermo, Italy, July 4-6, 2012}, pages = {489--493}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IMIS.2012.87}, doi = {10.1109/IMIS.2012.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/KungLTCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HuangHC12, author = {Hsu{-}Yao Huang and Chi{-}Yuan Huang and Chung{-}Ho Chen}, title = {Tile-based {GPU} optimizations through {ESL} full system simulation}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1327--1330}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271485}, doi = {10.1109/ISCAS.2012.6271485}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HuangHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangLLC12, author = {Chen{-}Chieh Wang and Sheng{-}Hsin Lo and Yao{-}Ning Liu and Chung{-}Ho Chen}, title = {NetVP: {A} system-level NETwork Virtual Platform for network accelerator development}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {249--252}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271806}, doi = {10.1109/ISCAS.2012.6271806}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/HouC12, author = {Chung{-}Chuan Hou and Yu{-}Chun Chen}, title = {A hybrid islanding detection for distributed generation systems using pulse current injection}, booktitle = {21st {IEEE} International Symposium on Industrial Electronics, {ISIE} 2012, Hangzhou, China, 28-31 May, 2012}, pages = {1554--1559}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISIE.2012.6237323}, doi = {10.1109/ISIE.2012.6237323}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isie/HouC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12, author = {Yuan{-}Hung Chung and Min Chen and Wei{-}Kai Hong and Jie{-}Wei Lai and Sheng{-}Jau Wong and Chien{-}Wei Kuan and Hong{-}Lin Chu and Chihun Lee and Chih{-}Fan Liao and Hsuan{-}Yu Liu and Hong{-}Kai Hsu and Li{-}Chun Ko and Kuo{-}Hao Chen and Chao{-}Hsin Lu and Tsung{-}Ming Chen and YuLi Hsueh and Chunwei Chang and Yi{-}Hsien Cho and Chih{-}Hsien Shen and Yuan Sun and Eng{-}Chuan Low and Xudong Jiang and Deyong Hu and Weimin Shu and Jhy{-}Rong Chen and Jui{-}Lin Hsu and Chia{-}Jui Hsu and Jing{-}Hong Conan Zhan and Osama Shana'a and Guang{-}Kaai Dehng and George Chien}, title = {A 4-in-1 (WiFi/BT/FM/GPS) connectivity SoC with enhanced co-existence performance in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {172--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176964}, doi = {10.1109/ISSCC.2012.6176964}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/ChenCLGK12, author = {Li{-}Sheng Chen and Wei{-}Ho Chung and Ting{-}Li Liu and Deyun Gao and Sy{-}Yen Kuo}, title = {Visual saliency-aware radio resource allocation for image transmission in {SC-FDMA} systems}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {61--65}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425254}, doi = {10.1109/ITST.2012.6425254}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/ChenCLGK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/0002CCY12, author = {Huan Chen and Chih{-}Chuan Cheng and Wei{-}Ho Chung and Hsi{-}Hsun Yeh}, title = {A reduced dimension MDP-based call admission control scheme for next generation telecommunications}, booktitle = {8th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2012, Limassol, Cyprus, August 27-31, 2012}, pages = {984--989}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IWCMC.2012.6314339}, doi = {10.1109/IWCMC.2012.6314339}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/0002CCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/LiangCC12, author = {Han{-}Wen Liang and Chiao{-}En Chen and Wei{-}Ho Chung}, title = {A novel space-time shift keying and its reduced complexity detector}, booktitle = {8th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2012, Limassol, Cyprus, August 27-31, 2012}, pages = {602--607}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IWCMC.2012.6314272}, doi = {10.1109/IWCMC.2012.6314272}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/LiangCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/Tseng0C12, author = {Po{-}Kai Tseng and Huan Chen and Wei{-}Ho Chung}, title = {Joint design on energy efficiency and throughput for non-infrastructure based cognitive radio networks}, booktitle = {8th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2012, Limassol, Cyprus, August 27-31, 2012}, pages = {642--647}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IWCMC.2012.6314279}, doi = {10.1109/IWCMC.2012.6314279}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/Tseng0C12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChenHCC12, author = {Richard H. Chen and Chang Lung Hsiao and Ren{-}Jr Chen and Wei{-}Ho Chung}, title = {Adaptive {HARQ} scheme for reliable multicast communications}, booktitle = {23rd {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2012, Sydney, Australia, September 9-12, 2012}, pages = {238--242}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PIMRC.2012.6362757}, doi = {10.1109/PIMRC.2012.6362757}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChenHCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/TsengC0W12, author = {Po{-}Kai Tseng and Wei{-}Ho Chung and Huan Chen and Cheng{-}Shong Wu}, title = {Distributed energy-efficient cross-layer design for cognitive radio networks}, booktitle = {23rd {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2012, Sydney, Australia, September 9-12, 2012}, pages = {161--166}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/PIMRC.2012.6362607}, doi = {10.1109/PIMRC.2012.6362607}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/TsengC0W12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/ChenYCX12, author = {Feng Chen and Hongji Yang and William Cheng{-}Chung Chu and Baowen Xu}, editor = {Antony Tang and Henry Muccini}, title = {A Program Transformation Framework for Multicore Software Reengineering}, booktitle = {2012 12th International Conference on Quality Software, Xi'an, Shaanxi, China, August 27-29, 2012}, pages = {270--275}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/QSIC.2012.46}, doi = {10.1109/QSIC.2012.46}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qsic/ChenYCX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangCTH12, author = {Shyue{-}Liang Wang and Chung{-}Yi Chen and I{-}Hsien Ting and Tzung{-}Pei Hong}, title = {Multi-layer partition for query location anonymization}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2012, Seoul, Korea (South), October 14-17, 2012}, pages = {378--383}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICSMC.2012.6377730}, doi = {10.1109/ICSMC.2012.6377730}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangCTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChungH12, author = {Wei{-}Ho Chung and Cheng{-}Yu Hung}, title = {Multi-Antenna Selection Using Space Shift Keying in {MIMO} Systems}, booktitle = {Proceedings of the 75th {IEEE} Vehicular Technology Conference, {VTC} Spring 2012, Yokohama, Japan, May 6-9, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VETECS.2012.6240337}, doi = {10.1109/VETECS.2012.6240337}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChungH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HungCC12, author = {Cheng{-}Yu Hung and Ronald Y. Chang and Wei{-}Ho Chung}, title = {A Hybrid {MMSE} and K-Best Detection Scheme for {MIMO} Systems}, booktitle = {Proceedings of the 76th {IEEE} Vehicular Technology Conference, {VTC} Fall 2012, Quebec City, QC, Canada, September 3-6, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VTCFall.2012.6399055}, doi = {10.1109/VTCFALL.2012.6399055}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HungCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LuCC12, author = {Shih{-}Jung Lu and Wei{-}Ho Chung and Chiao{-}En Chen}, title = {Data Detection for {MIMO} Broadcasting System with Decode-and-Forward Cooperation}, booktitle = {Proceedings of the 76th {IEEE} Vehicular Technology Conference, {VTC} Fall 2012, Quebec City, QC, Canada, September 3-6, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VTCFall.2012.6399073}, doi = {10.1109/VTCFALL.2012.6399073}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LuCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenCNZK12, author = {Yen{-}Hsu Chen and Wei{-}Ho Chung and Guo{-}Kai Ni and Hongke Zhang and Sy{-}Yen Kuo}, title = {Optimal self boundary recognition with two-hop information for ad hoc networks}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {1910--1914}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCNC.2012.6214099}, doi = {10.1109/WCNC.2012.6214099}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenCNZK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/HungCCC12, author = {Cheng{-}Yu Hung and Wei{-}Ho Chung and Ronald Y. Chang and Chiao{-}En Chen}, title = {A Monte Carlo {MIMO} detection scheme via random noise generation}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {903--907}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCNC.2012.6214502}, doi = {10.1109/WCNC.2012.6214502}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/HungCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/LiuCSHL11, author = {Chen{-}Chung Liu and Holly S. L. Chen and Ju{-}Ling Shih and Guo{-}Ting Huang and Baw{-}Jhiune Liu}, title = {An enhanced concept map approach to improving children's storytelling ability}, journal = {Comput. Educ.}, volume = {56}, number = {3}, pages = {873--884}, year = {2011}, url = {https://doi.org/10.1016/j.compedu.2010.10.029}, doi = {10.1016/J.COMPEDU.2010.10.029}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/LiuCSHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChangCHYC11, author = {Li{-}Min Chang and She{-}I Chang and Chin{-}Tsang Ho and David C. Yen and Mei{-}Chen Chiang}, title = {Effects of {IS} characteristics on e-business success factors of small- and medium-sized enterprises}, journal = {Comput. Hum. Behav.}, volume = {27}, number = {6}, pages = {2129--2140}, year = {2011}, url = {https://doi.org/10.1016/j.chb.2011.06.007}, doi = {10.1016/J.CHB.2011.06.007}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/ChangCHYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/ChangCHT11, author = {Chuan{-}Yu Chang and Pau{-}Choo Chung and Yong{-}Cheng Hong and Chin{-}Hsiao Tseng}, title = {A Neural Network for Thyroid Segmentation and Volume Estimation in {CT} Images}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {6}, number = {4}, pages = {43--55}, year = {2011}, url = {https://doi.org/10.1109/MCI.2011.942756}, doi = {10.1109/MCI.2011.942756}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/ChangCHT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChenLC11, author = {Yuan{-}Ho Chen and Chung{-}Yi Li and Tsin{-}Yuan Chang}, title = {Area-Effective and Power-Efficient Fixed-Width Booth Multipliers Using Generalized Probabilistic Estimation Bias}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {1}, number = {3}, pages = {277--288}, year = {2011}, url = {https://doi.org/10.1109/JETCAS.2011.2163694}, doi = {10.1109/JETCAS.2011.2163694}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ChenLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenL11c, author = {Chung{-}Ho Chen and Chih{-}Lun Lu}, title = {Optimum profit model based on order quantity, product price, and process quality level}, journal = {Expert Syst. Appl.}, volume = {38}, number = {6}, pages = {7886--7893}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.12.046}, doi = {10.1016/J.ESWA.2010.12.046}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenL11c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ShihYLS11, author = {Dong{-}Her Shih and David C. Yen and Ho{-}Cheng Lin and Ming{-}Hung Shih}, title = {An implementation and evaluation of recommender systems for traveling abroad}, journal = {Expert Syst. Appl.}, volume = {38}, number = {12}, pages = {15344--15355}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.06.030}, doi = {10.1016/J.ESWA.2011.06.030}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ShihYLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WangL11a, author = {Chung{-}Ho Wang and Cheng{-}Hsiang Li}, title = {Optimization of an established multi-objective delivering problem by an improved hybrid algorithm}, journal = {Expert Syst. Appl.}, volume = {38}, number = {4}, pages = {4361--4367}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.09.105}, doi = {10.1016/J.ESWA.2010.09.105}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/WangL11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChiuLCYLWLHTH11, author = {Huang{-}Jen Chiu and Yu{-}Kang Lo and Shih{-}Jen Cheng and Yeong{-}Chang Yan and Hung{-}Chi Lee and Kuan{-}Hung Wu and Chung{-}Yi Lin and Shao{-}Shih Ho and Ming{-}Hsiang Tseng and Fu{-}Hsien Huang}, title = {A single-stage {LED} lamp driver with low {DC} bus voltage for general lighting applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {39}, number = {11}, pages = {1161--1175}, year = {2011}, url = {https://doi.org/10.1002/cta.693}, doi = {10.1002/CTA.693}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChiuLCYLWLHTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/ChenL11, author = {Chung{-}Ho Chen and Chih{-}Lun Lu}, title = {Optimum profit model considering production, quality and sale problem}, journal = {Int. J. Syst. Sci.}, volume = {42}, number = {12}, pages = {1917--1933}, year = {2011}, url = {https://doi.org/10.1080/00207721.2010.543479}, doi = {10.1080/00207721.2010.543479}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/ChenL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/ChangWLLC11, author = {Kuo{-}Chung Chang and Jiun{-}Hung Wong and Yuzhu Li and Yao{-}Chin Lin and Houn{-}Gee Chen}, title = {External social capital and information systems development team flexibility}, journal = {Inf. Softw. Technol.}, volume = {53}, number = {6}, pages = {592--600}, year = {2011}, url = {https://doi.org/10.1016/j.infsof.2011.01.007}, doi = {10.1016/J.INFSOF.2011.01.007}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/ChangWLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/ChungYCLZ11, author = {Kuo{-}Liang Chung and Wei{-}Jen Yang and Chyou{-}Hwa Chen and Hong{-}Yuan Mark Liao and Sheng{-}Mao Zeng}, title = {Efficient chroma subsampling strategy for compressing digital time delay integration mosaic video sequences in {H.264/AVC}}, journal = {J. Electronic Imaging}, volume = {20}, number = {2}, pages = {023011}, year = {2011}, url = {https://doi.org/10.1117/1.3586799}, doi = {10.1117/1.3586799}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/ChungYCLZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/LuCLCWHH11, author = {Chun{-}Chang Lu and Kuei{-}Shu Chang{-}Liao and Chun{-}Yuan Lu and Shih{-}Cheng Chang and Tien{-}Ko Wang and Fu{-}Chung Hou and Yao{-}Tung Hsu}, title = {Tunneling component suppression in charge pumping measurement and reliability study for high-k gated MOSFETs}, journal = {Microelectron. Reliab.}, volume = {51}, number = {12}, pages = {2110--2114}, year = {2011}, url = {https://doi.org/10.1016/j.microrel.2011.04.021}, doi = {10.1016/J.MICROREL.2011.04.021}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/LuCLCWHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/HoTLH11, author = {Meng{-}Fen Ho and Chuan{-}Yu Tseng and Cheng{-}Chang Lien and Chung{-}Lin Huang}, title = {A multi-view vision-based hand motion capturing system}, journal = {Pattern Recognit.}, volume = {44}, number = {2}, pages = {443--453}, year = {2011}, url = {https://doi.org/10.1016/j.patcog.2010.08.012}, doi = {10.1016/J.PATCOG.2010.08.012}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/HoTLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeHSKC11, author = {Yung{-}Hsiang Lee and Chung{-}Ru Ho and Feng{-}Chun Su and Nan{-}Jung Kuo and Yu{-}Hsin Cheng}, title = {The Use of Neural Networks in Identifying Error Sources in Satellite-Derived Tropical {SST} Estimates}, journal = {Sensors}, volume = {11}, number = {8}, pages = {7530--7544}, year = {2011}, url = {https://doi.org/10.3390/s110807530}, doi = {10.3390/S110807530}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeHSKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ShenWCLHS11, author = {Sun{-}Ju Shen and Sheng{-}Hung Wu and Jen{-}Hao Chi and Chen{-}Chung Lin and Jao{-}Jia Horng and Chi{-}Min Shu}, title = {Simulation of solid thermal explosion and liquid thermal explosion of dicumyl peroxide using calorimetric technique}, journal = {Simul. Model. Pract. Theory}, volume = {19}, number = {4}, pages = {1251--1257}, year = {2011}, url = {https://doi.org/10.1016/j.simpat.2010.11.001}, doi = {10.1016/J.SIMPAT.2010.11.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/ShenWCLHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenYLC11, author = {Jau{-}Horng Chen and Hao{-}Shun Yang and Hou{-}Chung Lin and Yi{-}Jan Emery Chen}, title = {A Polar-Transmitter Architecture Using Multiphase Pulsewidth Modulation}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {58-I}, number = {2}, pages = {244--252}, year = {2011}, url = {https://doi.org/10.1109/TCSI.2010.2071918}, doi = {10.1109/TCSI.2010.2071918}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChenYLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiCCC11, author = {Chung{-}Yi Li and Yuan{-}Ho Chen and Tsin{-}Yuan Chang and Jyun{-}Neng Chen}, title = {A Probabilistic Estimation Bias Circuit for Fixed-Width Booth Multiplier and Its {DCT} Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {58-II}, number = {4}, pages = {215--219}, year = {2011}, url = {https://doi.org/10.1109/TCSII.2011.2111610}, doi = {10.1109/TCSII.2011.2111610}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiCCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LiangCLCC11, author = {Chia{-}Kai Liang and Chao{-}Chung Cheng and Yen{-}Chieh Lai and Liang{-}Gee Chen and Homer H. Chen}, title = {Hardware-Efficient Belief Propagation}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {21}, number = {5}, pages = {525--537}, year = {2011}, url = {https://doi.org/10.1109/TCSVT.2011.2125570}, doi = {10.1109/TCSVT.2011.2125570}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/LiangCLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenCL11, author = {Yuan{-}Ho Chen and Tsin{-}Yuan Chang and Chung{-}Yi Li}, title = {High Throughput DA-Based {DCT} With High Accuracy Error-Compensated Adder Tree}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {4}, pages = {709--714}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2037968}, doi = {10.1109/TVLSI.2009.2037968}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LuCL11, author = {Tai{-}Hua Lu and Chung{-}Ho Chen and Kuen{-}Jong Lee}, title = {Effective Hybrid Test Program Development for Software-Based Self-Testing of Pipeline Processor Cores}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {3}, pages = {516--520}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2036184}, doi = {10.1109/TVLSI.2009.2036184}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LuCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/TsaiC11, author = {Yi{-}Ying Tsai and Chung{-}Ho Chen}, title = {Energy-Efficient Trace Reuse Cache for Embedded Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {9}, pages = {1681--1694}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2010.2055908}, doi = {10.1109/TVLSI.2010.2055908}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/TsaiC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ZhangZCYHC11, author = {Ling Zhang and Yulei Zhang and Hongyu Chen and Bo Yao and Kevin Hamilton and Chung{-}Kuan Cheng}, title = {On-Chip Interconnect Analysis of Performance and Energy Metrics Under Different Design Goals}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {19}, number = {3}, pages = {520--524}, year = {2011}, url = {https://doi.org/10.1109/TVLSI.2009.2035322}, doi = {10.1109/TVLSI.2009.2035322}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ZhangZCYHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMace/LinHC11, author = {Ruei{-}Min Lin and Hwai{-}Chung Ho and Kuan{-}Ta Chen}, editor = {Teresa Rom{\~{a}}o and Nuno Correia and Masahiko Inami and Hirokasu Kato and Rui Prada and Tsutomu Terada and A. Eduardo Dias and Teresa Chambel}, title = {Bot detection in rhythm games: a physiological approach}, booktitle = {Proceedings of the 8th International Conference on Advances in Computer Entertainment Technology, {ACE} 2011, Lisbon, Portugal, November 8-11, 2011}, pages = {7}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2071423.2071432}, doi = {10.1145/2071423.2071432}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMace/LinHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ChenHHHC11, author = {Yen{-}Ting Chen and I{-}Chung Hung and Min{-}Wei Huang and Chun{-}Ju Hou and Kuo{-}Sheng Cheng}, editor = {Yongsheng Ding and Yonghong Peng and Riyi Shi and Kuangrong Hao and Lipo Wang}, title = {Physiological signal analysis for patients with depression}, booktitle = {4th International Conference on Biomedical Engineering and Informatics, {BMEI} 2011, Shanghai, China, October 15-17, 2011}, pages = {805--808}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/BMEI.2011.6098461}, doi = {10.1109/BMEI.2011.6098461}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/ChenHHHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KaoZCHWLWWC11, author = {Yu{-}Ting Kao and Shun{-}Cheng Zhan and Shi{-}Chung Chang and Jen{-}Hsuan Ho and Peng Wang and Peter B. Luh and Simon Wang and Fenix Wang and Joey Chang}, title = {Near optimal furnace tool allocation with batching and waiting time constraints}, booktitle = {{IEEE} Conference on Automation Science and Engineering, {CASE} 2011, Trieste, Italy, Aug. 24-27, 2011}, pages = {108--113}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CASE.2011.6042507}, doi = {10.1109/CASE.2011.6042507}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/KaoZCHWLWWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChenZYWC11, author = {Feng Chen and Hong Zhou and Hongji Yang and Martin P. Ward and William Cheng{-}Chung Chu}, title = {Requirements Recovery by Matching Domain Ontology and Program Ontology}, booktitle = {Proceedings of the 35th Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2011, Munich, Germany, 18-22 July 2011}, pages = {602--607}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/COMPSAC.2011.84}, doi = {10.1109/COMPSAC.2011.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChenZYWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/ChangCCTSCCC11, author = {Chuan{-}Chung Chang and Yung{-}Lin Chen and Kuang{-}Vu Chen and Hsiao{-}Yue Tsao and Hsin{-}Yueh Sung and Chir{-}Weei Chang and Po{-}Chang Chen and Horng Chang}, editor = {Francisco H. Imai and Feng Xiao}, title = {Fidelity tolerance analysis for computational imaging system}, booktitle = {Digital Photography VII, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Francisco Airport, California, USA, January 24-25, 2011, Proceedings}, series = {{SPIE} Proceedings}, volume = {7876}, pages = {78760R}, publisher = {SPIE/IS{\&}T}, year = {2011}, url = {https://doi.org/10.1117/12.871054}, doi = {10.1117/12.871054}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/ChangCCTSCCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/SungCCCYC11, author = {Hsin{-}Yueh Sung and Po{-}Chang Chen and Chuan{-}Chung Chang and Chir{-}Weei Chang and Sidney S. Yang and Horng Chang}, editor = {Francisco H. Imai and Feng Xiao}, title = {Mobile phone imaging module with extended depth of focus based on axial irradiance equalization phase coding}, booktitle = {Digital Photography VII, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Francisco Airport, California, USA, January 24-25, 2011, Proceedings}, series = {{SPIE} Proceedings}, volume = {7876}, pages = {787606}, publisher = {SPIE/IS{\&}T}, year = {2011}, url = {https://doi.org/10.1117/12.872260}, doi = {10.1117/12.872260}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/SungCCCYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenC11, author = {Richard H. Chen and Wei{-}Ho Chung}, title = {Low-Complexity {MIMO} Detection Using Post-Processing {SINR} Ordering and Partial K-Best Search}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6134080}, doi = {10.1109/GLOCOM.2011.6134080}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenJL11, author = {Dan Chen and Hong Ji and Victor C. M. Leung}, title = {Cross-Layer QoS Provisioning for Cooperative Transmissions over Cognitive Radio Relay Networks with Imperfect Spectrum Sensing}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6134164}, doi = {10.1109/GLOCOM.2011.6134164}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChenJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ChenLLCLJYCH11, author = {Chia{-}Pang Chen and Chi{-}Hung Lin and Ta{-}Wei Lai and Cheng{-}Long Chuang and Tzu{-}Shiang Lin and Joe{-}Air Jiang and Hsiao{-}Wei Yuan and Chyi{-}Rong Chiou and Chung{-}Hang Hong}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Energy-Efficient Visual Eyes System for Wildlife}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {869--874}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.124}, doi = {10.1109/HPCC.2011.124}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/ChenLLCLJYCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iat/LuHCHLH11, author = {Cheng{-}Yu Lu and Shou{-}Wei Ho and Jen{-}Ming Chung and Fu{-}Yuan Hsu and Hahn{-}Ming Lee and Jan{-}Ming Ho}, editor = {Jomi Fred H{\"{u}}bner and Jean{-}Marc Petit and Einoshin Suzuki}, title = {Mining Fuzzy Domain Ontology Based on Concept Vector from Wikipedia Category Network}, booktitle = {Proceedings of the 2011 {IEEE/WIC/ACM} International Joint Conference on Web Intelligence and Intelligent Agent Technology - Workshops, {WI-IAT} 2011, Campus Scientifique de la Doua, Lyon, France, August 22-27, 2011}, pages = {249--252}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/WI-IAT.2011.140}, doi = {10.1109/WI-IAT.2011.140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iat/LuHCHLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HongHWHSLCLHCTC11, author = {Chung{-}Hung Hong and Wei{-}Ta Hsiao and Hui{-}Min Wang and Sheng{-}Chieh Huang and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Yung{-}Pin Lee and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Yu Chen}, title = {The Sustained Exhilarating Cardiac Responses after Listening to the Very Fast and Complex Rhythm}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {53--56}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.18}, doi = {10.1109/IBICA.2011.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/HongHWHSLCLHCTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HsiaoHWHSLCLHCTC11, author = {Wei{-}Ta Hsiao and Chung{-}Hung Hong and Hui{-}Min Wang and Sheng{-}Chieh Huang and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Yung{-}Pin Lee and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Yu Chen}, title = {Removement of the Three Minutes Irritating Music Produced No Incremental Relaxation}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {57--60}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.19}, doi = {10.1109/IBICA.2011.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/HsiaoHWHSLCLHCTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HuangLHWHCTHHSLCC11, author = {Sheng{-}Chieh Huang and Yung{-}Pin Lee and Min{-}Hua Hsieh and Hui{-}Min Wang and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Ta Hsiao and Chung{-}Hung Hong and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Wei{-}Yu Chen}, title = {What's Happening to Our Body after Drinking Coke? The Characteristic of the Blood Pressure Wave in Radial Artery}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {41--44}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.15}, doi = {10.1109/IBICA.2011.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/HuangLHWHCTHHSLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/LeeHSLCWHCTHHC11, author = {Yung{-}Pin Lee and Sheng{-}Chieh Huang and Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Hui{-}Min Wang and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Ta Hsiao and Chung{-}Hung Hong and Wei{-}Yu Chen}, title = {A Novel and Low-Cost Cosmetic Chip Using 4x4 Array Photoplethysmography}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {61--64}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.20}, doi = {10.1109/IBICA.2011.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/LeeHSLCWHCTHHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/ShaoLCHLWHCTHHC11, author = {Kai{-}Yu Shao and Shi{-}Han Luo and Wei{-}Chun Chiu and Sheng{-}Chieh Huang and Yung{-}Pin Lee and Hui{-}Min Wang and Mark C. Hou and Shih{-}Chun Chao and Cheng{-}Lung Tseng and Wei{-}Ta Hsiao and Chung{-}Hung Hong and Wei{-}Yu Chen}, title = {Camera-Based Cosmetic Platform to Measure Microcirculation and Skin Condition of Faces}, booktitle = {Second International Conference on Innovations in Bio-inspired Computing and Applications, {IBICA} 2011, Shenzhen, China, 16-18 December, 2011}, pages = {45--48}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IBICA.2011.16}, doi = {10.1109/IBICA.2011.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ibica/ShaoLCHLWHCTHHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadl/ChenWCLS11, author = {Ya{-}Ning Chen and Chun{-}Ya Wen and Hui{-}Pin Chen and Yen{-}Hung Lin and Hon{-}Chung Sum}, editor = {Chunxiao Xing and Fabio Crestani and Andreas Rauber}, title = {Metrics for Metadata Quality Assurance and Their Implications for Digital Libraries}, booktitle = {Digital Libraries: For Cultural Heritage, Knowledge Dissemination, and Future Creation - 13th International Conference on Asia-Pacific Digital Libraries, {ICADL} 2011, Beijing, China, October 24-27, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7008}, pages = {138--147}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24826-9\_19}, doi = {10.1007/978-3-642-24826-9\_19}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icadl/ChenWCLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChangCH11, author = {Ronald Y. Chang and Wei{-}Ho Chung and Cheng{-}Yu Hung}, title = {Efficient {MIMO} Detection Based on Eigenspace Search with Complexity Analysis}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2011, Kyoto, Japan, 5-9 June, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/icc.2011.5962565}, doi = {10.1109/ICC.2011.5962565}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChangCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenJL11, author = {Dan Chen and Hong Ji and Victor C. M. Leung}, title = {Distributed Optimal Relay Selection for Improving {TCP} Throughput over Cognitive Radio Networks: {A} Cross-Layer Design Approach}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2011, Kyoto, Japan, 5-9 June, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/icc.2011.5962820}, doi = {10.1109/ICC.2011.5962820}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChungHSPHH11, author = {Wei{-}Ho Chung and Pi{-}Cheng Hsiu and Yuan{-}Yao Shih and Ai{-}Chun Pang and Yu{-}Kai Huang and Kuan{-}Chang Hung}, title = {Mobility-Robust Tree Construction in ZigBee Wireless Networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2011, Kyoto, Japan, 5-9 June, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/icc.2011.5962644}, doi = {10.1109/ICC.2011.5962644}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/ChungHSPHH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenCW11, author = {Ching{-}Hui Chen and Wei{-}Ho Chung and Yu{-}Chiang Frank Wang}, editor = {Beno{\^{\i}}t Macq and Peter Schelkens}, title = {Joint source-channel coding optimization with packet loss resilience for video transmission}, booktitle = {18th {IEEE} International Conference on Image Processing, {ICIP} 2011, Brussels, Belgium, September 11-14, 2011}, pages = {2197--2200}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICIP.2011.6116070}, doi = {10.1109/ICIP.2011.6116070}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChenCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenCW11a, author = {Ching{-}Hui Chen and Wei{-}Ho Chung and Yu{-}Chiang Frank Wang}, editor = {Beno{\^{\i}}t Macq and Peter Schelkens}, title = {Cross-layer design for video streaming with dynamic antenna selection}, booktitle = {18th {IEEE} International Conference on Image Processing, {ICIP} 2011, Brussels, Belgium, September 11-14, 2011}, pages = {3245--3248}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICIP.2011.6116361}, doi = {10.1109/ICIP.2011.6116361}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChenCW11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HsuLWWHYH11, author = {Chun{-}Chen Hsu and Pangfeng Liu and Chien{-}Min Wang and Jan{-}Jan Wu and Ding{-}Yong Hong and Pen{-}Chung Yew and Wei{-}Chung Hsu}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {LnQ: Building High Performance Dynamic Binary Translators with Existing Compiler Backends}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {226--234}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.57}, doi = {10.1109/ICPP.2011.57}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/HsuLWWHYH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsipa/ChuangHCHS11, author = {Bo{-}I Chuang and Wen{-}Cheng Hsu and Chung{-}Mei Chen and Chiou{-}Yi Hor and Yung{-}Nien Sun}, title = {Automatic inspection system for billet}, booktitle = {2011 {IEEE} International Conference on Signal and Image Processing Applications, {ICSIPA} 2011, Kuala Lumpur, Malaysia, November 16-18, 2011}, pages = {58--62}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICSIPA.2011.6144096}, doi = {10.1109/ICSIPA.2011.6144096}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icsipa/ChuangHCHS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/ChungHLLH11, author = {Jen{-}Ming Chung and Fu{-}Yuan Hsu and Cheng{-}Yu Lu and Hahn{-}Ming Lee and Jan{-}Ming Ho}, title = {Automatic English-Chinese name translation by using Web-Mining and phonetic similarity}, booktitle = {Proceedings of the {IEEE} International Conference on Information Reuse and Integration, {IRI} 2011, 3-5 August 2011, Las Vegas, Nevada, {USA}}, pages = {283--287}, publisher = {{IEEE} Systems, Man, and Cybernetics Society}, year = {2011}, url = {https://doi.org/10.1109/IRI.2011.6009560}, doi = {10.1109/IRI.2011.6009560}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iri/ChungHLLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChenCJLCRL11, author = {I{-}An Chen and Chang{-}Hsin Cheng and Hong{-}Yuan Jheng and Chung{-}Kai Liu and Fan{-}Chieh Cheng and Shanq{-}Jang Ruan and Chang{-}Hong Lin}, title = {An error-correction scheme with Reed-Solomon codec for {CAN} bus transmission}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2011, Chiang Mai, Thailand, December 7-9, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISPACS.2011.6146059}, doi = {10.1109/ISPACS.2011.6146059}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/ChenCJLCRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSLHHYCLLF11, author = {Shuenn{-}Yuh Lee and Yu{-}Cheng Su and Ming{-}Chun Liang and Jia{-}Hua Hong and Cheng{-}Han Hsieh and Chung{-}Min Yang and You{-}Yin Chen and Hsin{-}Yi Lai and Jou{-}Wei Lin and Qiang Fang}, title = {A programmable implantable micro-stimulator SoC with wireless telemetry: Application in closed-loop endocardial stimulation for cardiac pacemaker}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {44--45}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746212}, doi = {10.1109/ISSCC.2011.5746212}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSLHHYCLLF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChungCW11, author = {Shu{-}Ru Chung and Hong{-}Shou Chen and Kuan{-}Wen Wang}, title = {Emission properties of white light of nanocrystals}, booktitle = {6th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2011, Kaohsiung, Taiwan, February 20-23, 2011}, pages = {445--448}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/NEMS.2011.6017388}, doi = {10.1109/NEMS.2011.6017388}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChungCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/YanGCRL11, author = {Liang Yan and Hongbo Guo and Min Chen and Chunming Rong and Victor C. M. Leung}, editor = {Vicente Casares Giner and Pietro Manzoni and Ana Pont}, title = {Mobile Agent Code Updating and Authentication Protocol for Code-Centric {RFID} System}, booktitle = {{NETWORKING} 2011 Workshops - International {IFIP} {TC} 6 Workshops, PE-CRN, NC-Pro, WCNS, and {SUNSET} 2011, Held at {NETWORKING} 2011, Valencia, Spain, May 13, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6827}, pages = {243--250}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23041-7\_23}, doi = {10.1007/978-3-642-23041-7\_23}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/networking/YanGCRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sies/LinCSCC11, author = {Yi{-}Li Lin and Wei{-}Tso Chen and Alvin W. Y. Su and Da{-}Wei Chang and Chung{-}Ho Chen}, title = {A low cost, low power, high scalability and dependability processor-cluster platform}, booktitle = {Industrial Embedded Systems (SIES), 2011 6th {IEEE} International Symposium on, {SIES} 2011. Vasteras, Sweden, June 15-17, 2011}, pages = {95--98}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SIES.2011.5953689}, doi = {10.1109/SIES.2011.5953689}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sies/LinCSCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LiPKCH11, author = {ChengJie Li and Chanhun Park and Jin Ho Kyung and Gwang{-}Jo Chung and ChangSoo Han}, title = {Study on teaching path reconstruction algorithm based direct teaching and playback method}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {778--779}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6146013}, doi = {10.1109/URAI.2011.6146013}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LiPKCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenCYZK11, author = {Yen{-}Hsu Chen and Wei{-}Ho Chung and Shih{-}Yi Yuan and Hongke Zhang and Sy{-}Yen Kuo}, title = {Order-Based Localization Scheme for Ad Hoc Sensor Networks}, booktitle = {Proceedings of the 73rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2011, 15-18 May 2011, Budapest, Hungary}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VETECS.2011.5956210}, doi = {10.1109/VETECS.2011.5956210}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChenCYZK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenJL11, author = {Dan Chen and Hong Ji and Victor C. M. Leung}, title = {Energy-efficient cross-layer enhancement of multimedia transmissions over cognitive radio relay networks}, booktitle = {2011 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2011, Proceedings, Cancun, Mexico, 28-31 March, 2011}, pages = {856--861}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/WCNC.2011.5779244}, doi = {10.1109/WCNC.2011.5779244}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenJL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/WuCLLH11, author = {Chi{-}Jen Wu and Jen{-}Ming Chung and Cheng{-}Yu Lu and Hahn{-}Ming Lee and Jan{-}Ming Ho}, editor = {Olivier Boissier and Boualem Benatallah and Mike P. Papazoglou and Zbigniew W. Ras and Mohand{-}Said Hacid}, title = {Using Web-Mining for Academic Measurement and Scholar Recommendation in Expert Finding System}, booktitle = {Proceedings of the 2011 {IEEE/WIC/ACM} International Conference on Web Intelligence, {WI} 2011, Campus Scientifique de la Doua, Lyon, France, August 22-27, 2011}, pages = {288--291}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/WI-IAT.2011.137}, doi = {10.1109/WI-IAT.2011.137}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/webi/WuCLLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adcm/ChengHY10, author = {Jin Cheng and Benny Y. C. Hon and Masahiro Yamamoto}, title = {Preface to the special issue on inverse problems}, journal = {Adv. Comput. Math.}, volume = {33}, number = {4}, pages = {381--383}, year = {2010}, url = {https://doi.org/10.1007/s10444-010-9166-z}, doi = {10.1007/S10444-010-9166-Z}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adcm/ChengHY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChengTCHCSLWHLH10, author = {Wei{-}Chung Cheng and Min{-}Lung Tsai and Cheng{-}Wei Chang and Ching{-}Lung Huang and Chaang{-}Ray Chen and Wun{-}Yi Shu and Yun{-}Shien Lee and Tzu{-}Hao Wang and Ji{-}Hong Hong and Chia{-}Yang Li and Ian C. Hsu}, title = {Microarray meta-analysis database (M\({}^{\mbox{2}}\)DB): a uniformly pre-processed, quality controlled, and manually curated human clinical microarray database}, journal = {{BMC} Bioinform.}, volume = {11}, pages = {421}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-421}, doi = {10.1186/1471-2105-11-421}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChengTCHCSLWHLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChinCHKL10, author = {Chia{-}Hao Chin and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko and Chung{-}Yen Lin}, title = {A hub-attachment based method to detect functional modules from confidence-scored protein interactions and expression profiles}, journal = {{BMC} Bioinform.}, volume = {11}, number = {{S-1}}, pages = {25}, year = {2010}, url = {https://doi.org/10.1186/1471-2105-11-S1-S25}, doi = {10.1186/1471-2105-11-S1-S25}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/ChinCHKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cstat/KhooWCY10, author = {Michael Boon Chong Khoo and Zhang Wu and Chung{-}Ho Chen and Kah Wai Yeong}, title = {Using one {EWMA} chart to jointly monitor the process mean and variance}, journal = {Comput. Stat.}, volume = {25}, number = {2}, pages = {299--316}, year = {2010}, url = {https://doi.org/10.1007/s00180-009-0177-5}, doi = {10.1007/S00180-009-0177-5}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cstat/KhooWCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/ChenC10, author = {Wen{-}Yuan Chen and Chin{-}Ho Chung}, title = {Robust poker image recognition scheme in playing card machine using Hotelling transform, {DCT} and run-length techniques}, journal = {Digit. Signal Process.}, volume = {20}, number = {3}, pages = {769--779}, year = {2010}, url = {https://doi.org/10.1016/j.dsp.2009.09.008}, doi = {10.1016/J.DSP.2009.09.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/ChenC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/ChenCC10, author = {Shih{-}Ho Chen and Tein{-}Yaw Chung and Yung{-}Mu Chen}, title = {Game Theoretical Approaches for Transport-Aware Channel Selection in Cognitive Radio Networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2010}, year = {2010}, url = {https://doi.org/10.1155/2010/598534}, doi = {10.1155/2010/598534}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/ChenCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/SuCCC10, author = {Chung{-}Ho Su and Tai{-}Liang Chen and Ching{-}Hsue Cheng and Ya{-}Ching Chen}, title = {Forecasting the Stock Market with Linguistic Rules Generated from the Minimize Entropy Principle and the Cumulative Probability Distribution Approaches}, journal = {Entropy}, volume = {12}, number = {12}, pages = {2397--2417}, year = {2010}, url = {https://doi.org/10.3390/e12122397}, doi = {10.3390/E12122397}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/SuCCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenC10e, author = {Chung{-}Ho Chen and Wan{-}Lin Chang}, title = {Optimal design of expected lifetime and warranty period for product with quality loss and inspection error}, journal = {Expert Syst. Appl.}, volume = {37}, number = {4}, pages = {3521--3526}, year = {2010}, url = {https://doi.org/10.1016/j.eswa.2009.10.003}, doi = {10.1016/J.ESWA.2009.10.003}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenC10e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LinLHPL10, author = {Chun{-}Cheng Lin and Hai{-}Han Lu and Wen{-}Jeng Ho and Hsiao{-}Chun Peng and Chung{-}Yi Li}, title = {A Bidirectional {WDM} Transport System Based on RSOAs and Optoelectronic Feedback Technique}, journal = {{IEEE} Commun. Lett.}, volume = {14}, number = {10}, pages = {969--971}, year = {2010}, url = {https://doi.org/10.1109/LCOMM.2010.091010.100587}, doi = {10.1109/LCOMM.2010.091010.100587}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LinLHPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChenWCHH10, author = {Lung{-}Pin Chen and I{-}Chen Wu and William C. Chu and Jhen{-}You Hong and Meng{-}Yuan Ho}, title = {Incremental Digital Content Object Delivering in Distributed Systems}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {93-D}, number = {6}, pages = {1512--1520}, year = {2010}, url = {https://doi.org/10.1587/transinf.E93.D.1512}, doi = {10.1587/TRANSINF.E93.D.1512}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChenWCHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ZengYHC10, author = {Shan Zeng and Wenjian Yu and Xianlong Hong and Chung{-}Kuan Cheng}, title = {Efficient Power Network Analysis with Modeling of Inductive Effects}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {6}, pages = {1196--1203}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.1196}, doi = {10.1587/TRANSFUN.E93.A.1196}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ZengYHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdst/FangZYCJ10, author = {Yu Fang and Dongliang Zhang and ChunGang Yan and Hongzhong Chen and Changjun Jiang}, title = {Service and Management Oriented Traffic Information Grid}, journal = {Int. J. Distributed Syst. Technol.}, volume = {1}, number = {4}, pages = {14--26}, year = {2010}, url = {https://doi.org/10.4018/jdst.2010100102}, doi = {10.4018/JDST.2010100102}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdst/FangZYCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/HoCLC10, author = {Li{-}Hsing Ho and Cheng{-}Wei Chung and Shu{-}Ping Lin and Lu{-}Fang Chen}, title = {Visitors' involvement, perceived value, satisfaction and behavioural intention on tourist express trains in Taiwan}, journal = {Int. J. Serv. Technol. Manag.}, volume = {13}, number = {3/4}, pages = {305--322}, year = {2010}, url = {https://doi.org/10.1504/IJSTM.2010.032084}, doi = {10.1504/IJSTM.2010.032084}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/HoCLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/LeeCHH10, author = {Chung{-}Shing Lee and Yiche Grace Chen and Jonathan C. Ho and Pi{-}Feng Hsieh}, title = {An integrated framework for managing knowledge-intensive service innovation}, journal = {Int. J. Serv. Technol. Manag.}, volume = {13}, number = {1/2}, pages = {20--39}, year = {2010}, url = {https://doi.org/10.1504/IJSTM.2010.029669}, doi = {10.1504/IJSTM.2010.029669}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/LeeCHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijtm/HoCCL10, author = {Li{-}Hsing Ho and Cheng{-}Wei Chung and Huan{-}Tun Chen and Amy H. I. Lee}, title = {The effects of government {SMS} in Taiwan}, journal = {Int. J. Technol. Manag.}, volume = {51}, number = {1}, pages = {22--38}, year = {2010}, url = {https://doi.org/10.1504/IJTM.2010.033126}, doi = {10.1504/IJTM.2010.033126}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijtm/HoCCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnw/ChenHWJ10, author = {Jong{-}Shin Chen and Zeng{-}Wei Hong and Neng{-}Chung Wang and San{-}Heui Jhuang}, title = {Efficient Cluster Head Selection Methods for Wireless Sensor Networks}, journal = {J. Networks}, volume = {5}, number = {8}, pages = {964--970}, year = {2010}, url = {https://doi.org/10.4304/jnw.5.8.964-970}, doi = {10.4304/JNW.5.8.964-970}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnw/ChenHWJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/LiCCJ10, author = {Yuzhu Li and Kuo{-}Chung Chang and Houn{-}Gee Chen and James J. Jiang}, title = {Software development team flexibility antecedents}, journal = {J. Syst. Softw.}, volume = {83}, number = {10}, pages = {1726--1734}, year = {2010}, url = {https://doi.org/10.1016/j.jss.2010.04.077}, doi = {10.1016/J.JSS.2010.04.077}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/LiCCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ChenZCC10, author = {Xiaohua Chen and Hongke Zhang and Yao{-}Chung Chang and Han{-}Chieh Chao}, title = {Experimentation and performance analysis of multi-interfaced mobile router scheme}, journal = {Simul. Model. Pract. Theory}, volume = {18}, number = {4}, pages = {407--415}, year = {2010}, url = {https://doi.org/10.1016/j.simpat.2009.09.005}, doi = {10.1016/J.SIMPAT.2009.09.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/ChenZCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tciaig/PaoCC10, author = {Hsing{-}Kuo Pao and Kuan{-}Ta Chen and Hong{-}Chung Chang}, title = {Game Bot Detection via Avatar Trajectory Analysis}, journal = {{IEEE} Trans. Comput. Intell. {AI} Games}, volume = {2}, number = {3}, pages = {162--175}, year = {2010}, url = {https://doi.org/10.1109/TCIAIG.2010.2072506}, doi = {10.1109/TCIAIG.2010.2072506}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tciaig/PaoCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChungC10, author = {Chih{-}Yuan Chung and Homer H. Chen}, title = {Video Object Extraction via MRF-Based Contour Tracking}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {20}, number = {1}, pages = {149--155}, year = {2010}, url = {https://doi.org/10.1109/TCSVT.2009.2026823}, doi = {10.1109/TCSVT.2009.2026823}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChungC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/ChengSCC10, author = {Ching{-}Hsue Cheng and Chung{-}Ho Su and Tai{-}Liang Chen and Hung{-}Hsing Chiang}, editor = {Ngoc Thanh Nguyen and Manh Thanh Le and Jerzy Swiatek}, title = {Forecasting Stock Market Based on Price Trend and Variation Pattern}, booktitle = {Intelligent Information and Database Systems, Second International Conference, ACIIDS, Hue City, Vietnam, March 24-26, 2010. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5990}, pages = {455--464}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12145-6\_47}, doi = {10.1007/978-3-642-12145-6\_47}, timestamp = {Thu, 16 Mar 2023 20:00:28 +0100}, biburl = {https://dblp.org/rec/conf/aciids/ChengSCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenHJCLH10, author = {Liang{-}Bi Chen and Tsung{-}Yu Ho and Jiun{-}Cheng Ju and Cheng{-}Lung Chiang and Chung{-}Nan Lee and Ing{-}Jer Huang}, title = {An embedded debugging/performance monitoring engine for a tile-based 3D graphics SoC development}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {353--354}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419865}, doi = {10.1109/ASPDAC.2010.5419865}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenHJCLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShihCHC10, author = {Xin{-}Wei Shih and Chung{-}Chun Cheng and Yuan{-}Kai Ho and Yao{-}Wen Chang}, title = {Blockage-avoiding buffered clock-tree synthesis for clock latency-range and skew minimization}, booktitle = {Proceedings of the 15th Asia South Pacific Design Automation Conference, {ASP-DAC} 2010, Taipei, Taiwan, January 18-21, 2010}, pages = {395--400}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ASPDAC.2010.5419850}, doi = {10.1109/ASPDAC.2010.5419850}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShihCHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/XuGWTLCJC10, author = {Zhiwei Xu and Qun Jane Gu and Yi{-}Cheng Wu and Adrian Tang and Yu{-}Ling Lin and Ho{-}Hsian Chen and Chewnpu Jou and Mau{-}Chung Frank Chang}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {D-band {CMOS} transmitter and receiver for multi-giga-bit/sec wireless data link}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617617}, doi = {10.1109/CICC.2010.5617617}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/XuGWTLCJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/LuHPCH10, author = {Cheng{-}Yu Lu and William W. Y. Hsu and Hsin{-}Tsung Peng and Jen{-}Ming Chung and Jan{-}Ming Ho}, title = {Emotion Sensing for Internet Chatting: {A} Web Mining Approach for Affective Categorization of Events}, booktitle = {13th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2010, Hong Kong, China, December 11-13, 2010}, pages = {295--301}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CSE.2010.44}, doi = {10.1109/CSE.2010.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/LuHPCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/MurphyGWJXTWLCJ10, author = {David Murphy and Qun Jane Gu and Yi{-}Cheng Wu and Heng{-}Yu Jian and Zhiwei Xu and Adrian Tang and Frank Wang and Yu{-}Ling Lin and Ho{-}Hsian Chen and Chewnpu Jou and Mau{-}Chung Frank Chang}, title = {A low phase noise, wideband and compact {CMOS} {PLL} for use in a heterodyne 802.15.3c {TRX}}, booktitle = {36th European Solid-State Circuits Conference, {ESSCIRC} 2010, Sevilla, Spain, September 13-17, 2010}, pages = {258--261}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ESSCIRC.2010.5619880}, doi = {10.1109/ESSCIRC.2010.5619880}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/MurphyGWJXTWLCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LaiLSLL10, author = {Yu{-}Chun Lai and Yu{-}Ming Liang and Sheng{-}Wen Shih and Hong{-}Yuan Mark Liao and Cheng{-}Chung Lin}, title = {Linear production game solution to a {PTZ} camera network}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2010, September 26-29, Hong Kong, China}, pages = {4317--4320}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICIP.2010.5652444}, doi = {10.1109/ICIP.2010.5652444}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LaiLSLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShenLC10, author = {Shye{-}Tzeng Shen and Shin{-}Ying Lee and Chung{-}Ho Chen}, title = {Full system simulation with {QEMU:} An approach to multi-view 3D {GPU} design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3877--3880}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537690}, doi = {10.1109/ISCAS.2010.5537690}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShenLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/TungCLCY10, author = {Hsin{-}Yu Tung and Chih{-}Hung Chang and Chih{-}Wei Lu and William C. Chu and Hongji Yang}, editor = {Ji Wang and W. K. Chan and Fei{-}Ching Kuo}, title = {From Applications, to Models and to Embedded System Code: {A} Modeling Approach in Action}, booktitle = {Proceedings of the 10th International Conference on Quality Software, {QSIC} 2010, Zhangjiajie, China, 14-15 July 2010}, pages = {488--494}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/QSIC.2010.31}, doi = {10.1109/QSIC.2010.31}, timestamp = {Mon, 19 Aug 2024 08:29:06 +0200}, biburl = {https://dblp.org/rec/conf/qsic/TungCLCY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KuKC10, author = {Hong{-}Chi Ku and Chung{-}Chun Kung and Wei{-}Yin Chen}, title = {Identification of {T-S} fuzzy bilinear model and its applications}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Istanbul, Turkey, 10-13 October 2010}, pages = {2213--2219}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICSMC.2010.5641690}, doi = {10.1109/ICSMC.2010.5641690}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/KuKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/wmte/2010, editor = {Ulrich Hoppe and Roy Pea and Chen{-}Chung Liu}, title = {6th {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technologies in Education, {WMUTE} 2010, Kaohsiung, Taiwan, April 12-16, 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5476378/proceeding}, isbn = {978-0-7695-3992-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wmte/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/WuHHCT09, author = {Tzong{-}Yuan Wu and Chi{-}Chun Hsieh and Jun{-}Jie Hong and Chung{-}Yung Chen and Yuh{-}Show Tsai}, title = {{IRSS:} a web-based tool for automatic layout and analysis of {IRES} secondary structure prediction and searching system \emph{in silico}}, journal = {{BMC} Bioinform.}, volume = {10}, year = {2009}, url = {https://doi.org/10.1186/1471-2105-10-160}, doi = {10.1186/1471-2105-10-160}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/WuHHCT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/ChenK09, author = {Chung{-}Ho Chen and Michael B. C. Khoo}, title = {Optimum process mean and manufacturing quantity settings for serial production system under the quality loss and rectifying inspection plan}, journal = {Comput. Ind. Eng.}, volume = {57}, number = {3}, pages = {1080--1088}, year = {2009}, url = {https://doi.org/10.1016/j.cie.2009.04.016}, doi = {10.1016/J.CIE.2009.04.016}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/ChenK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenK09, author = {Chung{-}Ho Chen and Hui{-}Sung Kao}, title = {The determination of optimum process mean and screening limits based on quality loss function}, journal = {Expert Syst. Appl.}, volume = {36}, number = {3}, pages = {7332--7335}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2008.07.002}, doi = {10.1016/J.ESWA.2008.07.002}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HoCC09, author = {Tan{-}Hsiung Ho and Wei{-}Jen Chen and Shyh{-}Jong Chung}, title = {A 2-D Amplifying Array Using Multi-Ported Aperture-Coupled Patch Antennas}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {7}, pages = {2461--2467}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.2461}, doi = {10.1587/TRANSCOM.E92.B.2461}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HoCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ZengYSHC09, author = {Shan Zeng and Wenjian Yu and Jin Shi and Xianlong Hong and Chung{-}Kuan Cheng}, title = {Efficient Partial Reluctance Extraction for Large-Scale Regular Power Grid Structures}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {6}, pages = {1476--1484}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.1476}, doi = {10.1587/TRANSFUN.E92.A.1476}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ZengYSHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijics/HuCKSC09, author = {Hongbing Hu and Yu Chen and Wei{-}Shinn Ku and Zhou Su and Chung{-}Han Chen}, title = {Weighted trust evaluation-based malicious node detection for wireless sensor networks}, journal = {Int. J. Inf. Comput. Secur.}, volume = {3}, number = {2}, pages = {132--149}, year = {2009}, url = {https://doi.org/10.1504/IJICS.2009.028810}, doi = {10.1504/IJICS.2009.028810}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijics/HuCKSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ChenJKC09, author = {Houn{-}Gee Chen and James J. Jiang and Gary Klein and Jengchung V. Chen}, title = {Reducing software requirement perception gaps through coordination mechanisms}, journal = {J. Syst. Softw.}, volume = {82}, number = {4}, pages = {650--655}, year = {2009}, url = {https://doi.org/10.1016/j.jss.2008.09.032}, doi = {10.1016/J.JSS.2008.09.032}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/ChenJKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LaiWLHWSLCCC09, author = {Jie{-}Wei Lai and Chia{-}Hsin Wu and Anson Lin and Wei{-}Kai Hong and Cheng{-}Yu Wang and Chih{-}Hsien Shen and Yu{-}Hsin Lin and Yi{-}Hsien Cho and YangChuan Chen and Yuan{-}Hung Chung}, title = {A World-Band Triple-Mode 802.11a/b/g {SOC} in 130-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {2911--2921}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2028920}, doi = {10.1109/JSSC.2009.2028920}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LaiWLHWSLCCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChengHZLK09, author = {Yu{-}Hsin Cheng and Chung{-}Ru Ho and Zhewen Zheng and Yung{-}Hsiang Lee and Nan{-}Jung Kuo}, title = {An Algorithm for Cold Patch Detection in the Sea off Northeast Taiwan Using Multi-Sensor Data}, journal = {Sensors}, volume = {9}, number = {7}, pages = {5521--5533}, year = {2009}, url = {https://doi.org/10.3390/s90705521}, doi = {10.3390/S90705521}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChengHZLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LiangSSLL09, author = {Yu{-}Ming Liang and Sheng{-}Wen Shih and Arthur Chun{-}Chieh Shih and Hong{-}Yuan Mark Liao and Cheng{-}Chung Lin}, title = {Learning Atomic Human Actions Using Variable-Length Markov Models}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {B}}, volume = {39}, number = {1}, pages = {268--280}, year = {2009}, url = {https://doi.org/10.1109/TSMCB.2008.2005643}, doi = {10.1109/TSMCB.2008.2005643}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/LiangSSLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEias/LinWCCLCYH09, author = {Jing{-}Wun Lin and Chen{-}Chieh Wang and Chin{-}Yao Chang and Chung{-}Ho Chen and Kuen{-}Jong Lee and Yuan{-}Hua Chu and Jen{-}Chieh Yeh and Ying{-}Chuan Hsiao}, title = {Full System Simulation and Verification Framework}, booktitle = {Proceedings of the Fifth International Conference on Information Assurance and Security, {IAS} 2009, Xi'An, China, 18-20 August 2009}, pages = {165--168}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IAS.2009.253}, doi = {10.1109/IAS.2009.253}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEias/LinWCCLCYH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenGHWSHCHLH09, author = {Liang{-}Bi Chen and Ruei{-}Ting Gu and Wei{-}Sheng Huang and Chien{-}Chou Wang and Wen{-}Chi Shiue and Tsung{-}Yu Ho and Yun{-}Nan Chang and Shen{-}Fu Hsiao and Chung{-}Nan Lee and Ing{-}Jer Huang}, editor = {Kazutoshi Wakabayashi}, title = {An 8.69 Mvertices/s 278 Mpixels/s tile-based 3D graphics SoC {HW/SW} development for consumer electronics}, booktitle = {Proceedings of the 14th Asia South Pacific Design Automation Conference, {ASP-DAC} 2009, Yokohama, Japan, January 19-22, 2009}, pages = {131--132}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ASPDAC.2009.4796467}, doi = {10.1109/ASPDAC.2009.4796467}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenGHWSHCHLH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChungHC09, author = {Chao{-}Chin Chung and Tzou{-}Chi Huang and Ho{-}Hsien Chen}, editor = {Jeffrey J. P. Tsai and Phillip C.{-}Y. Sheu and Han C. W. Hsiao}, title = {The Optimization of Monascus Fermentation Process for Pigments Increment and Citrinin Reduction}, booktitle = {Ninth {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2009, June 22-24, 2009, Taichung, Taiwan}, pages = {77--83}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/BIBE.2009.33}, doi = {10.1109/BIBE.2009.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChungHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ChenTCTHHHCBTC09, author = {Chien{-}Yu Chen and Fuu{-}Jen Tsai and Jing{-}Gung Chung and Chang{-}Hai Tsai and Yuan{-}Man Hsu and Hung{-}Jin Huang and Tin{-}Yun Ho and Yea{-}Huey Chang and Da{-}Tian Bau and Ming{-}Hsui Tsai and Calvin Yu{-}Chian Chen}, title = {A Novel Strategy for Designing Dual-Target Inhibitors of {KU86} and {XRCC4}}, booktitle = {Proceedings of the 2nd International Conference on BioMedical Engineering and Informatics, {BMEI} 2009, October 17-19, 2009, Tianjin, China}, pages = {1--4}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/BMEI.2009.5302325}, doi = {10.1109/BMEI.2009.5302325}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/ChenTCTHHHCBTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/HuangTCTHHCBTC09, author = {Hung{-}Jin Huang and Fuu{-}Jen Tsai and Jing{-}Gung Chung and Chang{-}Hai Tsai and Yuan{-}Man Hsu and Tin{-}Yun Ho and Yea{-}Huey Chang and Da{-}Tian Bau and Ming{-}Hsui Tsai and Calvin Yu{-}Chian Chen}, title = {Drug Design for {XRCC4} in Silico}, booktitle = {Proceedings of the 2nd International Conference on BioMedical Engineering and Informatics, {BMEI} 2009, October 17-19, 2009, Tianjin, China}, pages = {1--4}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/BMEI.2009.5304961}, doi = {10.1109/BMEI.2009.5304961}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmei/HuangTCTHHCBTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LoCSH09, author = {Yung{-}Chung Lo and Hsien{-}Pu Chen and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez and Sebastian Hoyos}, title = {A 1.8V, sub-mW, over 100{\%} locking range, divide-by-3 and 7 complementary-injection-locked 4 GHz frequency divider}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {259--262}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280853}, doi = {10.1109/CICC.2009.5280853}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LoCSH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/LiCYGCY09, author = {Han Li and Feng Chen and Hongji Yang and He Guo and William Cheng{-}Chung Chu and Yuansheng Yang}, editor = {Sheikh Iqbal Ahamed and Elisa Bertino and Carl K. Chang and Vladimir Getov and Lin Liu and Ming Hua and Rajesh Subramanyan}, title = {An Ontology-Based Approach for {GUI} Testing}, booktitle = {Proceedings of the 33rd Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2009, Seattle, Washington, USA, July 20-24, 2009. Volume 1}, pages = {632--633}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/COMPSAC.2009.92}, doi = {10.1109/COMPSAC.2009.92}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/LiCYGCY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/ChungCY09, author = {Chung{-}Ping Chung and Hong{-}Wei Chen and Hui{-}Chin Yang}, title = {Blocked-Z Test for Reducing Rasterization, {Z} Test and Shading Workloads}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {402--407}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSE.2009.464}, doi = {10.1109/CSE.2009.464}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/ChungCY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiangCLCC09, author = {Chia{-}Kai Liang and Chao{-}Chung Cheng and Yen{-}Chieh Lai and Liang{-}Gee Chen and Homer H. Chen}, title = {Hardware-efficient belief propagation}, booktitle = {2009 {IEEE} Computer Society Conference on Computer Vision and Pattern Recognition {(CVPR} 2009), 20-25 June 2009, Miami, Florida, {USA}}, pages = {80--87}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CVPR.2009.5206819}, doi = {10.1109/CVPR.2009.5206819}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiangCLCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dmin/LiaoLC09, author = {I{-}En Liao and Ke{-}Chung Lin and Hong{-}Bin Chen}, editor = {Robert Stahlbock and Sven F. Crone and Stefan Lessmann}, title = {Mining Frequent Itemsets by Transaction Decomposition with Itemset Clustering}, booktitle = {Proceedings of The 2009 International Conference on Data Mining, {DMIN} 2009, July 13-16, 2009, Las Vegas, {USA}}, pages = {18--24}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Wed, 28 Oct 2009 09:52:56 +0100}, biburl = {https://dblp.org/rec/conf/dmin/LiaoLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/ChungCHYK09, author = {Yu{-}Fang Chung and Tzer{-}Shyong Chen and Chia{-}Chao Ho and Yi{-}Hsuan Yeh and Hsiu{-}Chia Ko}, editor = {Arrems Hua and Shih{-}Liang Chang}, title = {Hierarchical Time-Bound Key Management for Mobile Agents}, booktitle = {Algorithms and Architectures for Parallel Processing, 9th International Conference, {ICA3PP} 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5574}, pages = {242--253}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03095-6\_25}, doi = {10.1007/978-3-642-03095-6\_25}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/ChungCHYK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChengLLCC09, author = {Chao{-}Chung Cheng and Chia{-}Kai Liang and Yen{-}Chieh Lai and Homer H. Chen and Liang{-}Gee Chen}, title = {Fast belief propagation process element for high-quality stereo estimation}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2009, 19-24 April 2009, Taipei, Taiwan}, pages = {745--748}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICASSP.2009.4959691}, doi = {10.1109/ICASSP.2009.4959691}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChengLLCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/ZhangGCL09, author = {Honggang Zhang and Jun Guo and Guang Chen and Chun{-}Guang Li}, title = {{HCL2000} - {A} Large-scale Handwritten Chinese Character Database for Handwritten Character Recognition}, booktitle = {10th International Conference on Document Analysis and Recognition, {ICDAR} 2009, Barcelona, Spain, 26-29 July 2009}, pages = {286--290}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICDAR.2009.15}, doi = {10.1109/ICDAR.2009.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/ZhangGCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/HoCH09, author = {Meng{-}Fen Ho and Ke{-}Zen Chen and Chung{-}Lin Huang}, title = {Gait analysis for human walking paths and identities recognition}, booktitle = {Proceedings of the 2009 {IEEE} International Conference on Multimedia and Expo, {ICME} 2009, June 28 - July 2, 2009, New York City, NY, {USA}}, pages = {1054--1057}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICME.2009.5202679}, doi = {10.1109/ICME.2009.5202679}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/HoCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChungCT09, author = {Chin{-}Ho Chung and Wen{-}Yuan Chen and Ching{-}Ming Tu}, editor = {Jeng{-}Shyang Pan and Yen{-}Wei Chen and Lakhmi C. Jain}, title = {Image Hidden Technique Using QR-Barcode}, booktitle = {Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing {(IIH-MSP} 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings}, pages = {522--525}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IIH-MSP.2009.119}, doi = {10.1109/IIH-MSP.2009.119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/ChungCT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/WooSDCRHBKG09, author = {Jonghye Woo and Piotr J. Slomka and Damini Dey and Victor Cheng and Amit Ramesh and Byung{-}Woo Hong and Daniel S. Berman and C.{-}C. Jay Kuo and Guido Germano}, title = {Automated Multi-Modality Registration of 64-Slice Coronary {CT} Angiography with Myocardial Perfusion Spect}, booktitle = {Proceedings of the 2009 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Boston, MA, USA, June 28 - July 1, 2009}, pages = {358--361}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISBI.2009.5193058}, doi = {10.1109/ISBI.2009.5193058}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/WooSDCRHBKG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LaiLLCL09, author = {Yu{-}Chun Lai and Hong{-}Yuan Mark Liao and Cheng{-}Chung Lin and Jian{-}Ren Chen and Yi{-}Fei Peter Luo}, title = {A Local Feature-based Human Motion Recognition Framework}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {722--725}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5117850}, doi = {10.1109/ISCAS.2009.5117850}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LaiLLCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiangSSLL09, author = {Yu{-}Ming Liang and Sheng{-}Wen Shih and Arthur Chun{-}Chieh Shih and Hong{-}Yuan Mark Liao and Cheng{-}Chung Lin}, title = {Unsupervised Analysis of Human Behavior based on Manifold Learning}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {2605--2608}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118335}, doi = {10.1109/ISCAS.2009.5118335}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiangSSLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/LanLWLHT09, author = {Guo{-}Cheng Lan and Chao{-}Hui Lee and Jin{-}Shang Wu and Huan{-}Chung Li and Shing{-}Hua Ho and Vincent S. Tseng}, title = {A Framework for Personalized Health Trend Analysis}, booktitle = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, pages = {405--409}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/I-SPAN.2009.126}, doi = {10.1109/I-SPAN.2009.126}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/LanLWLHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZengYZWHC09, author = {Shan Zeng and Wenjian Yu and Wanping Zhang and Jian Wang and Xianlong Hong and Chung{-}Kuan Cheng}, title = {Efficient power network analysis with complete inductive modeling}, booktitle = {10th International Symposium on Quality of Electronic Design {(ISQED} 2009), 16-18 March 2009, San Jose, CA, {USA}}, pages = {770--775}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISQED.2009.4810390}, doi = {10.1109/ISQED.2009.4810390}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ZengYZWHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WooHDCRSKBGS09, author = {Jonghye Woo and Byung{-}Woo Hong and Damini Dey and Victor Cheng and Amit Ramesh and Ganesh Sundaramoorthi and C.{-}C. Jay Kuo and Daniel S. Berman and Guido Germano and Piotr J. Slomka}, editor = {Josien P. W. Pluim and Benoit M. Dawant}, title = {Feature-based non-rigid volume registration of serial coronary {CT} angiography}, booktitle = {Medical Imaging 2009: Image Processing, Lake Buena Vista (Orlando Area), Florida, United States, 7-12 February 2009}, series = {{SPIE} Proceedings}, volume = {7259}, pages = {72590R}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.814146}, doi = {10.1117/12.814146}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WooHDCRSKBGS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ChengH09, author = {Chi{-}Cheng Cheng and Chung{-}Hsing Ho}, title = {Improved visual tracking using the technique of image pyramid}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2009, December 19-13, 2009, Guilin, Guangxi, China}, pages = {659--664}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ROBIO.2009.5420596}, doi = {10.1109/ROBIO.2009.5420596}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/ChengH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/LoCPHC09, author = {Shih{-}Hsiang Lo and Cheng{-}An Chiu and Fang{-}Ping Pai and Ding{-}Yong Hong and Yeh{-}Ching Chung}, editor = {Gabriel A. Wainer and Clifford A. Shaffer and Robert M. McGraw and Michael J. Chinni}, title = {{MGRID:} a modifiable-grid region matching approach for {DDM} in the {HLA} {RTI}}, booktitle = {Proceedings of the 2009 Spring Simulation Multiconference, SpringSim 2009, San Diego, California, USA, March 22-27, 2009}, publisher = {{SCS/ACM}}, year = {2009}, url = {http://dl.acm.org/citation.cfm?id=1639809.1639929}, timestamp = {Mon, 10 Dec 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/springsim/LoCPHC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HoCCC09, author = {I{-}Hsiu Ho and Jia{-}Min Chung and Hsiao{-}Chin Chen and Hung{-}Wei Chiu}, title = {A Battery-Less Tire Pressure Monitoring System}, booktitle = {Proceedings of the 69th {IEEE} Vehicular Technology Conference, {VTC} Spring 2009, 26-29 April 2009, Hilton Diagonal Mar, Barcelona, Spain}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/VETECS.2009.5073285}, doi = {10.1109/VETECS.2009.5073285}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HoCCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apjor/Chen08, author = {Chung{-}Ho Chen}, title = {Economic Production Run Length and warranty Period for Product with Weibull Lifetime}, journal = {Asia Pac. J. Oper. Res.}, volume = {25}, number = {6}, pages = {753--764}, year = {2008}, url = {https://doi.org/10.1142/S0217595908001997}, doi = {10.1142/S0217595908001997}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apjor/Chen08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HoCCH08, author = {Cheng{-}Yuan Ho and Yaw{-}Chung Chen and Yi{-}Cheng Chan and Cheng{-}Yun Ho}, title = {Fast retransmit and fast recovery schemes of transport protocols: {A} survey and taxonomy}, journal = {Comput. Networks}, volume = {52}, number = {6}, pages = {1308--1327}, year = {2008}, url = {https://doi.org/10.1016/j.comnet.2007.12.012}, doi = {10.1016/J.COMNET.2007.12.012}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/HoCCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/RaouxBBRCSSKCLL08, author = {Simone Raoux and Geoffrey W. Burr and Matthew J. Breitwisch and Charles T. Rettner and Yi{-}Chou Chen and Robert M. Shelby and Martin Salinga and Daniel Krebs and Shih{-}Hung Chen and Hsiang{-}Lan Lung and Chung Hon Lam}, title = {Phase-change random access memory: {A} scalable technology}, journal = {{IBM} J. Res. Dev.}, volume = {52}, number = {4-5}, pages = {465--480}, year = {2008}, url = {https://doi.org/10.1147/rd.524.0465}, doi = {10.1147/RD.524.0465}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/RaouxBBRCSSKCLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/LiuLHHHJ08, author = {Zhi{-}Yu Liu and Chia{-}Ju Liu and Ming{-}Chung Ho and Yao{-}Chen Hung and Tzu{-}Fang Hsu and I{-}Min Jiang}, title = {Synchronization of Uncertain hyperchaotic and Chaotic Systems by Adaptive Control}, journal = {Int. J. Bifurc. Chaos}, volume = {18}, number = {12}, pages = {3731--3736}, year = {2008}, url = {https://doi.org/10.1142/S0218127408022688}, doi = {10.1142/S0218127408022688}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/LiuLHHHJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LinCWCHK08, author = {Chung{-}Yen Lin and Chia{-}Hao Chin and Hsin{-}Hung Wu and Shu{-}Hwa Chen and Chin{-}Wen Ho and Ming{-}Tat Ko}, title = {Hubba: hub objects analyzer - a framework of interactome hubs identification for network biology}, journal = {Nucleic Acids Res.}, volume = {36}, number = {Web-Server-Issue}, pages = {438--443}, year = {2008}, url = {https://doi.org/10.1093/nar/gkn257}, doi = {10.1093/NAR/GKN257}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LinCWCHK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChengHH08, author = {Ming{-}Hsu Cheng and Meng{-}Fen Ho and Chung{-}Lin Huang}, title = {Gait analysis for human identification through manifold learning and {HMM}}, journal = {Pattern Recognit.}, volume = {41}, number = {8}, pages = {2541--2553}, year = {2008}, url = {https://doi.org/10.1016/j.patcog.2007.11.021}, doi = {10.1016/J.PATCOG.2007.11.021}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/ChengHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/OngHCW08, author = {Chee{-}Kian Ong and Dongwoo Hong and Kwang{-}Ting Cheng and Li{-}C. Wang}, title = {A Clock-Less Jitter Spectral Analysis Technique}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {55-I}, number = {8}, pages = {2263--2272}, year = {2008}, url = {https://doi.org/10.1109/TCSI.2008.918235}, doi = {10.1109/TCSI.2008.918235}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/OngHCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LinC08, author = {Wei{-}Cheng Lin and Chung{-}Ho Chen}, title = {Frame Buffer Access Reduction for {MPEG} Video Decoder}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {18}, number = {10}, pages = {1452--1456}, year = {2008}, url = {https://doi.org/10.1109/TCSVT.2008.2002830}, doi = {10.1109/TCSVT.2008.2002830}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LinC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenC08, author = {Chung{-}Ming Chen and Chung{-}Ho Chen}, title = {Configurable {VLSI} Architecture for Deblocking Filter in {H.264/AVC}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {8}, pages = {1072--1082}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000516}, doi = {10.1109/TVLSI.2008.2000516}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KuoSCH08, author = {Chih{-}Cheng Kuo and Wern{-}Ho Sheen and Chung{-}Ju Chang and Chang Lung Hsiao}, title = {On the Transmitter-Based Preprocessing for 2-D {OFDM-CDMA} Forward-Link Systems Over Time-Varying Rayleigh Fading Channels}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {57}, number = {3}, pages = {1968--1974}, year = {2008}, url = {https://doi.org/10.1109/TVT.2007.909256}, doi = {10.1109/TVT.2007.909256}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KuoSCH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/LinTLYC08, author = {Yi{-}Cheng Lin and Yi{-}Ying Tsai and Kuen{-}Jong Lee and Cheng{-}Wei Yen and Chung{-}Ho Chen}, title = {A Software-Based Test Methodology for Direct-Mapped Data Cache}, booktitle = {17th {IEEE} Asian Test Symposium, {ATS} 2008, Sapporo, Japan, November 24-27, 2008}, pages = {363--368}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ATS.2008.60}, doi = {10.1109/ATS.2008.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/LinTLYC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/PuYXXC08, author = {Jianjun Pu and Hongji Yang and Baowen Xu and Lei Xu and William Cheng{-}Chung Chu}, title = {Combining {MDE} and {UML} to Reverse Engineer Web-Based Legacy Systems}, booktitle = {Proceedings of the 32nd Annual {IEEE} International Computer Software and Applications Conference, {COMPSAC} 2008, 28 July - 1 August 2008, Turku, Finland}, pages = {718--725}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/COMPSAC.2008.63}, doi = {10.1109/COMPSAC.2008.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/PuYXXC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KungCC08, author = {Chung{-}Chun Kung and Ti{-}Hung Chen and Ho{-}Yu Cheng}, title = {Discrete sliding mode controller design with fast output sampling technique for discrete-time {T-S} fuzzy system}, booktitle = {{FUZZ-IEEE} 2008, {IEEE} International Conference on Fuzzy Systems, Hong Kong, China, 1-6 June, 2008, Proceedings}, pages = {1419--1424}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FUZZY.2008.4630558}, doi = {10.1109/FUZZY.2008.4630558}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KungCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/YangCHLW08, author = {Yung{-}Yi Yang and Chung{-}Mei Chen and Chiu{-}Yi Ho and Wen{-}Chieh Li and Jung{-}Huei Wu}, title = {Development of a Camber Measurement System in a Hot Rolling Mill}, booktitle = {Industry Applications Society Annual Meeting, {IAS} 2008, Edmonton, Alberta, Canada, 5-9 October, 2008}, pages = {1--6}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/08IAS.2008.329}, doi = {10.1109/08IAS.2008.329}, timestamp = {Tue, 30 Jul 2024 10:36:49 +0200}, biburl = {https://dblp.org/rec/conf/iasam/YangCHLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/PanHLLC08, author = {Chia{-}Ho Pan and Sheng{-}Chieh Huang and I{-}Hsien Lee and Chung{-}Jr Lian and Liang{-}Gee Chen}, title = {Scalable video adaptation optimization using soft decision scheme}, booktitle = {Proceedings of the 2008 {IEEE} International Conference on Multimedia and Expo, {ICME} 2008, June 23-26 2008, Hannover, Germany}, pages = {469--472}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICME.2008.4607473}, doi = {10.1109/ICME.2008.4607473}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/PanHLLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC08, author = {Wei{-}Cheng Lin and Chung{-}Ho Chen}, title = {Avoiding unnecessary frame memory access and multi-frame motion estimation computation in {H.264/AVC}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {632--635}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541497}, doi = {10.1109/ISCAS.2008.4541497}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LuCL08, author = {Tai{-}Hua Lu and Chung{-}Ho Chen and Kuen{-}Jong Lee}, title = {A hybrid self-testing methodology of processor cores}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {3378--3381}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4542183}, doi = {10.1109/ISCAS.2008.4542183}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LuCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsaiHC08, author = {Yi{-}Ying Tsai and Chia{-}Jung Hsu and Chung{-}Ho Chen}, title = {Address compression for scalable load/store queue implementation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {1680--1683}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541759}, doi = {10.1109/ISCAS.2008.4541759}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsaiHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/ChuLCY08, author = {Hung{-}Chi Chu and Chung{-}Jie Li and Ching{-}Yun Chen and Hong{-}Wen Yu}, editor = {Jeng{-}Shyang Pan and Ajith Abraham and Chin{-}Chen Chang}, title = {Location Tracking with Power-Level Switching for Wireless Sensor Networks}, booktitle = {Eighth International Conference on Intelligent Systems Design and Applications, {ISDA} 2008, 26-28 November 2008, Kaohsiung, Taiwan, 3 Volumes}, pages = {542--547}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISDA.2008.174}, doi = {10.1109/ISDA.2008.174}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isda/ChuLCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LiuZHCC08, author = {Jui{-}Hsiang Liu and Jun{-}Kuei Zeng and Ai{-}Syuan Hong and Lumdo Chen and Charlie Chung{-}Ping Chen}, title = {Process-Variation Statistical Modeling for {VLSI} Timing Analysis}, booktitle = {9th International Symposium on Quality of Electronic Design {(ISQED} 2008), 17-19 March 2008, San Jose, CA, {USA}}, pages = {730--733}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISQED.2008.4479828}, doi = {10.1109/ISQED.2008.4479828}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LiuZHCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/ChenPC08, author = {Kuan{-}Ta Chen and Hsing{-}Kuo Kenneth Pao and Hong{-}Chung Chang}, editor = {Mark Claypool}, title = {Game bot identification based on manifold learning}, booktitle = {Proceedings of the 7th {ACM} {SIGCOMM} Workshop on Network and System Support for Games, {NETGAMES} 2008, Worcester, Massachusetts, USA, October 21-22, 2008}, pages = {21--26}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1517494.1517498}, doi = {10.1145/1517494.1517498}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netgames/ChenPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/TingHLLC08, author = {Yung Ting and Bing{-}Kuan Hou and Chun{-}Chung Li and Cheng{-}Min Lin and Cheng{-}Yu Chen}, title = {Internal Model Control using {EWMA} for speed control of wedge-type piezoelectric motors}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2008, February 22-25, 2009, Bangkok, Thailand}, pages = {468--473}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROBIO.2009.4913048}, doi = {10.1109/ROBIO.2009.4913048}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/TingHLLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LuCL08, author = {Tai{-}Hua Lu and Chung{-}Ho Chen and Kuen{-}Jong Lee}, editor = {Roger L. Wainwright and Hisham Haddad}, title = {A hybrid software-based self-testing methodology for embedded processor}, booktitle = {Proceedings of the 2008 {ACM} Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008}, pages = {1528--1534}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1363686.1364043}, doi = {10.1145/1363686.1364043}, timestamp = {Tue, 06 Nov 2018 11:06:48 +0100}, biburl = {https://dblp.org/rec/conf/sac/LuCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/TsaiHC08, author = {Yi{-}Ying Tsai and Chia{-}Jung Hsu and Chung{-}Ho Chen}, editor = {Roger L. Wainwright and Hisham Haddad}, title = {Power-efficient and scalable load/store queue design via address compression}, booktitle = {Proceedings of the 2008 {ACM} Symposium on Applied Computing (SAC), Fortaleza, Ceara, Brazil, March 16-20, 2008}, pages = {1523--1527}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1363686.1364042}, doi = {10.1145/1363686.1364042}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/TsaiHC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChengLLCC08, author = {Chao{-}Chung Cheng and Chia{-}Kai Liang and Yen{-}Chieh Lai and Homer H. Chen and Liang{-}Gee Chen}, title = {Analysis of belief propagation for hardware realization}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2008, October 8-10, 2008, Washington, {D.C.} Metro Area, {USA}}, pages = {152--157}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/SIPS.2008.4671754}, doi = {10.1109/SIPS.2008.4671754}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/ChengLLCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/TaoHCLL06, author = {Shu{-}Yuan Tao and Kuang{-}Wen Ho and Chen{-}Wei Chung and Baw{-}Jhiune Liu and Chen{-}Chung Liu}, title = {Designing a Groupware with Handheld Devices for Learning Mathematics}, booktitle = {Proceedings of the Fifth {IEEE} International Conference on Wireless, Mobile and Ubiquitous Technologies in Education, {WMUTE} 2008, Beijing, China, March 23-26, 2008}, pages = {216--218}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WMUTE.2008.23}, doi = {10.1109/WMUTE.2008.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/TaoHCLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0805-0856, author = {Zhen{-}Zhun Shu and Ming{-}Li Ke and Guan{-}Wei Chen and Ray Hua Horng and Chao{-}Chih Chang and Jean{-}Yih Tsai and Chung{-}Ching Lai and Ji{-}Liang Chen}, title = {Design And Fabrication of Condenser Microphone Using Wafer Transfer And Micro-electroplating Technique}, journal = {CoRR}, volume = {abs/0805.0856}, year = {2008}, url = {http://arxiv.org/abs/0805.0856}, eprinttype = {arXiv}, eprint = {0805.0856}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0805-0856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bjet/LiuH07, author = {Chen{-}Chung Liu and Yi{-}Ching Hong}, title = {Providing hearing-impaired students with learning care after classes through smart phones and the {GPRS} network}, journal = {Br. J. Educ. Technol.}, volume = {38}, number = {4}, pages = {727--741}, year = {2007}, url = {https://doi.org/10.1111/j.1467-8535.2006.00656.x}, doi = {10.1111/J.1467-8535.2006.00656.X}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bjet/LiuH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChungCLH07, author = {Yi{-}Nung Chung and Cheng{-}Nan Chou and Haw{-}Chang Lan and Wen{-}Hsin Ho}, title = {Kinematic patellar tracking from {MR} images for knee pain analysis}, journal = {Comput. Biol. Medicine}, volume = {37}, number = {11}, pages = {1653--1659}, year = {2007}, url = {https://doi.org/10.1016/j.compbiomed.2007.04.002}, doi = {10.1016/J.COMPBIOMED.2007.04.002}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChungCLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/ChenXWLCCC07, author = {Yingwen Chen and Ming Xu and Huaimin Wang and Hong Va Leong and Jiannong Cao and Keith C. C. Chan and Alvin T. S. Chan}, title = {An Energy-Efficient Framework for Multirate Query in Wireless Sensor Networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2007}, year = {2007}, url = {https://doi.org/10.1155/2007/48984}, doi = {10.1155/2007/48984}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/ChenXWLCCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ChenL07, author = {Chung{-}Ho Chen and Min{-}Tsai Lai}, title = {Determining the optimum process mean based on quadratic quality loss function and rectifying inspection plan}, journal = {Eur. J. Oper. Res.}, volume = {182}, number = {2}, pages = {755--763}, year = {2007}, url = {https://doi.org/10.1016/j.ejor.2006.09.035}, doi = {10.1016/J.EJOR.2006.09.035}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/ChenL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ChenL07a, author = {Chung{-}Ho Chen and Min{-}Tsai Lai}, title = {Economic manufacturing quantity, optimum process mean, and economic specification limits setting under the rectifying inspection plan}, journal = {Eur. J. Oper. Res.}, volume = {183}, number = {1}, pages = {336--344}, year = {2007}, url = {https://doi.org/10.1016/j.ejor.2006.09.078}, doi = {10.1016/J.EJOR.2006.09.078}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/ChenL07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ese/YehSCLY07, author = {Dowming Yeh and Pei{-}Chen Sun and William C. Chu and Chien{-}Lung Lin and Hongji Yang}, title = {An empirical study of a reverse engineering method for the aggregation relationship based on operation propagation}, journal = {Empir. Softw. Eng.}, volume = {12}, number = {6}, pages = {575--592}, year = {2007}, url = {https://doi.org/10.1007/s10664-007-9043-7}, doi = {10.1007/S10664-007-9043-7}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ese/YehSCLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HoCH07, author = {Cheng{-}Yuan Ho and Yaw{-}Chung Chen and Cheng{-}Yun Ho}, title = {Improving performance of delay-based TCPs with rerouting}, journal = {{IEEE} Commun. Lett.}, volume = {11}, number = {1}, pages = {88--90}, year = {2007}, url = {https://doi.org/10.1109/LCOMM.2007.060951}, doi = {10.1109/LCOMM.2007.060951}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/HoCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChenC07a, author = {Chung{-}Ming Chen and Chung{-}Ho Chen}, title = {An Efficient Pipeline Architecture for Deblocking Filter in {H.264/AVC}}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {90-D}, number = {1}, pages = {99--107}, year = {2007}, url = {https://doi.org/10.1093/ietisy/e90-1.1.99}, doi = {10.1093/IETISY/E90-1.1.99}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChenC07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HoCC07, author = {Cheng{-}Yuan Ho and Yi{-}Cheng Chan and Yaw{-}Chung Chen}, title = {TCP-Ho: {A} Congestion Control Algorithm with Design and Performance Evaluation}, journal = {{IEICE} Trans. Commun.}, volume = {90-B}, number = {3}, pages = {516--526}, year = {2007}, url = {https://doi.org/10.1093/ietcom/e90-b.3.516}, doi = {10.1093/IETCOM/E90-B.3.516}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HoCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/HoCC07, author = {Cheng{-}Yuan Ho and Yi{-}Cheng Chan and Yaw{-}Chung Chen}, title = {{WARD:} {A} deterministic fluid model}, journal = {{IET} Commun.}, volume = {1}, number = {4}, pages = {711--717}, year = {2007}, url = {https://doi.org/10.1049/iet-com:20060380}, doi = {10.1049/IET-COM:20060380}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/HoCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/HoCC07a, author = {Cheng{-}Yuan Ho and Yi{-}Cheng Chan and Yaw{-}Chung Chen}, title = {{WARD:} a transmission control protocol-friendly stateless active queue management scheme}, journal = {{IET} Commun.}, volume = {1}, number = {6}, pages = {1179--1186}, year = {2007}, url = {https://doi.org/10.1049/iet-com:20060595}, doi = {10.1049/IET-COM:20060595}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/HoCC07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijclclp/KuoHZLYWC07, author = {Wei{-}Chih Kuo and Chen{-}Chung Ho and Xiang{-}Rui Zhong and Zhen{-}Feng Liang and Hsiu{-}Min Yu and Yih{-}Ru Wang and Sin{-}Horng Chen}, title = {Some Studies on Min-Nan Speech Processing}, journal = {Int. J. Comput. Linguistics Chin. Lang. Process.}, volume = {12}, number = {4}, year = {2007}, url = {http://www.aclclp.org.tw/clclp/v12n4/v12n4a3.pdf}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijclclp/KuoHZLYWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jgo/ChenLPM07, author = {Dingjun Chen and Chung{-}Yeol Lee and Cheol Hoon Park and Pedro Mendes}, title = {Parallelizing simulated annealing algorithms based on high-performance computer}, journal = {J. Glob. Optim.}, volume = {39}, number = {2}, pages = {261--289}, year = {2007}, url = {https://doi.org/10.1007/s10898-007-9138-0}, doi = {10.1007/S10898-007-9138-0}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jgo/ChenLPM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/LinJCWLC07, author = {Yeong{-}Tsair Lin and Mei{-}Chu Jen and Wen{-}Yaw Chung and Dong{-}Shiuh Wu and Ho{-}Cheng Lin and Jiann{-}Jong Chen}, title = {A monolithic buck {DC-DC} converter with on-chip {PWM} circuit}, journal = {Microelectron. J.}, volume = {38}, number = {8-9}, pages = {923--930}, year = {2007}, url = {https://doi.org/10.1016/j.mejo.2007.05.013}, doi = {10.1016/J.MEJO.2007.05.013}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/LinJCWLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChenH07, author = {Chung{-}Ho Chen and Kuo{-}Su Hsiao}, title = {Scalable Dynamic Instruction Scheduler through Wake-Up Spatial Locality}, journal = {{IEEE} Trans. Computers}, volume = {56}, number = {11}, pages = {1534--1548}, year = {2007}, url = {https://doi.org/10.1109/TC.2007.70743}, doi = {10.1109/TC.2007.70743}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChenH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiHZZBYYPC07, author = {Zhuoyuan Li and Xianlong Hong and Qiang Zhou and Shan Zeng and Jinian Bian and Wenjian Yu and Hannah Honghua Yang and Vijay Pitchumani and Chung{-}Kuan Cheng}, title = {Efficient Thermal via Planning Approach and Its Application in 3-D Floorplanning}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {4}, pages = {645--658}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2006.885831}, doi = {10.1109/TCAD.2006.885831}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiHZZBYYPC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhouYCZHCSCSC07, author = {Shuo Zhou and Bo Yao and Hongyu Chen and Yi Zhu and Michael D. Hutton and Truman Collins and Sridhar Srinivasan and Nan{-}Chi Chou and Peter Suaris and Chung{-}Kuan Cheng}, title = {Efficient Timing Analysis With Known False Paths Using Biclique Covering}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {26}, number = {5}, pages = {959--969}, year = {2007}, url = {https://doi.org/10.1109/TCAD.2007.8361588}, doi = {10.1109/TCAD.2007.8361588}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhouYCZHCSCSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/PanLHLC07, author = {Chia{-}Ho Pan and I{-}Hsien Lee and Sheng{-}Chieh Huang and Chung{-}Jr Lian and Liang{-}Gee Chen}, title = {A Quality-of-Experience Video Adaptor for Serving Scalable Video Applications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {53}, number = {3}, pages = {1130--1137}, year = {2007}, url = {https://doi.org/10.1109/TCE.2007.4341596}, doi = {10.1109/TCE.2007.4341596}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/PanLHLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChenWLG07, author = {Chung{-}Ho Chen and Chih{-}Kai Wei and Tai{-}Hua Lu and Hsun{-}Wei Gao}, title = {Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {5}, pages = {505--517}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.893650}, doi = {10.1109/TVLSI.2007.893650}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ChenWLG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEscc/Calin-JagemanDYWTPFBCPSZK07, author = {Robert J. Calin{-}Jageman and Akshaye Dhawan and Hong Yang and Hsiu{-}Chung Wang and Hao Tian and Piyaphol Phoungphol and Chad Frederick and Janaka Balasooriya and Yan Chen and Sushil K. Prasad and Rajshekhar Sunderraman and Ying Zhu and Paul S. Katz}, title = {Development of NeuronBank: {A} Federation of Customizable Knowledge Bases of Neuronal Circuitry}, booktitle = {2007 {IEEE} International Conference on Services Computing - Workshops {(SCW} 2007), 9-13 July 2007, Salt Lake City, Utah, {USA}}, pages = {114--121}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SERVICES.2007.29}, doi = {10.1109/SERVICES.2007.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEscc/Calin-JagemanDYWTPFBCPSZK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhuSCC07, author = {Haikun Zhu and Rui Shi and Chung{-}Kuan Cheng and Hongyu Chen}, title = {Approaching Speed-of-light Distortionless Communication for On-chip Interconnect}, booktitle = {Proceedings of the 12th Conference on Asia South Pacific Design Automation, {ASP-DAC} 2007, Yokohama, Japan, January 23-26, 2007}, pages = {684--689}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASPDAC.2007.358066}, doi = {10.1109/ASPDAC.2007.358066}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ZhuSCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/MurrayBCCFGGHHHJKKLMMNRSSSTWWYYZ07, author = {Daniel Murray and James Burnette and Brian Campbell and Mark Chung and Bruce Fernandes and Subhendra Ghosh and Rajat Goel and Greg Hess and Hang Huang and Zhibin Huang and Naveen Javarappa and Pradeep Kanapathipillai and Fabian Klass and Fang Liu and Anup Mehta and Yamini Modukuru and Nishant Nerurkar and Abhijit Radhakrishnan and Sribalan Santhanam and Junji Sugisawa and Shyam Sundar and Honkai John Tam and Ricky Wen and Eric Wu and Jung{-}Cheng Yeh and John Yong and Sanjay Zambare}, title = {A 2GHz, 7W (max) 64b Power\({}^{\mbox{TM}}\) Microprocessor Core}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {725--728}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405833}, doi = {10.1109/CICC.2007.4405833}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/MurrayBCCFGGHHHJKKLMMNRSSSTWWYYZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/HouC07, author = {Chung{-}Chuan Hou and Po{-}Tai Cheng}, title = {An Auxiliary Converter for a Diode Rectifier with Mitigated Circulating Current}, booktitle = {Conference Record of the 2007 {IEEE} Industry Applications Conference Forty-Second {IAS} Annual Meeting, New Orleans, LA, USA, September 23-27, 2007}, pages = {1601--1607}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/07IAS.2007.247}, doi = {10.1109/07IAS.2007.247}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/iasam/HouC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LiuTHLH07, author = {Chen{-}Chung Liu and Shu{-}Yuan Tao and Kuang{-}Wen Ho and Baw{-}Jhiune Liu and Ching{-}Chi Hsu}, editor = {J. Michael Spector and Demetrios G. Sampson and Toshio Okamoto and Kinshuk and Stefano A. Cerri and Maomi Ueno and Akihiro Kashihara}, title = {Constructing an {MCSCL} Groupware to Improve the Problem-solving Experience of Mathematics for Hearing-impaired Students}, booktitle = {Proceedings of the 7th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2007, Niigata, Japan, July 18-20, 2007}, pages = {345--347}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICALT.2007.104}, doi = {10.1109/ICALT.2007.104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LiuTHLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/LinC07, author = {Wei{-}Cheng Lin and Chung{-}Ho Chen}, title = {Reduction of Frame Memory Accesses and Motion Estimation Computations in {MPEG} Video Encoder}, booktitle = {Proceedings of the 16th International Conference on Computer Communications and Networks, {IEEE} {ICCCN} 2007, Turtle Bay Resort, Honolulu, Hawaii, USA, August 13-16, 2007}, pages = {817--820}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICCCN.2007.4317918}, doi = {10.1109/ICCCN.2007.4317918}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/LinC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imecs/LeeHKWCC07, author = {Chungcheng Lee and Yanan Hou and Kaining Ku and Chunmin Wang and Chiehpo Chang and Chulung Chen}, editor = {Sio Iong Ao and Oscar Castillo and Craig Douglas and David Dagan Feng and Jeong{-}A Lee}, title = {Color Pattern Recognition Using Mach-Zehnder Nonzero Order Joint Transform Correlator with Image Encoding}, booktitle = {Proceedings of the International MultiConference of Engineers and Computer Scientists 2007, {IMECS} 2007, March 21-23, 2007, Hong Kong, China}, series = {Lecture Notes in Engineering and Computer Science}, pages = {1923--1927}, publisher = {Newswood Limited}, year = {2007}, timestamp = {Wed, 12 Sep 2018 01:05:12 +0200}, biburl = {https://dblp.org/rec/conf/imecs/LeeHKWCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imecs/LeeKHCWC07, author = {Chungcheng Lee and Kaining Ku and Yanan Hou and Chiehpo Chang and Chunmin Wang and Chulung Chen}, editor = {Sio Iong Ao and Oscar Castillo and Craig Douglas and David Dagan Feng and Jeong{-}A Lee}, title = {Polychromatic Pattern Recognition with Mach-Zehnder Joint Transform Correlator Using Quantized Reference Functions Based on the {HSV} Color Space}, booktitle = {Proceedings of the International MultiConference of Engineers and Computer Scientists 2007, {IMECS} 2007, March 21-23, 2007, Hong Kong, China}, series = {Lecture Notes in Engineering and Computer Science}, pages = {1893--1897}, publisher = {Newswood Limited}, year = {2007}, timestamp = {Thu, 24 Jan 2008 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imecs/LeeKHCWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengHH07, author = {Ming{-}Hsu Cheng and Meng{-}Fen Ho and Chung{-}Lin Huang}, title = {Gait Analysis for Human Identification through Manifold Learning and {HMM}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {969--972}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.378088}, doi = {10.1109/ISCAS.2007.378088}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/ChungC07, author = {Chih{-}Yuan Chung and Homer H. Chen}, title = {Feature-Based Full-Frame Image Stabilization}, booktitle = {Ninth {IEEE} International Symposium on Multimedia, {ISM} 2007, Taichung, Taiwan, December 10-12, 2007}, pages = {100--106}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISM.2007.4412362}, doi = {10.1109/ISM.2007.4412362}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/ChungC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ZhangCYHC07, author = {Ling Zhang and Hongyu Chen and Bo Yao and Kevin Hamilton and Chung{-}Kuan Cheng}, title = {Repeated On-Chip Interconnect Analysis and Evaluation of Delay, Power, and Bandwidth Metrics under Different Design Goals}, booktitle = {8th International Symposium on Quality of Electronic Design {(ISQED} 2007), 26-28 March 2007, San Jose, CA, {USA}}, pages = {251--256}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ISQED.2007.139}, doi = {10.1109/ISQED.2007.139}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ZhangCYHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/LiangSSLL07, author = {Yu{-}Ming Liang and Sheng{-}Wen Shih and Arthur Chun{-}Chieh Shih and Hong{-}Yuan Mark Liao and Cheng{-}Chung Lin}, title = {A Language Modeling Approach to Atomic Human Action Recognition}, booktitle = {{IEEE} 9th Workshop on Multimedia Signal Processing, {MMSP} 2007, Chania, Crete, Greece, October 1-3, 2007}, pages = {288--291}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/MMSP.2007.4412874}, doi = {10.1109/MMSP.2007.4412874}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/LiangSSLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/LaiLL07, author = {Yu{-}Chun Lai and Hong{-}Yuan Mark Liao and Cheng{-}Chung Lin}, editor = {Horace Ho{-}Shing Ip and Oscar C. Au and Howard Leung and Ming{-}Ting Sun and Wei{-}Ying Ma and Shi{-}Min Hu}, title = {Segmentation of Human Body Parts in Video Frames Based on Intrinsic Distance}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2007, 8th Pacific Rim Conference on Multimedia, Hong Kong, China, December 11-14, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4810}, pages = {450--453}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77255-2\_57}, doi = {10.1007/978-3-540-77255-2\_57}, timestamp = {Mon, 14 Oct 2019 16:26:42 +0200}, biburl = {https://dblp.org/rec/conf/pcm/LaiLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenKS07, author = {Ti{-}Hung Chen and Chung{-}Chun Kung and Kuo{-}Ho Su}, title = {The piecewise Lyapunov functions based the delay-independent H\({}_{\mbox{infinity}}\) controller design for a class of time-delay {T-S} fuzzy system}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {121--126}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSMC.2007.4413612}, doi = {10.1109/ICSMC.2007.4413612}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/SuKC07, author = {Kuo{-}Ho Su and Chung{-}Chun Kung and Ti{-}Hung Chen}, title = {Design and applications of strategy-oriented hybrid intelligent controller for nonlinear dynamical system}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Montr{\'{e}}al, Canada, 7-10 October 2007}, pages = {115--120}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICSMC.2007.4413618}, doi = {10.1109/ICSMC.2007.4413618}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/SuKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinC07, author = {Wei{-}Cheng Lin and Chung{-}Ho Chen}, title = {A data-reuse scheme for avoiding unnecessary memory accesses in {MPEG-4} {ASP} video decoder}, booktitle = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November 19-21, 2007}, pages = {243--246}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SOCC.2007.4545467}, doi = {10.1109/SOCC.2007.4545467}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ChenHLHHH06, author = {Yun{-}Ching Chen and Chung{-}Der Hsiao and Wen{-}Dar Lin and Chung{-}Ming Hu and Pung{-}Pung Hwang and Jan{-}Ming Ho}, title = {ZooDDD: a cross-species database for digital differential display analysis}, journal = {Bioinform.}, volume = {22}, number = {17}, pages = {2180--2182}, year = {2006}, url = {https://doi.org/10.1093/bioinformatics/btl358}, doi = {10.1093/BIOINFORMATICS/BTL358}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ChenHLHHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChouLCHLHYP06, author = {Cheng{-}Chung Chou and Te{-}Tsui Lee and Chun{-}Houh Chen and Hsiang{-}Yun Hsiao and Yi{-}Ling Lin and Mei{-}Shang Ho and Pan{-}Chyr Yang and Konan Peck}, title = {Design of microarray probes for virus identification and detection of emerging viruses at the genus level}, journal = {{BMC} Bioinform.}, volume = {7}, pages = {232}, year = {2006}, url = {https://doi.org/10.1186/1471-2105-7-232}, doi = {10.1186/1471-2105-7-232}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChouLCHLHYP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LiouCHHCHC06, author = {Wan{-}Rone Liou and Chin{-}Ying Chen and Jyh{-}Jier Ho and Chao{-}Kuei Hsu and Chung{-}Cheng Chang and Robert Y. Hsiao and Shun{-}Hsyung Chang}, title = {An improved alignment layer grown by oblique evaporation for liquid crystal devices}, journal = {Displays}, volume = {27}, number = {2}, pages = {69--72}, year = {2006}, url = {https://doi.org/10.1016/j.displa.2005.11.001}, doi = {10.1016/J.DISPLA.2005.11.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LiouCHHCHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChouCC06, author = {Chao{-}Yu Chou and Chun{-}Hua Chen and Chung{-}Ho Chen}, title = {Economic design of variable sampling intervals \emph{T}\({}^{\mbox{2}}\) control charts using genetic algorithms}, journal = {Expert Syst. Appl.}, volume = {30}, number = {2}, pages = {233--242}, year = {2006}, url = {https://doi.org/10.1016/j.eswa.2005.07.010}, doi = {10.1016/J.ESWA.2005.07.010}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChouCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChenH06a, author = {Mei{-}Juan Chen and Kai{-}Chung Hou}, title = {Fast Variable Block-Size Motion Estimation by Merging Refined Motion Vector for {H.264}}, journal = {{IEICE} Trans. Commun.}, volume = {89-B}, number = {10}, pages = {2922--2928}, year = {2006}, url = {https://doi.org/10.1093/ietcom/e89-b.10.2922}, doi = {10.1093/IETCOM/E89-B.10.2922}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChenH06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/HoCC06, author = {Cheng{-}Yuan Ho and Yi{-}Cheng Chan and Yaw{-}Chung Chen}, title = {Gallop-Vegas: An enhanced slow-start mechanism for {TCP} Vegas}, journal = {J. Commun. Networks}, volume = {8}, number = {3}, pages = {351--359}, year = {2006}, url = {https://doi.org/10.1109/JCN.2006.6182775}, doi = {10.1109/JCN.2006.6182775}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/HoCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/MaHDCG06, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Chung{-}Kuan Cheng and Jun Gu}, title = {General Floorplans with L/T-Shaped Blocks Using Corner Block List}, journal = {J. Comput. Sci. Technol.}, volume = {21}, number = {6}, pages = {922--926}, year = {2006}, url = {https://doi.org/10.1007/s11390-006-0922-y}, doi = {10.1007/S11390-006-0922-Y}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/MaHDCG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/LinCHH06, author = {Wen{-}Dar Lin and Yun{-}Ching Chen and Jan{-}Ming Ho and Chung{-}Der Hsiao}, title = {{GOBU:} Toward an Integration Interface for Biological Objects}, journal = {J. Inf. Sci. Eng.}, volume = {22}, number = {1}, pages = {19--29}, year = {2006}, url = {http://www.iis.sinica.edu.tw/page/jise/2006/200601\_02.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/LinCHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ChouLCC06, author = {Chao{-}Yu Chou and Yu{-}Chang Lin and Chun{-}Lang Chang and Chung{-}Ho Chen}, title = {On the bootstrap confidence intervals of the process incapability index C\({}_{\mbox{pp}}\)}, journal = {Reliab. Eng. Syst. Saf.}, volume = {91}, number = {4}, pages = {452--459}, year = {2006}, url = {https://doi.org/10.1016/j.ress.2005.03.004}, doi = {10.1016/J.RESS.2005.03.004}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/ChouLCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/YenLLC06, author = {Rainfield Y. Yen and Hong{-}Yu Liu and Che{-}Wei Li and Wei{-}Chung Cheng}, title = {The adaptive {MSINR} algorithm to improve error rate for channel equalization}, journal = {Signal Process.}, volume = {86}, number = {8}, pages = {1984--1991}, year = {2006}, url = {https://doi.org/10.1016/j.sigpro.2005.09.018}, doi = {10.1016/J.SIGPRO.2005.09.018}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/YenLLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenDHMC06, author = {Song Chen and Sheqin Dong and Xianlong Hong and Yuchun Ma and Chung{-}Kuan Cheng}, title = {{VLSI} Block Placement With Alignment Constraints}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {53-II}, number = {8}, pages = {622--626}, year = {2006}, url = {https://doi.org/10.1109/TCSII.2006.876374}, doi = {10.1109/TCSII.2006.876374}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChenDHMC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiHZCBYPC06, author = {Zhuoyuan Li and Xianlong Hong and Qiang Zhou and Yici Cai and Jinian Bian and Hannah Honghua Yang and Vijay Pitchumani and Chung{-}Kuan Cheng}, title = {Hierarchical 3-D Floorplanning Algorithm for Wirelength Optimization}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {53-I}, number = {12}, pages = {2637--2646}, year = {2006}, url = {https://doi.org/10.1109/TCSI.2006.883857}, doi = {10.1109/TCSI.2006.883857}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiHZCBYPC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HsiaoC06, author = {Kuo{-}Su Hsiao and Chung{-}Ho Chen}, title = {Wake-Up Logic Optimizations Through Selective Match and Wakeup Range Limitation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {14}, number = {10}, pages = {1089--1102}, year = {2006}, url = {https://doi.org/10.1109/TVLSI.2006.884150}, doi = {10.1109/TVLSI.2006.884150}, timestamp = {Thu, 08 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/HsiaoC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ZhouYCZCH06, author = {Shuo Zhou and Bo Yao and Hongyu Chen and Yi Zhu and Chung{-}Kuan Cheng and Michael D. Hutton}, editor = {Fumiyasu Hirose}, title = {Efficient static timing analysis using a unified framework for false paths and multi-cycle paths}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {73--78}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594648}, doi = {10.1109/ASPDAC.2006.1594648}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ZhouYCZCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/LeeCTCC06, author = {Chien{-}Cheng Lee and Sz{-}Han Chen and Hong{-}Ming Tsai and Pau{-}Choo Chung and Yu{-}Chun Chiang}, title = {Discrimination of Liver Diseases from {CT} Images Based on Gabor Filters}, booktitle = {19th {IEEE} International Symposium on Computer-Based Medical Systems {(CBMS} 2006), 22-23 June 2006, Salt Lake City, Utah, {USA}}, pages = {203--206}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CBMS.2006.77}, doi = {10.1109/CBMS.2006.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/LeeCTCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChenYQC06, author = {Feng Chen and Hongji Yang and Bing Qiao and William Cheng{-}Chung Chu}, title = {A Formal Model Driven Approach to Dependable Software Evolution}, booktitle = {30th Annual International Computer Software and Applications Conference, {COMPSAC} 2006, Chicago, Illinois, USA, September 17-21, 2006. Volume 1}, pages = {205--214}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/COMPSAC.2006.10}, doi = {10.1109/COMPSAC.2006.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChenYQC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HuZCGC06, author = {Yuanfang Hu and Yi Zhu and Hongyu Chen and Ronald L. Graham and Chung{-}Kuan Cheng}, editor = {Ellen Sentovich}, title = {Communication latency aware low power NoC synthesis}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {574--579}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147058}, doi = {10.1145/1146909.1147058}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/HuZCGC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/ChenYSHK06, author = {Chao{-}Lieh Chen and Chia{-}Yu Yu and Chien{-}Chung Su and Mong{-}Fong Horng and Yau{-}Hwang Kuo}, editor = {Xiaobo Zhou and Oleg Sokolsky and Lu Yan and Eun{-}Sun Jung and Zili Shao and Yi Mu and Dong Chun Lee and Daeyoung Kim and Young{-}Sik Jeong and Cheng{-}Zhong Xu}, title = {Packet Length Adaptation for Energy-Proportional Routing in Clustered Sensor Networks}, booktitle = {Emerging Directions in Embedded and Ubiquitous Computing, {EUC} 2006 Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4097}, pages = {32--42}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11807964\_4}, doi = {10.1007/11807964\_4}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/ChenYSHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/SuLHCKHC06, author = {Chien{-}Chung Su and Kuo{-}Shiang Lu and Mong{-}Fong Horng and Chao{-}Lieh Chen and Yau{-}Hwang Kuo and Jang{-}Pong Hsu and Wen{-}Hsin Cheng}, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {Service-Oriented Device Anycasting Using Quality First Search in Wireless Personal Area Network}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, pages = {620--629}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167\_63}, doi = {10.1007/11802167\_63}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/SuLHCKHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HsiaoC06, author = {Kuo{-}Su Hsiao and Chung{-}Ho Chen}, title = {Improving Scalability and Complexity of Dynamic Scheduler through Wakeup-Based Scheduling}, booktitle = {24th International Conference on Computer Design {(ICCD} 2006), 1-4 October 2006, San Jose, CA, {USA}}, pages = {197--202}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICCD.2006.4380817}, doi = {10.1109/ICCD.2006.4380817}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HsiaoC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icicic/ChenWCL06, author = {Tsong{-}Yi Chen and Da{-}Jinn Wang and Thou{-}Ho Chen and Chung{-}Yih Lee}, title = {An Effective Authenticating Method On The Compressed Image Data}, booktitle = {First International Conference on Innovative Computing, Information and Control {(ICICIC} 2006), 30 August - 1 September 2006, Beijing, China}, pages = {249--252}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICICIC.2006.43}, doi = {10.1109/ICICIC.2006.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icicic/ChenWCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/ChuHH06, author = {Bong{-}Horng Chu and Kai{-}Chung Hsiao and Cheng{-}Seen Ho}, editor = {Moonis Ali and Richard Dapoigny}, title = {An Intelligent Customer Retention System}, booktitle = {Advances in Applied Artificial Intelligence, 19th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2006, Annecy, France, June 27-30, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4031}, pages = {1259--1269}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11779568\_133}, doi = {10.1007/11779568\_133}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/ChuHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHH06, author = {Cheng{-}Liang Chen and Meng{-}Fen Ho and Chung{-}Lin Huang}, title = {Adaptive rate control for {H.264/AVC} using Kalman filter}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693172}, doi = {10.1109/ISCAS.2006.1693172}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinC06, author = {Wei{-}Cheng Lin and Chung{-}Ho Chen}, title = {Exploring reusable frame buffer data for {MPEG-4} video decoding}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1692624}, doi = {10.1109/ISCAS.2006.1692624}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LiHZZBYPC06, author = {Zhuoyuan Li and Xianlong Hong and Qiang Zhou and Shan Zeng and Jinian Bian and Hannah Honghua Yang and Vijay Pitchumani and Chung{-}Kuan Cheng}, editor = {Louis Scheffer}, title = {Integrating dynamic thermal via planning with 3D floorplanning algorithm}, booktitle = {Proceedings of the 2006 International Symposium on Physical Design, {ISPD} 2006, San Jose, California, USA, April 9-12, 2006}, pages = {178--185}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1123008.1123048}, doi = {10.1145/1123008.1123048}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LiHZZBYPC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PolanskyLSDBCME06, author = {Yan Polansky and Avi Lavan and Ran Sahar and Oleg Dadashev and Yoram Betser and Guy Cohen and Eduardo Maayan and Boaz Eitan and Ful{-}Long Ni and Yen{-}Hui Joseph Ku and Chih{-}Yuan Lu and Tim Chang{-}Ting Chen and Chun{-}Yu Liao and Chin{-}Hung Chang and Chung Kuang Chen and Wen{-}Chiao Ho and Yite Shih and Wenchi Ting and Wenpin Lu}, title = {A 4b/cell {NROM} 1Gb Data-Storage Memory}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {448--458}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696077}, doi = {10.1109/ISSCC.2006.1696077}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PolanskyLSDBCME06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcis/LiHC06, author = {Sheng{-}Tun Li and Hei{-}Fong Ho and Yi{-}Chung Cheng}, title = {Evolutionary Fuzzy Case-based Reasoning for Financial Performance Ranking}, booktitle = {Proceedings of the 2006 Joint Conference on Information Sciences, {JCIS} 2006, Kaohsiung, Taiwan, ROC, October 8-11, 2006}, publisher = {Atlantis Press}, year = {2006}, url = {https://doi.org/10.2991/jcis.2006.141}, doi = {10.2991/JCIS.2006.141}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcis/LiHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/ChenCWC06, author = {Chung{-}Ho Chen and Yi{-}Cheng Chung and Chen{-}Hua Wang and Han{-}Chiang Chen}, title = {Design of a Giga-bit Hardware Accelerator for the iSCSI Initiator}, booktitle = {{LCN} 2006, The 31st Annual {IEEE} Conference on Local Computer Networks, Tampa, Florida, USA, 14-16 November 2006}, pages = {257--263}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/LCN.2006.322109}, doi = {10.1109/LCN.2006.322109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/ChenCWC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/ChenLXCCC06, author = {Yingwen Chen and Hong Va Leong and Ming Xu and Jiannong Cao and Keith C. C. Chan and Alvin T. S. Chan}, title = {In-Network Data Processing forWireless Sensor Networks}, booktitle = {7th International Conference on Mobile Data Management {(MDM} 2006), Nara, Japan, May 9-13, 2006}, pages = {26}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MDM.2006.96}, doi = {10.1109/MDM.2006.96}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mdm/ChenLXCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/HongCCC06, author = {Kuo{-}Lung Hong and Yung{-}fu Chen and Yung{-}Kuan Chan and Chung{-}Chuan Cheng}, editor = {Qiang Yang and Geoffrey I. Webb}, title = {An Image Retrieval System Based on Colors and Shapes of Objects}, booktitle = {{PRICAI} 2006: Trends in Artificial Intelligence, 9th Pacific Rim International Conference on Artificial Intelligence, Guilin, China, August 7-11, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4099}, pages = {1094--1098}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11801603\_140}, doi = {10.1007/11801603\_140}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pricai/HongCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/ZhangYC06, author = {Zhuopeng Zhang and Hongji Yang and William C. Chu}, title = {Extracting Reusable Object-Oriented Legacy Code Segments with Combined Formal Concept Analysis and Slicing Techniques for Service Integration}, booktitle = {Sixth International Conference on Quality Software {(QSIC} 2006), 26-28 October 2006, Beijing, China}, pages = {385--392}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/QSIC.2006.29}, doi = {10.1109/QSIC.2006.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/ZhangYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KuoSCH06, author = {Chih{-}Cheng Kuo and Wern{-}Ho Sheen and Chung{-}Ju Chang and Chang Lung Hsiao}, title = {Transmitter-Based Pre-Processing for {OFDM-CDMA} Forward-Link Systems with Two-Dimensional Spreading}, booktitle = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/VTCF.2006.135}, doi = {10.1109/VTCF.2006.135}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KuoSCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/LiaoHCL05, author = {Horng{-}Shyang Liao and Tan{-}Chi Ho and Jung{-}Hong Chuang and Cheng{-}Chung Lin}, title = {Fast rendering of dynamic clouds}, journal = {Comput. Graph.}, volume = {29}, number = {1}, pages = {29--40}, year = {2005}, url = {https://doi.org/10.1016/j.cag.2004.11.005}, doi = {10.1016/J.CAG.2004.11.005}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cg/LiaoHCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/ChungCCA05, author = {Wingyan Chung and Hsinchun Chen and Luis G. Chaboya and Christopher D. O'Toole and Homa Atabakhsh}, title = {Evaluating event visualization: a usability study of {COPLINK} spatio-temporal visualizer}, journal = {Int. J. Hum. Comput. Stud.}, volume = {62}, number = {1}, pages = {127--157}, year = {2005}, url = {https://doi.org/10.1016/j.ijhcs.2004.08.005}, doi = {10.1016/J.IJHCS.2004.08.005}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmms/ChungCCA05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LeeYLLCC05, author = {Wen{-}Jeng Lee and Chung{-}Yi Yang and Kao{-}Lang Liu and Hon{-}Man Liu and Yu{-}Tai Ching and Shyh{-}Jye Chen}, title = {Establishing a Web-Based {DICOM} Teaching File Authoring Tool Using Open-Source Public Software}, journal = {J. Digit. Imaging}, volume = {18}, number = {3}, pages = {169--175}, year = {2005}, url = {https://doi.org/10.1007/s10278-005-5171-z}, doi = {10.1007/S10278-005-5171-Z}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/LeeYLLCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangHLCH05, author = {Hsien{-}Da Huang and Jorng{-}Tzong Horng and Feng{-}Mao Lin and Yu{-}Chung Chang and Chen{-}Chia Huang}, title = {SpliceInfo: an information repository for mRNA alternative splicing in human genome}, journal = {Nucleic Acids Res.}, volume = {33}, number = {Database-Issue}, pages = {80--85}, year = {2005}, url = {https://doi.org/10.1093/nar/gki129}, doi = {10.1093/NAR/GKI129}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangHLCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LinSHC05, author = {Chien{-}Yuan Lin and Shu{-}Wei Sun and Chung{-}Yi Hong and Chen Chang}, title = {Unsupervised identification of white matter tracts in a mouse brain using a directional correlation-based region growing {(DCRG)} algorithm}, journal = {NeuroImage}, volume = {28}, number = {2}, pages = {380--388}, year = {2005}, url = {https://doi.org/10.1016/j.neuroimage.2005.06.009}, doi = {10.1016/J.NEUROIMAGE.2005.06.009}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LinSHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/HuangSHCL05, author = {Chung{-}Yuan Huang and Chuen{-}Tsai Sun and Ji{-}Lung Hsieh and Yi{-}Ming Arthur Chen and Holin Lin}, title = {A Novel Small-World Model: Using Social Mirror Identities for Epidemic Simulations}, journal = {Simul.}, volume = {81}, number = {10}, pages = {671--699}, year = {2005}, url = {https://doi.org/10.1177/0037549705061519}, doi = {10.1177/0037549705061519}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/HuangSHCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenCKMWY05, author = {Hongyu Chen and Chung{-}Kuan Cheng and Andrew B. Kahng and Ion I. Mandoiu and Qinke Wang and Bo Yao}, title = {The {Y} architecture for on-chip interconnect: analysis and methodology}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {4}, pages = {588--599}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.844096}, doi = {10.1109/TCAD.2005.844096}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenCKMWY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MaHDCCG05, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Chung{-}Kuan Cheng and Jun Gu}, title = {Buffer planning as an Integral part of floorplanning with consideration of routing congestion}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {24}, number = {4}, pages = {609--621}, year = {2005}, url = {https://doi.org/10.1109/TCAD.2005.844103}, doi = {10.1109/TCAD.2005.844103}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/MaHDCCG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiKCS05, author = {Simon Cimin Li and Hong{-}Sing Kao and Chia{-}Pei Chen and Chung{-}Chih Su}, title = {Low-power fully integrated and tunable {CMOS} {RF} wireless receiver for {ISM} band consumer applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {52-I}, number = {9}, pages = {1758--1766}, year = {2005}, url = {https://doi.org/10.1109/TCSI.2005.852926}, doi = {10.1109/TCSI.2005.852926}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiKCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KaoCYHL05, author = {Wen{-}Chung Kao and Wei{-}Hsin Chen and Chun{-}Kuo Yu and Chin{-}Ming Hong and Sheng{-}Yuan Lin}, title = {Portable real-time homecare system design with digital camera platform}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {51}, number = {4}, pages = {1035--1041}, year = {2005}, url = {https://doi.org/10.1109/TCE.2005.1561822}, doi = {10.1109/TCE.2005.1561822}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KaoCYHL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/LiangHC05, author = {Hsing{-}Chung Liang and Wen{-}Chin Ho and Ming{-}Chieh Cheng}, title = {Identify unrepairability to speed-up spare allocation for repairing memories}, journal = {{IEEE} Trans. Reliab.}, volume = {54}, number = {2}, pages = {358--365}, year = {2005}, url = {https://doi.org/10.1109/TR.2005.847248}, doi = {10.1109/TR.2005.847248}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/LiangHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenC05, author = {Hongyu Chen and Chung{-}Kuan Cheng}, editor = {Tingao Tang}, title = {A multi-level transmission line network approach for multi-giga hertz clock distribution}, booktitle = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation, {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005}, pages = {103--106}, publisher = {{ACM} Press}, year = {2005}, url = {https://doi.org/10.1145/1120725.1120756}, doi = {10.1145/1120725.1120756}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/HsiaoC05, author = {Kuo{-}Su Hsiao and Chung{-}Ho Chen}, editor = {Nader Bagherzadeh and Mateo Valero and Alex Ram{\'{\i}}rez}, title = {An efficient wakeup design for energy reduction in high-performance superscalar processors}, booktitle = {Proceedings of the Second Conference on Computing Frontiers, 2005, Ischia, Italy, May 4-6, 2005}, pages = {353--360}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1062261.1062319}, doi = {10.1145/1062261.1062319}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cf/HsiaoC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgim/ChenC05, author = {Chung{-}Ming Chen and Chung{-}Ho Chen}, editor = {M. H. Hamza}, title = {An Efficient Architecture for Deblocking Filter in {H.264/AVC} Video Coding}, booktitle = {Proceedings of the Eighth {IASTED} International Conference on Computer Graphics and Imaging, {CGIM} 2005, Honolulu, Hawaii, USA, August 15-17, 2005}, pages = {177--181}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Thu, 30 Mar 2006 15:06:44 +0200}, biburl = {https://dblp.org/rec/conf/cgim/ChenC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/DasLCKYHC05, author = {Abhinav Das and Jiwei Lu and Howard Chen and Jinpyo Kim and Pen{-}Chung Yew and Wei{-}Chung Hsu and Dong{-}yuan Chen}, title = {Performance of Runtime Optimization on {BLAST}}, booktitle = {3nd {IEEE} / {ACM} International Symposium on Code Generation and Optimization {(CGO} 2005), 20-23 March 2005, San Jose, CA, {USA}}, pages = {86--96}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CGO.2005.25}, doi = {10.1109/CGO.2005.25}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cgo/DasLCKYHC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/YehSCLY05, author = {Dowming Yeh and Pei{-}Chen Sun and William Cheng{-}Chung Chu and Chien{-}Lung Lin and Hongji Yang}, title = {An Empirical Study of a Reverse Engineering Method for Aggregation Relationship Based on Operation Propagation}, booktitle = {29th Annual International Computer Software and Applications Conference, {COMPSAC} 2005, Edinburgh, Scotland, UK, July 25-28, 2005. Volume 1}, pages = {95--100}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/COMPSAC.2005.44}, doi = {10.1109/COMPSAC.2005.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/YehSCLY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iastedCCS/ChenC05, author = {Chung{-}Ming Chen and Chung{-}Ho Chen}, editor = {Vojin G. Oklobdzija}, title = {An efficient {VLSI} architecture for edge filtering in {H.264/AVC}}, booktitle = {Proceedings of the Third {IASTED} International Conference on Circuits, Signals, and Systems, Marina del Rey, CA, USA, October 24-26, 2005}, pages = {118--122}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Thu, 25 Jan 2007 13:41:15 +0100}, biburl = {https://dblp.org/rec/conf/iastedCCS/ChenC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icas/HoaCC05, author = {Cheng{-}Yuan Ho and Yi{-}Cheng Chan and Yaw{-}Chung Chen}, title = {A TCP-Friendly Stateless {AQM} Scheme for Fair Bandwidth Allocation}, booktitle = {Joint International Conference on Autonomic and Autonomous Systems 2005 / International Conference on Networking and Services 2005, {ICAS/ICNS} 2005, Papeete, Tahiti, France, October 23-28, 2005}, pages = {14}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICAS-ICNS.2005.11}, doi = {10.1109/ICAS-ICNS.2005.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icas/HoaCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouYCZCHCSCS05, author = {Shuo Zhou and Bo Yao and Hongyu Chen and Yi Zhu and Chung{-}Kuan Cheng and Michael D. Hutton and Truman Collins and Sridhar Srinivasan and Nan{-}Chi Chou and Peter Suaris}, title = {Improving the efficiency of static timing analysis with false paths}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {527--531}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560123}, doi = {10.1109/ICCAD.2005.1560123}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhouYCZCHCSCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenSCH05, author = {Hongyu Chen and Rui Shi and Chung{-}Kuan Cheng and David M. Harris}, title = {Surfliner: {A} Distortionless Electrical Signaling Scheme for Speed of Light On-Chip Communications}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {497--502}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.102}, doi = {10.1109/ICCD.2005.102}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChenSCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuCZCC05, author = {Yuanfang Hu and Hongyu Chen and Yi Zhu and Andrew A. Chien and Chung{-}Kuan Cheng}, title = {Physical Synthesis of Energy-Efficient Networks-on-Chip Through Topology Exploration and Wire Style Optimizationz}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {111--118}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.84}, doi = {10.1109/ICCD.2005.84}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuCZCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnmc/HoSCC05, author = {Cheng{-}Yuan Ho and Chen{-}Hua Shih and Yaw{-}Chung Chen and Yi{-}Cheng Chan}, editor = {Xicheng Lu and Wei Zhao}, title = {An Aided Congestion Avoidance Mechanism for {TCP} Vegas}, booktitle = {Networking and Mobile Computing, Third International Conference, {ICCNMC} 2005, Zhangjiajie, China, August 2-4, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3619}, pages = {961--971}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11534310\_101}, doi = {10.1007/11534310\_101}, timestamp = {Fri, 09 Apr 2021 18:41:16 +0200}, biburl = {https://dblp.org/rec/conf/iccnmc/HoSCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/HouCH05, author = {Kai{-}Chung Hou and Mei{-}Juan Chen and Ching{-}Ting Hsu}, title = {Fast Motion Estimation by Motion Vector Merging Procedure for {H.264}}, booktitle = {Proceedings of the 2005 {IEEE} International Conference on Multimedia and Expo, {ICME} 2005, July 6-9, 2005, Amsterdam, The Netherlands}, pages = {1444--1447}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICME.2005.1521703}, doi = {10.1109/ICME.2005.1521703}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/HouCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/HoCC05, author = {Cheng{-}Yuan Ho and Yi{-}Cheng Chan and Yaw{-}Chung Chen}, title = {An Enhanced Slow-Start Mechanism for {TCP} Vegas}, booktitle = {11th International Conference on Parallel and Distributed Systems, {ICPADS} 2005, Fuduoka, Japan, July 20-22, 2005}, pages = {405--411}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICPADS.2005.86}, doi = {10.1109/ICPADS.2005.86}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/HoCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/ChenLP05, author = {Dingjun Chen and Chung{-}Yeol Lee and Cheol Hoon Park}, title = {Hybrid Genetic Algorithm and Simulated Annealing {(HGASA)} in Global Function Optimization}, booktitle = {17th {IEEE} International Conference on Tools with Artificial Intelligence {(ICTAI} 2005), 14-16 November 2005, Hong Kong, China}, pages = {126--133}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICTAI.2005.72}, doi = {10.1109/ICTAI.2005.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictai/ChenLP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/ChuLCL05, author = {William C. Chu and Hong{-}Xin Lin and Juei{-}Nan Chen and Xing{-}Yi Lin}, editor = {Rynson W. H. Lau and Qing Li and Ronnie Cheung and Wenyin Liu}, title = {Context-Sensitive Content Representation for Mobile Learning}, booktitle = {Advances in Web-Based Learning - {ICWL} 2005, 4th International Conference, Hong Kong, China, July 31 - August 3, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3583}, pages = {349--354}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11528043\_36}, doi = {10.1007/11528043\_36}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icwl/ChuLCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/HoCC05, author = {Cheng{-}Yuan Ho and Yi{-}Cheng Chan and Yaw{-}Chung Chen}, title = {An efficient mechanism of TCP-Vegas on mobile {IP} networks}, booktitle = {{INFOCOM} 2005. 24th Annual Joint Conference of the {IEEE} Computer and Communications Societies, 13-17 March 2005, Miami, FL, {USA}}, pages = {2776--2780}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/INFCOM.2005.1498561}, doi = {10.1109/INFCOM.2005.1498561}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/HoCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHDMC05, author = {Song Chen and Xianlong Hong and Sheqin Dong and Yuchun Ma and Chung{-}Kuan Cheng}, title = {{VLSI} block placement with alignment constraints based on corner block list}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {6222--6225}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1466062}, doi = {10.1109/ISCAS.2005.1466062}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHDMC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaHDCC05, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Chung{-}Kuan Cheng}, title = {Performance constrained floorplanning based on partial clustering {[IC} layout]}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {1863--1866}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1464974}, doi = {10.1109/ISCAS.2005.1464974}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaHDCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/PanLHCLC05, author = {Chia{-}Ho Pan and I{-}Hsien Lee and Sheng{-}Chieh Huang and Chih{-}Chi Cheng and Chung{-}Jr Lian and Liang{-}Gee Chen}, title = {Application Layer Error Correction Scheme for Video Header Protection on Wireless Network}, booktitle = {Seventh {IEEE} International Symposium on Multimedia {(ISM} 2005), 12-14 December 2005, Irvine, CA, {USA}}, pages = {499--505}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISM.2005.34}, doi = {10.1109/ISM.2005.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/PanLHCLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/YaoCCCLS05, author = {Bo Yao and Hongyu Chen and Chung{-}Kuan Cheng and Nan{-}Chi Chou and Lung{-}Tien Liu and Peter Suaris}, editor = {Patrick Groeneveld and Louis Scheffer}, title = {Unified quadratic programming approach for mixed mode placement}, booktitle = {Proceedings of the 2005 International Symposium on Physical Design, {ISPD} 2005, San Francisco, California, USA, April 3-6, 2005}, pages = {193--199}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1055137.1055178}, doi = {10.1145/1055137.1055178}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/YaoCCCLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChenHDMC05, author = {Song Chen and Xianlong Hong and Sheqin Dong and Yuchun Ma and Chung{-}Kuan Cheng}, title = {Floorplanning with Consideration of White Space Resource Distribution for Repeater Planning}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {628--633}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.58}, doi = {10.1109/ISQED.2005.58}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/ChenHDMC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/MaHDCC05, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Chung{-}Kuan Cheng}, title = {Buffer Planning Algorithm Based on Partial Clustered Floorplanning}, booktitle = {6th International Symposium on Quality of Electronic Design {(ISQED} 2005), 21-23 March 2005, San Jose, CA, {USA}}, pages = {213--219}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISQED.2005.27}, doi = {10.1109/ISQED.2005.27}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/MaHDCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GoyalCAICSKH05, author = {Shalabh Goyal and Abhijit Chatterjee and Mike Atia and Howard Iglehart and Chung Yu Chen and Bassem Shenouda and Nash Khouzam and Hosam Haggag}, title = {Test time reduction of successive approximation register {A/D} converter by selective code measurement}, booktitle = {Proceedings 2005 {IEEE} International Test Conference, {ITC} 2005, Austin, TX, USA, November 8-10, 2005}, pages = {8}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/TEST.2005.1583979}, doi = {10.1109/TEST.2005.1583979}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GoyalCAICSKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtdt/LinLHLLHWHLH05, author = {Ching{-}Yuan Lin and Chung{-}Hung Lin and Chien{-}Hung Ho and Wei{-}Wu Liao and Shu{-}Yueh Lee and Ming{-}Chou Ho and Shih{-}Chen Wang and Shih{-}Chan Huang and Yuan{-}Tai Lin and Charles Ching{-}Hsiang Hsu}, title = {Embedded {OTP} fuse in {CMOS} logic process}, booktitle = {13th {IEEE} International Workshop on Memory Technology, Design, and Testing {(MTDT} 2005), 3-5 August 2005, Taipei, Taiwan}, pages = {13--15}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/MTDT.2005.22}, doi = {10.1109/MTDT.2005.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtdt/LinLHLLHWHLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmte/LiuTNLCHH05, author = {Chen{-}Chung Liu and Shu{-}Yuan Tao and Jinu{-}Ning Nee and Baw{-}Jhiune Liu and Gwo{-}Dong Chen and Ching{-}Chi Hsu and Jorng{-}Tzong Horng}, title = {Supporting Activity Awareness for Teams-Games-Tournaments with {GSM} Network}, booktitle = {Third {IEEE} International Workshop on Wireless and Mobile Technologies in Education, {WMTE} 2005, Tokushima, Japan, November 28-30, 2005}, pages = {238--242}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WMTE.2005.60}, doi = {10.1109/WMTE.2005.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wmte/LiuTNLCHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/appml/LeeYHA04, author = {Chung{-}Fen Lee and Cheh{-}Chih Yeh and Chen{-}Huang Hong and Ravi P. Agarwal}, title = {Lyapunov and Wirtinger inequalities}, journal = {Appl. Math. Lett.}, volume = {17}, number = {7}, pages = {847--853}, year = {2004}, url = {https://doi.org/10.1016/j.aml.2004.06.016}, doi = {10.1016/J.AML.2004.06.016}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/appml/LeeYHA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/JiangDCTSCTZ04, author = {Hongying Jiang and Youping Deng and Huann{-}Sheng Chen and Lin Tao and Qiuying Sha and Jun Chen and Chung{-}Jui Tsai and Shuanglin Zhang}, title = {Joint analysis of two microarray gene-expression data sets to select lung adenocarcinoma marker genes}, journal = {{BMC} Bioinform.}, volume = {5}, pages = {81}, year = {2004}, url = {https://doi.org/10.1186/1471-2105-5-81}, doi = {10.1186/1471-2105-5-81}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/JiangDCTSCTZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ChenHDMCCJ04, author = {Song Chen and Xianlong Hong and Sheqin Dong and Yuchun Ma and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {A buffer planning algorithm for chip-level floorplanning}, journal = {Sci. China Ser. {F} Inf. Sci.}, volume = {47}, number = {6}, pages = {763--776}, year = {2004}, url = {https://doi.org/10.1360/03yf0028}, doi = {10.1360/03YF0028}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ChenHDMCCJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/HongMDCCG04, author = {Xianlong Hong and Yuchun Ma and Sheqin Dong and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Corner block list representation and its application with boundary constraints}, journal = {Sci. China Ser. {F} Inf. Sci.}, volume = {47}, number = {1}, pages = {1--19}, year = {2004}, url = {https://doi.org/10.1360/01yf0558}, doi = {10.1360/01YF0558}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/HongMDCCG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/TongWCC04, author = {Lee{-}Ing Tong and Chung{-}Ho Wang and Chih{-}Chien Chen and Chun{-}Tzu Chen}, title = {Dynamic multiple responses by ideal solution analysis}, journal = {Eur. J. Oper. Res.}, volume = {156}, number = {2}, pages = {433--444}, year = {2004}, url = {https://doi.org/10.1016/S0377-2217(03)00017-1}, doi = {10.1016/S0377-2217(03)00017-1}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/TongWCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/LinHFJC04, author = {Jim{-}Min Lin and Zeng{-}Wei Hong and Guo{-}Ming Fang and Hewijin Christine Jiau and William C. Chu}, title = {Reengineering windows software applications into reusable {CORBA} objects}, journal = {Inf. Softw. Technol.}, volume = {46}, number = {6}, pages = {403--413}, year = {2004}, url = {https://doi.org/10.1016/j.infsof.2003.08.003}, doi = {10.1016/J.INFSOF.2003.08.003}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/LinHFJC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcis/HuangHCK04, author = {Shi{-}Ming Huang and Yu{-}Chung Hung and Houn{-}Gee Chen and Cheng{-}Yuan Ku}, title = {Transplanting the Best Practice for Implementation of an {ERP} System: {A} Structured Inductive Study of an International Company}, journal = {J. Comput. Inf. Syst.}, volume = {44}, number = {4}, pages = {101--110}, year = {2004}, url = {https://www.tandfonline.com/doi/abs/10.1080/08874417.2004.11647601}, doi = {10.1080/08874417.2004.11647601}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcis/HuangHCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/ChenHDMCG04, author = {Song Chen and Xianlong Hong and Sheqin Dong and Yuchun Ma and Chung{-}Kuan Cheng and Jun Gu}, title = {Fast Evaluation of Bounded Slice-Line Grid}, journal = {J. Comput. Sci. Technol.}, volume = {19}, number = {6}, pages = {973--980}, year = {2004}, url = {https://doi.org/10.1007/BF02973462}, doi = {10.1007/BF02973462}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/ChenHDMCG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jilp/LuCYH04, author = {Jiwei Lu and Howard Chen and Pen{-}Chung Yew and Wei{-}Chung Hsu}, title = {Design and Implementation of a Lightweight Dynamic Optimization System}, journal = {J. Instr. Level Parallelism}, volume = {6}, year = {2004}, url = {http://www.jilp.org/vol6/v6paper5.pdf}, timestamp = {Fri, 24 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jilp/LuCYH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/WuNHYNVHMKKLSACZCCCDB04, author = {Cathy H. Wu and Anastasia N. Nikolskaya and Hongzhan Huang and Lai{-}Su L. Yeh and Darren A. Natale and Cholanayakanahalli R. Vinayaka and Zhang{-}Zhi Hu and Raja Mazumder and Sandeep Kumar and Panagiotis Kourtesis and Robert S. Ledley and Baris E. Suzek and Leslie Arminski and Yongxing Chen and Jian Zhang and Jorge Louie Cardenas and Sehee Chung and Jorge Castro{-}Alvear and Georgi Dinkov and Winona C. Barker}, title = {{PIRSF:} family classification system at the Protein Information Resource}, journal = {Nucleic Acids Res.}, volume = {32}, number = {Database-Issue}, pages = {112--114}, year = {2004}, url = {https://doi.org/10.1093/nar/gkh097}, doi = {10.1093/NAR/GKH097}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/WuNHYNVHMKKLSACZCCCDB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JingHXBCG04, author = {Tong Jing and Xianlong Hong and Jingyu Xu and Haiyun Bao and Chung{-}Kuan Cheng and Jun Gu}, title = {{UTACO:} a unified timing and congestion optimization algorithm for standard cell global routing}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {3}, pages = {358--365}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.823354}, doi = {10.1109/TCAD.2004.823354}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JingHXBCG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WuHCLCGD04, author = {Xiaohai Wu and Xianlong Hong and Yici Cai and Zuying Luo and Chung{-}Kuan Cheng and Jun Gu and Wayne Wei{-}Ming Dai}, title = {Area minimization of power distribution network using efficient nonlinear programming techniques}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {23}, number = {7}, pages = {1086--1094}, year = {2004}, url = {https://doi.org/10.1109/TCAD.2004.829809}, doi = {10.1109/TCAD.2004.829809}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/WuHCLCGD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HongDHCCG04, author = {Xianlong Hong and Sheqin Dong and Gang Huang and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Corner block list representation and its application to floorplan optimization}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {51-II}, number = {5}, pages = {228--233}, year = {2004}, url = {https://doi.org/10.1109/TCSII.2004.824047}, doi = {10.1109/TCSII.2004.824047}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HongDHCCG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/MaHDCCG04, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Stairway compaction using corner block list and its applications with rectilinear blocks}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {9}, number = {2}, pages = {199--211}, year = {2004}, url = {https://doi.org/10.1145/989995.989998}, doi = {10.1145/989995.989998}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/MaHDCCG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aPcsac/ChenLHY04, author = {Howard Chen and Jiwei Lu and Wei{-}Chung Hsu and Pen{-}Chung Yew}, editor = {Pen{-}Chung Yew and Jingling Xue}, title = {Continuous Adaptive Object-Code Re-optimization Framework}, booktitle = {Advances in Computer Systems Architecture, 9th Asia-Pacific Conference, {ACSAC} 2004, Beijing, China, September 7-9, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3189}, pages = {241--255}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30102-8\_20}, doi = {10.1007/978-3-540-30102-8\_20}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aPcsac/ChenLHY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/LiuCYCL04, author = {Ruimin Liu and Feng Chen and Hongji Yang and William C. Chu and Yu{-}Bin Lai}, title = {Agent-Based Web Services Evolution for Pervasive Computing}, booktitle = {11th Asia-Pacific Software Engineering Conference {(APSEC} 2004), 30 November - 3 December 2004, Busan, Korea}, pages = {726--731}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/APSEC.2004.18}, doi = {10.1109/APSEC.2004.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsec/LiuCYCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenCKMW04, author = {Hongyu Chen and Chung{-}Kuan Cheng and Andrew B. Kahng and Makoto Mori and Qinke Wang}, editor = {Masaharu Imai}, title = {Optimal planning for mesh-based power distribution}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {444--449}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.157}, doi = {10.1109/ASPDAC.2004.157}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenCKMW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenHDMCCG04, author = {Song Chen and Xianlong Hong and Sheqin Dong and Yuchun Ma and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, editor = {Masaharu Imai}, title = {A buffer planning algorithm with congestion optimization}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {615--620}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.6}, doi = {10.1109/ASPDAC.2004.6}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenHDMCCG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MaHDCCCG04, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, editor = {Masaharu Imai}, title = {Buffer allocation algorithm with consideration of routing congestion}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {621--623}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.66}, doi = {10.1109/ASPDAC.2004.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/MaHDCCCG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MoriCYC04, author = {Makoto Mori and Hongyu Chen and Bo Yao and Chung{-}Kuan Cheng}, editor = {Masaharu Imai}, title = {A multiple level network approach for clock skew minimization with process variations}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {263--268}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.25}, doi = {10.1109/ASPDAC.2004.25}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MoriCYC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/OngHCW04, author = {Chee{-}Kian Ong and Dongwoo Hong and Kwang{-}Ting Cheng and Li{-}C. Wang}, editor = {Masaharu Imai}, title = {Jitter spectral extraction for multi-gigahertz signal}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {298--303}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.122}, doi = {10.1109/ASPDAC.2004.122}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/OngHCW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccct/ChungYCLCH04, author = {Yi{-}Nung Chung and Maw{-}Rong Yang and Pao{-}Huan Chou and Jiann{-}Shu Lee and Hsin{-}Ta Chen and Wen{-}Hsin Ho}, editor = {Hsing{-}Wei Chu}, title = {The Research of Automatic Diagnosis for Patellar Tracking Mechanism of Knees}, booktitle = {Proceedings of the 2nd International Conference Computing, Communications and Control Technologies, {CCCT} 2004, Austin, TX, USA, August 14-17, 2004, Volume 2}, pages = {120--125}, publisher = {The International Institute of Informatics and Systemics {(IIIS)}}, year = {2004}, timestamp = {Fri, 28 Jul 2023 11:09:08 +0200}, biburl = {https://dblp.org/rec/conf/ccct/ChungYCLCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/YangZHYY04, author = {Guohui Yang and Chunguang Zhou and Chengquan Hu and Zhezhou Yu and Hongji Yang}, title = {A Method Based on Improved Bayesian Inference Network Model and Hidden Markov Model for Prediction of Protein Secondary Structure}, booktitle = {28th International Computer Software and Applications Conference {(COMPSAC} 2004), Design and Assessment of Trustworthy Software-Based Systems, 27-30 September 2004, Hong Kong, China, Workshop Papers}, pages = {134--137}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/CMPSAC.2004.1342695}, doi = {10.1109/CMPSAC.2004.1342695}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/YangZHYY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChengHP04, author = {Wei{-}Chung Cheng and Yu Hou and Massoud Pedram}, title = {Power Minimization in a Backlit {TFT-LCD} Display by Concurrent Brightness and Contrast Scaling}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {252--259}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268857}, doi = {10.1109/DATE.2004.1268857}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChengHP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/OngHCW04, author = {Chee{-}Kian Ong and Dongwoo Hong and Kwang{-}Ting Cheng and Li{-}C. Wang}, title = {Random Jitter Extraction Technique in a Multi-Gigahertz Signal}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {286--291}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268862}, doi = {10.1109/DATE.2004.1268862}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/OngHCW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/KuoLCH04, author = {Shu{-}Ching Kuo and Sheng{-}Tun Li and Yi{-}Chung Cheng and Men{-}Hsieu Ho}, editor = {Masumi Ishikawa and Shuji Hashimoto and Marcin Paprzycki and Emilia I. Barakova and Kaori Yoshida and Mario K{\"{o}}ppen and David W. Corne and Ajith Abraham}, title = {Knowledge Discovery with {SOM} Networks in Financial Investment Strategy}, booktitle = {4th International Conference on Hybrid Intelligent Systems {(HIS} 2004), 5-8 December 2004, Kitakyushu, Japan}, pages = {98--103}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICHIS.2004.68}, doi = {10.1109/ICHIS.2004.68}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/KuoLCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenCCKLLW04, author = {Cheng{-}Wei Chen and Chung{-}Kai Chen and Jyh{-}Cheng Chen and Chien{-}Tan Ko and Jenq Kuen Lee and Hong{-}Wei Lin and Wang{-}Jer Wu}, title = {Efficient support of java {RMI} over heterogeneous wireless networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2004, Paris, France, 20-24 June 2004}, pages = {1391--1395}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICC.2004.1312740}, doi = {10.1109/ICC.2004.1312740}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenCCKLLW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChanCCH04, author = {Yi{-}Cheng Chan and Chia{-}Tai Chan and Yaw{-}Chung Chen and Cheng{-}Yuan Ho}, title = {Performance Improvement of Congestion Avoidance Mechanism for {TCP} Vegas}, booktitle = {10th International Conference on Parallel and Distributed Systems, {ICPADS} 2004, Newport Beach, CA, USA, July 7-9, 2004}, pages = {605--612}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ICPADS.2004.65}, doi = {10.1109/ICPADS.2004.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ChanCCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/LiaoCL04, author = {Horng{-}Shyang Liao and Jung{-}Hong Chuang and Cheng{-}Chung Lin}, editor = {Judith R. Brown and Yiyu Cai}, title = {Efficient rendering of dynamic clouds}, booktitle = {Proceedings {VRCAI} 2004, {ACM} {SIGGRAPH} International Conference on Virtual Reality Continuum and its Applications in Industry, Nanyang Technological University, Singapore, June 16-18, 2004}, pages = {19--25}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1044588.1044591}, doi = {10.1145/1044588.1044591}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/LiaoCL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/OngHCW04, author = {Chee{-}Kian Ong and Dongwoo Hong and Kwang{-}Ting Cheng and Li{-}C. Wang}, title = {A Scalable On-Chip Jitter Extraction Technique}, booktitle = {22nd {IEEE} {VLSI} Test Symposium {(VTS} 2004), 25-29 April 2004, Napa Valley, CA, {USA}}, pages = {267--272}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/VTEST.2004.1299253}, doi = {10.1109/VTEST.2004.1299253}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/OngHCW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/YenCLK03, author = {David C. Yen and Houn{-}Gee Chen and Sooun Lee and Seok Ha Koh}, title = {Differences in perception of {IS} knowledge and skills between academia and industry: findings from Taiwan}, journal = {Int. J. Inf. Manag.}, volume = {23}, number = {6}, pages = {507--522}, year = {2003}, url = {https://doi.org/10.1016/j.ijinfomgt.2003.09.011}, doi = {10.1016/J.IJINFOMGT.2003.09.011}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijinfoman/YenCLK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/HeCY03, author = {Xudong He and William C. Chu and Hongji Yang}, title = {A new approach to verify rule-based systems using petri net}, journal = {Inf. Softw. Technol.}, volume = {45}, number = {10}, pages = {663--669}, year = {2003}, url = {https://doi.org/10.1016/S0950-5849(03)00058-2}, doi = {10.1016/S0950-5849(03)00058-2}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/HeCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ChenLC03, author = {Chung{-}Ming Chen and Henry Horng{-}Shing Lu and Yao{-}Lin Chen}, title = {A discrete region competition approach incorporating weak edge enhancement for ultrasound image segmentation}, journal = {Pattern Recognit. Lett.}, volume = {24}, number = {4-5}, pages = {693--704}, year = {2003}, url = {https://doi.org/10.1016/S0167-8655(02)00175-7}, doi = {10.1016/S0167-8655(02)00175-7}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/ChenLC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamco/WeiHC03, author = {T. Wei and Y. C. Hon and J. Cheng}, title = {Computation for MultiDimensional Cauchy Problem}, journal = {{SIAM} J. Control. Optim.}, volume = {42}, number = {2}, pages = {381--396}, year = {2003}, url = {https://doi.org/10.1137/S0363012901389391}, doi = {10.1137/S0363012901389391}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamco/WeiHC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LianCCC03, author = {Chung{-}Jr Lian and Kuanfu Chen and Hong{-}Hui Chen and Liang{-}Gee Chen}, title = {Analysis and architecture design of block-coding engine for {EBCOT} in {JPEG} 2000}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {13}, number = {3}, pages = {219--230}, year = {2003}, url = {https://doi.org/10.1109/TCSVT.2003.809833}, doi = {10.1109/TCSVT.2003.809833}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LianCCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LiuLCY03, author = {Chung{-}Chih Liu and Gin{-}Rong Liu and Wann{-}Jin Chen and Hong{-}Yu Yang}, title = {Modified Bowen ratio method in near-sea-surface air temperature estimation by using satellite data}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {41}, number = {5}, pages = {1025--1033}, year = {2003}, url = {https://doi.org/10.1109/TGRS.2003.811079}, doi = {10.1109/TGRS.2003.811079}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LiuLCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeCT03, author = {Chien{-}Cheng Lee and Pau{-}Choo Chung and Hong{-}Ming Tsai}, title = {Identifying multiple abdominal organs from {CT} image series using a multimodule contextual neural network and spatial fuzzy rules}, journal = {{IEEE} Trans. Inf. Technol. Biomed.}, volume = {7}, number = {3}, pages = {208--217}, year = {2003}, url = {https://doi.org/10.1109/TITB.2003.813795}, doi = {10.1109/TITB.2003.813795}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeeCT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/YaoCCG03, author = {Bo Yao and Hongyu Chen and Chung{-}Kuan Cheng and Ronald L. Graham}, title = {Floorplan representations: Complexity and connections}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {8}, number = {1}, pages = {55--80}, year = {2003}, url = {https://doi.org/10.1145/606603.606607}, doi = {10.1145/606603.606607}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/YaoCCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WuCCF03, author = {Yu{-}Liang Wu and Chak{-}Chung Cheung and David Ihsin Cheng and Hongbing Fan}, title = {Further improve circuit partitioning using {GBAW} logic perturbation techniques}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {11}, number = {3}, pages = {451--460}, year = {2003}, url = {https://doi.org/10.1109/TVLSI.2003.812369}, doi = {10.1109/TVLSI.2003.812369}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/WuCCF03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenHDMCCG03, author = {Song Chen and Xianlong Hong and Sheqin Dong and Yuchun Ma and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, editor = {Hiroto Yasuura}, title = {A buffer planning algorithm based on dead space redistribution}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {435--438}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119859}, doi = {10.1145/1119772.1119859}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/ChenHDMCCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenYZC03, author = {Hongyu Chen and Bo Yao and Feng Zhou and Chung{-}Kuan Cheng}, editor = {Hiroto Yasuura}, title = {The Y-architecture: yet another on-chip interconnect solution}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {840--847}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119957}, doi = {10.1145/1119772.1119957}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenYZC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JingHBCXCG03, author = {Tong Jing and Xianlong Hong and Haiyun Bao and Yici Cai and Jingyu Xu and Chung{-}Kuan Cheng and Jun Gu}, editor = {Hiroto Yasuura}, title = {{UTACO:} a unified timing and congestion optimizing algorithm for standard cell global routing}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {834--839}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119956}, doi = {10.1145/1119772.1119956}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/JingHBCXCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KerC03, author = {Ning{-}Yaun Ker and Chung{-}Ho Chen}, editor = {Hiroto Yasuura}, title = {An effective {SDRAM} power mode management scheme for performance and energy sensitive embedded systems}, booktitle = {Proceedings of the 2003 Asia and South Pacific Design Automation Conference, {ASP-DAC} '03, Kitakyushu, Japan, January 21-24, 2003}, pages = {515--518}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/1119772.1119879}, doi = {10.1145/1119772.1119879}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KerC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/ChenHC03, author = {Howard Chen and Wei{-}Chung Hsu and Dong{-}yuan Chen}, editor = {Richard Johnson and Tom Conte and Wen{-}mei W. Hwu}, title = {Dynamic Trace Selection Using Performance Monitoring Hardware Sampling}, booktitle = {1st {IEEE} / {ACM} International Symposium on Code Generation and Optimization {(CGO} 2003), 23-26 March 2003, San Francisco, CA, {USA}}, pages = {79--90}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CGO.2003.1191535}, doi = {10.1109/CGO.2003.1191535}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/ChenHC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/QiaoYCX03, author = {Bing Qiao and Hongji Yang and William C. Chu and Baowen Xu}, title = {Bridging Legacy Systems to Model Driven Architecture}, booktitle = {27th International Computer Software and Applications Conference {(COMPSAC} 2003): Design and Assessment of Trustworthy Software-Based Systems, 3-6 November 2003, Dallas, TX, USA, Proceedings}, pages = {304}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CMPSAC.2003.1245358}, doi = {10.1109/CMPSAC.2003.1245358}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/QiaoYCX03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCCKMSYZ03, author = {Hongyu Chen and Chung{-}Kuan Cheng and Nan{-}Chi Chou and Andrew B. Kahng and John F. MacDonald and Peter Suaris and Bo Yao and Zhengyong Zhu}, title = {An algebraic multigrid solver for analytical placement with layout based clustering}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {794--799}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776034}, doi = {10.1145/775832.776034}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenCCKMSYZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaHDCCCG03, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Dynamic global buffer planning optimization based on detail block locating and congestion analysis}, booktitle = {Proceedings of the 40th Design Automation Conference, {DAC} 2003, Anaheim, CA, USA, June 2-6, 2003}, pages = {806--811}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/775832.776036}, doi = {10.1145/775832.776036}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MaHDCCCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dc/ChenCSL03, author = {Ya{-}Ning Chen and Shu{-}Jiun Chen and Hon{-}Chung Sum and Simon C. Lin}, title = {Functional Requirements of Metadata System: From User Needs Perspective}, booktitle = {Supporting Communities of Discourse and Practice: Proceedings of the 2003 International Conference on Dublin Core and Metadata Applications, {DC} 2003, Seattle, Washington, USA, September 28 - October 2, 2003}, pages = {91--98}, publisher = {Dublin Core Metadata Initiative}, year = {2003}, url = {http://dcpapers.dublincore.org/pubs/article/view/737}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dc/ChenCSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/ChenCQCXWZA03, author = {Hsinchun Chen and Wingyan Chung and Yi Qin and Michael Chau and Jennifer Jie Xu and Gang Wang and Rong Zheng and Homa Atabakhsh}, editor = {Yigal Arens and Eduard H. Hovy and Peggy Agouris}, title = {Crime Data Mining: An Overview and Case Studies}, booktitle = {Proceedings of the 2003 Annual National Conference on Digital Government Research, {DG.O} 2003, Boston, MA, USA, 2003}, series = {{ACM} International Conference Proceeding Series}, publisher = {Digital Government Research Center}, year = {2003}, url = {http://dl.acm.org/citation.cfm?id=1123231}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dgo/ChenCQCXWZA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/human/LeeCLB03, author = {Chung{-}Ho Lee and Liang Chen and Jae{-}dong Lee and Hae{-}Young Bae}, editor = {Chin{-}Wan Chung and Chong{-}kwon Kim and Won Kim and Tok Wang Ling and Kwan Ho Song}, title = {Content Adaptation and Transmission Strategy of Spatial Information for {WWW} and Mobile Applications}, booktitle = {Web Communication Technologies and Internet-Related Social Issues - {HSI} 2003, Second International Conference on Human Society@Internet, Seoul, Korea, June 18-20, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2713}, pages = {12--22}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-45036-X\_2}, doi = {10.1007/3-540-45036-X\_2}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/human/LeeCLB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenCKMWY03, author = {Hongyu Chen and Chung{-}Kuan Cheng and Andrew B. Kahng and Ion I. Mandoiu and Qinke Wang and Bo Yao}, title = {The Y-Architecture for On-Chip Interconnect: Analysis and Methodology}, booktitle = {2003 International Conference on Computer-Aided Design, {ICCAD} 2003, San Jose, CA, USA, November 9-13, 2003}, pages = {13--20}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2003}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCAD.2003.1257579}, doi = {10.1109/ICCAD.2003.1257579}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenCKMWY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ike/LuXCY03, author = {Jianjiang Lu and Baowen Xu and William C. Chu and Hongji Yang}, editor = {Hamid R. Arabnia}, title = {Non-Negative Matrix for Mining Typical user Profiles Factorization}, booktitle = {Proceedings of the International Conference on Information and Knowledge Engineering. IKE'03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, pages = {539--543}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Fri, 10 Oct 2003 10:55:57 +0200}, biburl = {https://dblp.org/rec/conf/ike/LuXCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ike/XuLCY03, author = {Baowen Xu and Jianjiang Lu and William C. Chu and Hongji Yang}, editor = {Hamid R. Arabnia}, title = {Classification Method for Interval Valued Relational Database}, booktitle = {Proceedings of the International Conference on Information and Knowledge Engineering. IKE'03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, pages = {566--570}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Fri, 10 Oct 2003 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ike/XuLCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangLCCC03, author = {Te{-}Hao Chang and Chung{-}Jr Lian and Hong{-}Hui Chen and Jing{-}Ying Chang and Liang{-}Gee Chen}, title = {Effective hardware-oriented technique for the rate control of {JPEG2000} encoding}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {684--687}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206066}, doi = {10.1109/ISCAS.2003.1206066}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangLCCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHDMCCG03, author = {Song Chen and Xianlong Hong and Sheqin Dong and Yuchun Ma and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Evaluating a bounded slice-line grid assignment in O(nlogn) time}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {708--711}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206227}, doi = {10.1109/ISCAS.2003.1206227}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenHDMCCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MaHDCCCG03, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Yici Cai and Song Chen and Chung{-}Kuan Cheng and Jun Gu}, title = {Arbitrary convex and concave rectilinear block packing based on corner block list}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {493--496}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206328}, doi = {10.1109/ISCAS.2003.1206328}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MaHDCCCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/MaHDCCCG03, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Song Chen and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, editor = {Massoud Pedram and Charles J. Alpert}, title = {An integrated floorplanning with an efficient buffer planning algorithm}, booktitle = {Proceedings of the 2003 International Symposium on Physical Design, {ISPD} 2003, Monterey, CA, USA, April 6-9, 2003}, pages = {136--142}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/640000.640031}, doi = {10.1145/640000.640031}, timestamp = {Tue, 06 Nov 2018 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/ispd/MaHDCCCG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LuCFHOYC03, author = {Jiwei Lu and Howard Chen and Rao Fu and Wei{-}Chung Hsu and Bobbie Othmer and Pen{-}Chung Yew and Dong{-}yuan Chen}, title = {The Performance of Runtime Data Cache Prefetching in a Dynamic Optimization System}, booktitle = {Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, CA, USA, December 3-5, 2003}, pages = {180--190}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MICRO.2003.1253194}, doi = {10.1109/MICRO.2003.1253194}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/LuCFHOYC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qsic/ChangHC03, author = {Wen{-}Kui Chang and Shing{-}Kai Hon and William C. Chu}, title = {A Systematic Framework for Evaluating Hyperlink Validity in Web Environments}, booktitle = {3rd International Conference on Quality Software {(QSIC} 2003), 6-7 November 2003, Dallas, TX, {USA}}, pages = {178--185}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/QSIC.2003.1319101}, doi = {10.1109/QSIC.2003.1319101}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/qsic/ChangHC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/ChenXCYZ03, author = {Zhenqiang Chen and Baowen Xu and William C. Chu and Hongji Yang and Jianjun Zhao}, title = {Partial Slicing for Large Programs}, booktitle = {Proceedings of the Fifteenth International Conference on Software Engineering {\&} Knowledge Engineering (SEKE'2003), Hotel Sofitel, San Francisco Bay, CA, USA, July 1-3, 2003}, pages = {204--207}, year = {2003}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/ChenXCYZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/serp/XuNSCYC03, author = {Baowen Xu and Changhai Nie and Liang Shi and William C. Chu and Hongji Yang and Huowang Chen}, editor = {Ban Al{-}Ani and Hamid R. Arabnia and Youngsong Mun}, title = {Test Plan Design for Software Configuration Testing}, booktitle = {Proceedings of the International Conference on Software Engineering Research and Practice, {SERP} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, pages = {686--692}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Tue, 14 Oct 2003 13:56:57 +0200}, biburl = {https://dblp.org/rec/conf/serp/XuNSCYC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/ChenCKMW03, author = {Hongyu Chen and Chung{-}Kuan Cheng and Andrew B. Kahng and Ion I. Mandoiu and Qinke Wang}, editor = {Dennis Sylvester and Dirk Stroobandt and Louis Scheffer and Payman Zarkesh{-}Ha}, title = {Estimation of wirelength reduction for lambda-geometry vs. manhattan placement and routing}, booktitle = {The 5th International Workshop on System-Level Interconnect Prediction {(SLIP} 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings}, pages = {71--76}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/639929.639944}, doi = {10.1145/639929.639944}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/ChenCKMW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnvo/YenCCC02, author = {David C. Yen and David C. Chou and Tim Chen and Houn{-}Gee Chen}, title = {Becoming a virtual organisation: a strategic approach}, journal = {Int. J. Netw. Virtual Organisations}, volume = {1}, number = {2}, pages = {184--198}, year = {2002}, url = {https://doi.org/10.1504/IJNVO.2002.002545}, doi = {10.1504/IJNVO.2002.002545}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijnvo/YenCCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/ChangHD02, author = {Horng{-}Jinh Chang and Cheng{-}Hsing Hung and Chung{-}Yuan Dye}, title = {A finite time horizon inventory model with deterioration and time-value of money under the conditions of permissible delay in payments}, journal = {Int. J. Syst. Sci.}, volume = {33}, number = {2}, pages = {141--151}, year = {2002}, url = {https://doi.org/10.1080/00207720110091460}, doi = {10.1080/00207720110091460}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/ChangHD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/DongZHCGC02, author = {Sheqin Dong and Shuo Zhou and Xianlong Hong and Chung{-}Kuan Cheng and Jun Gu and Yici Cai}, title = {An Optimum Placement Search Algorithm Based on Extended Corner Block List}, journal = {J. Comput. Sci. Technol.}, volume = {17}, number = {6}, pages = {699--707}, year = {2002}, url = {https://doi.org/10.1007/BF02960760}, doi = {10.1007/BF02960760}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/DongZHCGC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/TengCDH02, author = {Jinn{-}Tsair Teng and Horng{-}Jinh Chang and Chung{-}Yuan Dye and Cheng{-}Hsing Hung}, title = {An optimal replenishment policy for deteriorating items with time-varying demand and partial backlogging}, journal = {Oper. Res. Lett.}, volume = {30}, number = {6}, pages = {387--393}, year = {2002}, url = {https://doi.org/10.1016/S0167-6377(02)00150-5}, doi = {10.1016/S0167-6377(02)00150-5}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/TengCDH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/KonstantinidesC02, author = {Konstantinos Konstantinides and Cheng{-}Tie Chen and Ting{-}Chung Chen and Hown Cheng and Fure{-}Ching Jeng}, title = {Design of an {MPEG-2} codec}, journal = {{IEEE} Signal Process. Mag.}, volume = {19}, number = {4}, pages = {32--41}, year = {2002}, url = {https://doi.org/10.1109/MSP.2002.1012348}, doi = {10.1109/MSP.2002.1012348}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spm/KonstantinidesC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEinteract/HsuCYC02, author = {Wei{-}Chung Hsu and Howard Chen and Pen{-}Chung Yew and Dong{-}yuan Chen}, title = {On the Predictability of Program Behavior Using Different Input Data Sets}, booktitle = {6th Annual Workshop on Interaction between Compilers and Computer Architecture {(INTERACT-6} 2002), 3 February 2002, Boston, MA, {USA}}, pages = {45--53}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/INTERA.2002.995842}, doi = {10.1109/INTERA.2002.995842}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEinteract/HsuCYC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChenYZC02, author = {Hongyu Chen and Bo Yao and Feng Zhou and Chung{-}Kuan Cheng}, title = {Physical Planning Of On-Chip Interconnect Architectures}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, pages = {30--35}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICCD.2002.1106743}, doi = {10.1109/ICCD.2002.1106743}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/ChenYZC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce/LiuLHH02, author = {Chen{-}Chung Liu and Baw{-}Jhiune Liu and Tzu{-}An Hui and Jorng{-}Tzong Horng}, title = {Web Based Peer Assessment Using Knowledge Acquisition Techniques: Tools for Supporting Contexture Awareness}, booktitle = {International Conference on Computers in Education, {ICCE} 2002, Auckland, New Zealand, December 3-6, 2002, Volume 2}, pages = {1338--1339}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/CIE.2002.1186239}, doi = {10.1109/CIE.2002.1186239}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce/LiuLHH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icecsys/TsaiHDCWC02, author = {Fu{-}Kai Tsai and Hong{-}Yi Huang and Li{-}Kuo Dai and Cheng{-}Der Chiang and Ping{-}Kuo Weng and Yung{-}Chung Chin}, title = {A time-delay-integration {CMOS} readout circuit for {IR} scanning}, booktitle = {Proceedings of the 2002 9th {IEEE} International Conference on Electronics, Circuits and Systems, {ICECS} 2002, Dubrovnik, Croatia, September 15-18, 2002}, pages = {347--350}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICECS.2002.1045405}, doi = {10.1109/ICECS.2002.1045405}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icecsys/TsaiHDCWC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChangCLCC02, author = {Te{-}Hao Chang and Li{-}Lin Chen and Chung{-}Jr Lian and Hong{-}Hui Chen and Liang{-}Gee Chen}, title = {Computation reduction technique for lossy {JPEG2000} encoding through {EBCOT} Tier-2 feedback processing}, booktitle = {Proceedings of the 2002 International Conference on Image Processing, {ICIP} 2002, Rochester, New York, USA, September 22-25, 2002}, pages = {85--88}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICIP.2002.1038910}, doi = {10.1109/ICIP.2002.1038910}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChangCLCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLCC02, author = {Hong{-}Hui Chen and Chung{-}Jr Lian and Te{-}Hao Chang and Liang{-}Gee Chen}, title = {Analysis of {EBCOT} decoding algorithm and its {VLSI} implementation for {JPEG} 2000}, booktitle = {Proceedings of the 2002 International Symposium on Circuits and Systems, {ISCAS} 2002, Scottsdale, Arizona, USA, May 26-29, 2002}, pages = {329--332}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ISCAS.2002.1010457}, doi = {10.1109/ISCAS.2002.1010457}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLCC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slip/ChenQZC02, author = {Hongyu Chen and Changge Qiao and Feng Zhou and Chung{-}Kuan Cheng}, title = {Refined single trunk tree: a rectilinear steiner tree generator for interconnect prediction}, booktitle = {The Fourth {IEEE/ACM} International Workshop on System-Level Interconnect Prediction {(SLIP} 2002), April 6-7, 2002, San Diego, California, USA, Proceedings}, pages = {85--89}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/505348.505366}, doi = {10.1145/505348.505366}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slip/ChenQZC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MaHDCCG02, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Stairway Compaction using Corner Block List and Its Applications with Rectilinear Blocks}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {387--392}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994952}, doi = {10.1109/ASPDAC.2002.994952}, timestamp = {Mon, 14 Nov 2022 15:28:09 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/MaHDCCG02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LinCCH01, author = {Chung{-}Chih Lin and Heng{-}Shuen Chen and Ching{-}Yu Chen and Sheng{-}Mou Hou}, title = {Implementation and evaluation of a multifunctional telemedicine system in {NTUH}}, journal = {Int. J. Medical Informatics}, volume = {61}, number = {2-3}, pages = {175--187}, year = {2001}, url = {https://doi.org/10.1016/S1386-5056(01)00140-X}, doi = {10.1016/S1386-5056(01)00140-X}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LinCCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/integration/MaHDCCG01, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Floorplanning with abutment constraints based on corner block list}, journal = {Integr.}, volume = {31}, number = {1}, pages = {65--77}, year = {2001}, url = {https://doi.org/10.1016/S0167-9260(01)00022-0}, doi = {10.1016/S0167-9260(01)00022-0}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/integration/MaHDCCG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ShiehSCL01, author = {Ming{-}Der Shieh and Ming{-}Hwa Sheu and Chung{-}Ho Chen and Hsin{-}Fu Lo}, title = {A Systematic Approach for Parallel {CRC} Computations}, journal = {J. Inf. Sci. Eng.}, volume = {17}, number = {3}, pages = {445--461}, year = {2001}, url = {http://www.iis.sinica.edu.tw/page/jise/2001/200105\_06.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ShiehSCL01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/WuHW01, author = {Chung{-}Hsien Wu and Jin{-}Hua Hong and Cheng{-}Wen Wu}, title = {{VLSI} Design of {RSA} Cryptosystem Based on the Chinese Remainder Theorem}, journal = {J. Inf. Sci. Eng.}, volume = {17}, number = {6}, pages = {967--980}, year = {2001}, url = {http://www.iis.sinica.edu.tw/page/jise/2001/200111\_07.html}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/WuHW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KerCWW01, author = {Ming{-}Dou Ker and Tung{-}Yang Chen and Tai{-}Ho Wang and Chung{-}Yu Wu}, title = {On-chip {ESD} protection design by using polysilicon diodes in {CMOS} process}, journal = {{IEEE} J. Solid State Circuits}, volume = {36}, number = {4}, pages = {676--686}, year = {2001}, url = {https://doi.org/10.1109/4.913746}, doi = {10.1109/4.913746}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KerCWW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/smr/LiYC01, author = {Yang Li and Hongji Yang and William C. Chu}, title = {A concept-oriented belief revision approach to domain knowledge recovery from source code}, journal = {J. Softw. Maintenance Res. Pract.}, volume = {13}, number = {1}, pages = {31--52}, year = {2001}, url = {https://doi.org/10.1002/smr.221}, doi = {10.1002/SMR.221}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/smr/LiYC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenHC01, author = {Ming{-}Chih Chen and Ing{-}Jer Huang and Chung{-}Ho Chen}, editor = {Satoshi Goto}, title = {Parameterized {MAC} unit implementation}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {23--24}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370222}, doi = {10.1145/370155.370222}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenHC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MaDHCCG01, author = {Yuchun Ma and Sheqin Dong and Xianlong Hong and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, editor = {Satoshi Goto}, title = {{VLSI} floorplanning with boundary constraints based on corner block list}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {509--514}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370521}, doi = {10.1145/370155.370521}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/MaDHCCG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/WuHW01, author = {Chung{-}Hsien Wu and Jin{-}Hua Hong and Cheng{-}Wen Wu}, editor = {Satoshi Goto}, title = {{RSA} cryptosystem design based on the Chinese remainder theorem}, booktitle = {Proceedings of {ASP-DAC} 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan}, pages = {391--395}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/370155.370419}, doi = {10.1145/370155.370419}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/WuHW01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/BaowenZYC01, author = {Baowen Xu and Weifeng Zhang and Hongji Yang and William C. Chu}, title = {A Rough Set Based Self-Adaptive Web Search Engine}, booktitle = {25th International Computer Software and Applications Conference {(COMPSAC} 2001), Invigorating Software Development, 8-12 October 2001, Chicago, IL, {USA}}, pages = {377--382}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/CMPSAC.2001.960642}, doi = {10.1109/CMPSAC.2001.960642}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/BaowenZYC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/ChuCLY01, author = {William C. Chu and Juei{-}Nan Chen and Chun{-}Yuan Lee and Hongji Yang}, title = {Implementing an Agent System Using N-tier Pattern-Based Framework}, booktitle = {25th International Computer Software and Applications Conference {(COMPSAC} 2001), Invigorating Software Development, 8-12 October 2001, Chicago, IL, {USA}}, pages = {451}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/CMPSAC.2001.960652}, doi = {10.1109/CMPSAC.2001.960652}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/ChuCLY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/MaHDCCG01, author = {Yuchun Ma and Xianlong Hong and Sheqin Dong and Yici Cai and Chung{-}Kuan Cheng and Jun Gu}, title = {Floorplanning with Abutment Constraints and L-Shaped/T-Shaped Blocks based on Corner Block List}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {770--775}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379063}, doi = {10.1145/378239.379063}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/MaHDCCG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftdcs/LinHJC01, author = {Jim{-}Min Lin and Zen{-}Wei Hong and Hewijin Christine Jiau and William C. Chu}, title = {A Design Pattern for Reengineering Windows Software Applications into Reusable {CORBA} Objects}, booktitle = {8th {IEEE} Workshop on Future Trends of Distributed Computer Systems {(FTDCS} 2001), 31 October, 2 November 2001, Bologna, Italy, Proceedings}, pages = {215--221}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/FTDCS.2001.969644}, doi = {10.1109/FTDCS.2001.969644}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ftdcs/LinHJC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenCJCK01, author = {Cheng{-}Tie Chen and Ting{-}Chung Chen and Fure{-}Ching Jeng and Hown Cheng and Konstantinos Konstantinides}, title = {A single-chip {MPEG-2} MP@ML audio/video encoder/decoder with a programmable video interface unit}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, pages = {941--944}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICASSP.2001.941071}, doi = {10.1109/ICASSP.2001.941071}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChenCJCK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HungCHC01, author = {Jui{-}Chung Hung and Bor{-}Sen Chen and Wen{-}Sheng Hou and Li{-}Mei Chen}, title = {Spectral estimation under nature missing data}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, pages = {3061--3064}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICASSP.2001.940304}, doi = {10.1109/ICASSP.2001.940304}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/HungCHC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WuHCCGD01, author = {Xiaohai Wu and Xianlong Hong and Yici Cai and Chung{-}Kuan Cheng and Jun Gu and Wayne Wei{-}Ming Dai}, editor = {Rolf Ernst}, title = {Area Minimization of Power Distribution Network Using Efficient Nonlinear Programming Techniques}, booktitle = {Proceedings of the 2001 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2001, San Jose, CA, USA, November 4-8, 2001}, pages = {153--157}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCAD.2001.968612}, doi = {10.1109/ICCAD.2001.968612}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WuHCCGD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenLCC01, author = {Liang{-}Gee Chen and Chung{-}Jr Lian and Kuanfu Chen and Hong{-}Hui Chen}, title = {Analysis and Architecture Design of {JPEG2000}}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Multimedia and Expo, {ICME} 2001, August 22-25, 2001, Tokyo, Japan}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICME.2001.1237693}, doi = {10.1109/ICME.2001.1237693}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChenLCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenLCC01, author = {Kuanfu Chen and Chung{-}Jr Lian and Hong{-}Hui Chen and Liang{-}Gee Chen}, title = {Analysis and architecture design of {EBCOT} for {JPEG-2000}}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {765--768}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.921183}, doi = {10.1109/ISCAS.2001.921183}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChenLCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LianCCC01, author = {Chung{-}Jr Lian and Kuanfu Chen and Hong{-}Hui Chen and Liang{-}Gee Chen}, title = {Lifting based discrete wavelet transform architecture for {JPEG2000}}, booktitle = {Proceedings of the 2001 International Symposium on Circuits and Systems, {ISCAS} 2001, Sydney, Australia, May 6-9, 2001}, pages = {445--448}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ISCAS.2001.921103}, doi = {10.1109/ISCAS.2001.921103}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LianCCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/YaoCCG01, author = {Bo Yao and Hongyu Chen and Chung{-}Kuan Cheng and Ronald L. Graham}, editor = {Sachin S. Sapatnekar and Manfred Wiesel}, title = {Revisiting floorplan representations}, booktitle = {Proceedings of the 2001 International Symposium on Physical Design, {ISPD} 2001, Sonoma County, CA, USA, April 1-4, 2001}, pages = {138--143}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/369691.369753}, doi = {10.1145/369691.369753}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/YaoCCG01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/ChenLGCH01, author = {Heng{-}Shuen Chen and Chung{-}Chih Lin and Fei{-}Ran Guo and Ching{-}Yu Chen and Sheng{-}Mou Hou}, editor = {Vimla L. Patel and Ray Rogers and Reinhold Haux}, title = {Virtual Medical School: {A} Distributed Medical Education Network}, booktitle = {{MEDINFO} 2001 - Proceedings of the 10th World Congress on Medical Informatics, September 2-5, 2001, London, {UK}}, series = {Studies in Health Technology and Informatics}, volume = {84}, pages = {1082}, publisher = {{IOS} Press}, year = {2001}, url = {https://doi.org/10.3233/978-1-60750-928-8-1082}, doi = {10.3233/978-1-60750-928-8-1082}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/ChenLGCH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.