default search action
Search dblp for Publications
export results for "Chi-Yeh Chen"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/cphysics/IskakovYPYZHAWWACGZ25, author = {Sergei Iskakov and Chia{-}Nan Yeh and Pavel Pokhilko and Yang Yu and Lei Zhang and Gaurav Harsha and Vibin Abraham and Ming Wen and Munkhorgil Wang and Jacob Adamski and Tianran Chen and Emanuel Gull and Dominika Zgid}, title = {Green/WeakCoupling: Implementation of fully self-consistent finite-temperature many-body perturbation theory for molecules and solids}, journal = {Comput. Phys. Commun.}, volume = {306}, pages = {109380}, year = {2025}, url = {https://doi.org/10.1016/j.cpc.2024.109380}, doi = {10.1016/J.CPC.2024.109380}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/IskakovYPYZHAWWACGZ25.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LaiHWWPYL24, author = {Hsiang{-}Yueh Lai and Chia{-}Chieh Hu and Chia{-}Hung Wen and Jian{-}Xing Wu and Neng{-}Sheng Pai and Cheng{-}Yu Yeh and Chia{-}Hung Lin}, title = {Mel-Scale Frequency Extraction and Classification of Dialect-Speech Signals With 1D {CNN} Based Classifier for Gender and Region Recognition}, journal = {{IEEE} Access}, volume = {12}, pages = {102962--102976}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3430296}, doi = {10.1109/ACCESS.2024.3430296}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LaiHWWPYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/ChenYWYCYL24, author = {Ivane Delos Santos Chen and Chieh{-}Ming Yang and Shang{-}Shu Wu and Chih{-}Kang Yang and Mei{-}Juan Chen and Chia{-}Hung Yeh and Yuan{-}Hong Lin}, title = {Continuous Recognition of Teachers' Hand Signals for Students with Attention Deficits}, journal = {Algorithms}, volume = {17}, number = {7}, pages = {300}, year = {2024}, url = {https://doi.org/10.3390/a17070300}, doi = {10.3390/A17070300}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/ChenYWYCYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/YehYCK24, author = {Chia{-}Hung Yeh and Hsin{-}Fu Yang and Mei{-}Juan Chen and Li{-}Wei Kang}, title = {Image inpainting based on GAN-driven structure- and texture-aware learning with application to object removal}, journal = {Appl. Soft Comput.}, volume = {161}, pages = {111748}, year = {2024}, url = {https://doi.org/10.1016/j.asoc.2024.111748}, doi = {10.1016/J.ASOC.2024.111748}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/YehYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChenYTTYLLLWYCHHCCW24, author = {Pey{-}Yu Chen and Ta{-}Wei Yang and Yi{-}Shan Tseng and Cheng{-}Yu Tsai and Chiung{-}Szu Yeh and Yen{-}Hui Lee and Pei{-}Hsuan Lin and Ting{-}Chun Lin and Yu{-}Jen Wu and Ting{-}Hua Yang and Yu{-}Ting Chiang and Jacob Shujui Hsu and Chuan{-}Jen Hsu and Pei{-}Lung Chen and Cheng{-}Fu Chou and Chen{-}Chi Wu}, title = {Machine learning-based longitudinal prediction for GJB2-related sensorineural hearing loss}, journal = {Comput. Biol. Medicine}, volume = {176}, pages = {108597}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108597}, doi = {10.1016/J.COMPBIOMED.2024.108597}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChenYTTYLLLWYCHHCCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/HuangYLCCT24, author = {Tzu{-}Ling Huang and Jin{-}Rong Yeh and Gen{-}Yih Liao and T. C. E. Cheng and Yan{-}Cheng Chang and Ching{-}I Teng}, title = {How does escapism foster game experience and game use?}, journal = {Decis. Support Syst.}, volume = {181}, pages = {114207}, year = {2024}, url = {https://doi.org/10.1016/j.dss.2024.114207}, doi = {10.1016/J.DSS.2024.114207}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dss/HuangYLCCT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/ZhongCLCKC24, author = {Hua{-}Xu Zhong and Jui{-}Hung Chang and Chin{-}Feng Lai and Pei{-}Wen Chen and Shang{-}Hsuan Ku and Shih{-}Yeh Chen}, title = {Information undergraduate and non-information undergraduate on an artificial intelligence learning platform: an artificial intelligence assessment model using {PLS-SEM} analysis}, journal = {Educ. Inf. Technol.}, volume = {29}, number = {4}, pages = {4371--4400}, year = {2024}, url = {https://doi.org/10.1007/s10639-023-11961-9}, doi = {10.1007/S10639-023-11961-9}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/ZhongCLCKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/YangCWYC24, author = {Cheng{-}Hong Yang and Po{-}Hung Chen and Chih{-}Hsien Wu and Cheng{-}San Yang and Li{-}Yeh Chuang}, title = {Deep learning-based air pollution analysis on carbon monoxide in Taiwan}, journal = {Ecol. Informatics}, volume = {80}, pages = {102477}, year = {2024}, url = {https://doi.org/10.1016/j.ecoinf.2024.102477}, doi = {10.1016/J.ECOINF.2024.102477}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/YangCWYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/LaiCCHC24, author = {Ying{-}Hsun Lai and Shih{-}Yeh Chen and Wen{-}Chi Chou and Hua{-}Yang Hsu and Han{-}Chieh Chao}, title = {Personalized Federated Learning with Adaptive Feature Extraction and Category Prediction in Non-IID Datasets}, journal = {Future Internet}, volume = {16}, number = {3}, pages = {95}, year = {2024}, url = {https://doi.org/10.3390/fi16030095}, doi = {10.3390/FI16030095}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fi/LaiCCHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/YehTCW24, author = {Ting{-}Ju Yeh and Wen{-}Chiao Tsai and Chi{-}Wei Chen and An{-}Yeu Wu}, title = {Enhanced-GNN With Angular {CSI} for Beamforming Design in IRS-Assisted mmWave Communication Systems}, journal = {{IEEE} Commun. Lett.}, volume = {28}, number = {4}, pages = {827--831}, year = {2024}, url = {https://doi.org/10.1109/LCOMM.2024.3363450}, doi = {10.1109/LCOMM.2024.3363450}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/YehTCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/ChenHL24, author = {Shih{-}Yeh Chen and Qi{-}Fong He and Chin{-}Feng Lai}, title = {Deep Reinforcement Learning-Based Robot Exploration for Constructing Map of Unknown Environment}, journal = {Inf. Syst. Frontiers}, volume = {26}, number = {1}, pages = {63--74}, year = {2024}, url = {https://doi.org/10.1007/s10796-021-10218-5}, doi = {10.1007/S10796-021-10218-5}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/ChenHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/HungTCCY24, author = {Shin{-}Yuan Hung and Jacob Chia{-}An Tsai and Kuanchin Chen and Charlie Chen and Ting{-}Ting Yeh}, title = {Tacit knowledge sharing in information systems development projects: social interdependence and regulatory focus perspectives}, journal = {Inf. Technol. People}, volume = {37}, number = {4}, pages = {1449--1477}, year = {2024}, url = {https://doi.org/10.1108/ITP-08-2022-0587}, doi = {10.1108/ITP-08-2022-0587}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itp/HungTCCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lht/ChenSKLH24, author = {Shih{-}Yeh Chen and Yu{-}Sheng Su and Ya{-}Yuan Ku and Chin{-}Feng Lai and Kuo{-}Lun Hsiao}, title = {Exploring the factors of students' intention to participate in {AI} software development}, journal = {Libr. Hi Tech}, volume = {42}, number = {2}, pages = {392--408}, year = {2024}, url = {https://doi.org/10.1108/LHT-12-2021-0480}, doi = {10.1108/LHT-12-2021-0480}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lht/ChenSKLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LinCJSWYCFHL24, author = {Ching{-}Heng Lin and Yi{-}An Chen and Jiann{-}Shing Jeng and Yu Sun and Cheng{-}Yu Wei and Po{-}Yen Yeh and Wei{-}Lun Chang and Yang C. Fann and Kai{-}Cheng Hsu and Jiunn{-}Tay Lee}, title = {Predicting ischemic stroke patients' prognosis changes using machine learning in a nationwide stroke registry}, journal = {Medical Biol. Eng. Comput.}, volume = {62}, number = {8}, pages = {2343--2354}, year = {2024}, url = {https://doi.org/10.1007/s11517-024-03073-4}, doi = {10.1007/S11517-024-03073-4}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/LinCJSWYCFHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MingZDLHTY24, author = {Min Ming and Jingyi Zhang and Huizong Duan and Zhu Li and Xiangqing Huang and Liang Cheng Tu and Hsien{-}Chi Yeh}, title = {Study on {TPD} Phasemeter to Suppress Low-Frequency Amplitude Fluctuation and Improve Fast-Acquiring Range for {GW} Detection}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3434}, year = {2024}, url = {https://doi.org/10.3390/s24113434}, doi = {10.3390/S24113434}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MingZDLHTY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehLH24, author = {Chia{-}Hung Yeh and Chen Lo and Cheng{-}Han He}, title = {Multibranch Wavelet-Based Network for Image Demoir{\'{e}}ing}, journal = {Sensors}, volume = {24}, number = {9}, pages = {2762}, year = {2024}, url = {https://doi.org/10.3390/s24092762}, doi = {10.3390/S24092762}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YehLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehYHLKML24, author = {Ming{-}Chia Yeh and Wen{-}Wen Yang and Yu{-}Hsuan Hung and Ya{-}Chen Liu and Jung{-}Tang Kung and Hsi{-}Pin Ma and Chiang Liu}, title = {Using a Sensor-Embedded Baseball to Identify Finger Characteristics Related to Spin Rate and Pitching Velocity in Pitchers}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3523}, year = {2024}, url = {https://doi.org/10.3390/s24113523}, doi = {10.3390/S24113523}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YehYHLKML24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LoWWTYTL24, author = {Yun{-}Chen Lo and Jun{-}Shen Wu and Chia{-}Chun Wang and Yu{-}Chih Tsai and Chih{-}Chen Yeh and Wen{-}Chien Ting and Ren{-}Shuo Liu}, title = {{ISSA:} Architecting {CNN} Accelerators Using Input-Skippable, Set-Associative Computing-in-Memory}, journal = {{IEEE} Trans. Computers}, volume = {73}, number = {9}, pages = {2136--2149}, year = {2024}, url = {https://doi.org/10.1109/TC.2024.3404060}, doi = {10.1109/TC.2024.3404060}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LoWWTYTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/Chen24, author = {Chi{-}Yeh Chen}, title = {Efficient Approximation Algorithms for Scheduling Coflows With Total Weighted Completion Time in Identical Parallel Networks}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {12}, number = {1}, pages = {116--129}, year = {2024}, url = {https://doi.org/10.1109/TCC.2023.3340729}, doi = {10.1109/TCC.2023.3340729}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/Chen24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/LeeJYCCS24, author = {Boyi Lee and Jhao{-}Yin Jhang and Lo{-}Yao Yeh and Ming{-}Yi Chang and Chia{-}Mei Chen and Chih{-}Ya Shen}, title = {Detecting Targets of Graph Adversarial Attacks With Edge and Feature Perturbations}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {3}, pages = {3218--3231}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3344642}, doi = {10.1109/TCSS.2023.3344642}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/LeeJYCCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/TsengZCYC24, author = {Fan{-}Hsun Tseng and Jiang{-}Yi Zeng and Hsin{-}Hung Cho and Kuo{-}Hui Yeh and Chi{-}Yuan Chen}, title = {Detecting Adversarial Examples of Fake News via the Neurons Activation State}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {4}, pages = {5199--5209}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3293718}, doi = {10.1109/TCSS.2023.3293718}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/TsengZCYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/WuCY24, author = {Chia{-}Chi Wu and Yen{-}Liang Chen and Yi{-}Hsin Yeh}, title = {A Deep Recommendation Model Considering the Impact of Time and Individual Diversity}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {2}, pages = {2558--2569}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3272633}, doi = {10.1109/TCSS.2023.3272633}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/WuCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/MeiXCC24, author = {Qian Mei and Hu Xiong and Yeh{-}Cheng Chen and Chien{-}Ming Chen}, title = {Blockchain-Enabled Privacy-Preserving Authentication Mechanism for Transportation {CPS} With Cloud-Edge Computing}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {12463--12474}, year = {2024}, url = {https://doi.org/10.1109/TEM.2022.3159311}, doi = {10.1109/TEM.2022.3159311}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/MeiXCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/JuangCL24, author = {Chia{-}Feng Juang and Yun{-}Wei Cheng and Yeh{-}Ming Lin}, title = {Visually Interpretable Fuzzy Neural Classification Network With Deep Convolutional Feature Maps}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {32}, number = {3}, pages = {1063--1077}, year = {2024}, url = {https://doi.org/10.1109/TFUZZ.2023.3318086}, doi = {10.1109/TFUZZ.2023.3318086}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/JuangCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tlt/ChenCYYWT24, author = {Chih{-}Hsuan Chen and Chia{-}Ru Chung and Hsuan{-}Yu Yang and Shih{-}Ching Yeh and Eric Hsiao{-}Kuang Wu and Hsin{-}Jung Ting}, title = {Virtual-Reality-Based Supermarket for Intellectual Disability Classification, Diagnostics, and Assessment}, journal = {{IEEE} Trans. Learn. Technol.}, volume = {17}, pages = {404--412}, year = {2024}, url = {https://doi.org/10.1109/TLT.2023.3261314}, doi = {10.1109/TLT.2023.3261314}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tlt/ChenCYYWT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChenLLYL24, author = {Kuan{-}Fu Chen and Ming{-}Chun Lee and Chia{-}Hung Lin and Wan{-}Chi Yeh and Ta{-}Sung Lee}, title = {Multi-Fault and Severity Diagnosis for Self-Organizing Networks Using Deep Supervised Learning and Unsupervised Transfer Learning}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {23}, number = {1}, pages = {141--157}, year = {2024}, url = {https://doi.org/10.1109/TWC.2023.3276313}, doi = {10.1109/TWC.2023.3276313}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ChenLLYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChengYCPC24, author = {Shu{-}Ling Cheng and Chin{-}Yuan Yeh and Ting{-}An Chen and Eliana Pastor and Ming{-}Syan Chen}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {FedGCR: Achieving Performance and Fairness for Federated Learning with Distinct Client Types via Group Customization and Reweighting}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {11498--11506}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i10.29031}, doi = {10.1609/AAAI.V38I10.29031}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChengYCPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/HuangCCHLYWYC24, author = {Pei{-}Rong Huang and Chih{-}Hung Chang and Wen{-}Ching Chen and Che{-}Lun Hung and Po{-}Yu Liu and Ting{-}Kuang Yeh and Hsiu{-}Wen Wang and Yu{-}Chun Yen and William Cheng{-}Chung Chu}, editor = {Hossain Shahriar and Hiroyuki Ohsaki and Moushumi Sharmin and Dave Towey and A. K. M. Jahangir Alam Majumder and Yoshiaki Hori and Ji{-}Jiang Yang and Michiharu Takemoto and Nazmus Sakib and Ryohei Banno and Sheikh Iqbal Ahamed}, title = {By Machine Learning Techniques Predicting Post-COVID-19 Condition}, booktitle = {48th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2024, Osaka, Japan, July 2-4, 2024}, pages = {2260--2265}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COMPSAC61105.2024.00363}, doi = {10.1109/COMPSAC61105.2024.00363}, timestamp = {Thu, 05 Sep 2024 13:56:33 +0200}, biburl = {https://dblp.org/rec/conf/compsac/HuangCCHLYWYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/SuCCHYY24, author = {Ting{-}Yu Su and Mei{-}Ling Chiang and Sih{-}Bei Chen and Yun{-}Han Hsu and Hsiao{-}Tung Yang and Run{-}An Yeh}, editor = {Hossain Shahriar and Hiroyuki Ohsaki and Moushumi Sharmin and Dave Towey and A. K. M. Jahangir Alam Majumder and Yoshiaki Hori and Ji{-}Jiang Yang and Michiharu Takemoto and Nazmus Sakib and Ryohei Banno and Sheikh Iqbal Ahamed}, title = {A Web-based Visualization System for Operating System Resource Management}, booktitle = {48th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2024, Osaka, Japan, July 2-4, 2024}, pages = {1580--1585}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COMPSAC61105.2024.00248}, doi = {10.1109/COMPSAC61105.2024.00248}, timestamp = {Thu, 05 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/SuCCHYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/HeYL24, author = {Cheng{-}Han He and Chia{-}Hung Yeh and Chen Lo}, title = {Self-Supervised Transmission-Guided Network for Underwater Image Enhancement}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457154}, doi = {10.1109/ICEIC61013.2024.10457154}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/HeYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShihYC24, author = {Tsu{-}Hsien Shih and Chin{-}Yuan Yeh and Ming{-}Syan Chen}, title = {Does Audio Deepfake Detection Rely on Artifacts?}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {12446--12450}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446558}, doi = {10.1109/ICASSP48485.2024.10446558}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShihYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YehSZRRGW24, author = {Cheng{-}chieh Yeh and Amirreza Shirani and Weicheng Zhang and Tuomo Raitio and Ramya Rasipuram and Ladan Golipour and David Winarsky}, title = {Dialog Modeling in Audiobook Synthesis}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {13341--13345}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446806}, doi = {10.1109/ICASSP48485.2024.10446806}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YehSZRRGW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/TsaiYHHL24, author = {Yi{-}Shian Tsai and Pin{-}Chun Yeh and Chun{-}Hung Huang and I{-}Cheng Hsueh and Chao{-}Chieh Lan}, title = {A Force-Controlled Gripper Capable of Measuring Mechanical Properties of an Object}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {1929--1935}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10610496}, doi = {10.1109/ICRA57147.2024.10610496}, timestamp = {Mon, 19 Aug 2024 15:58:53 +0200}, biburl = {https://dblp.org/rec/conf/icra/TsaiYHHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/ChienSBYCLLCZGRDHBL24, author = {Wei{-}Chih Chien and C. L. Sung and Robert L. Bruce and C. W. Yeh and Huai{-}Yu Cheng and Z. L. Liu and E. K. Lai and C. W. Cheng and J. X. Zheng and Alexander Grun and A. Ray and D. Daudelin and H. Y. Ho and Matthew BrightSky and H. L. Lung}, title = {A Novel Program-verify Free and Low Drift Multilevel Operation on Cross-point {OTS-PCM} for In-Memory Computing Application}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536964}, doi = {10.1109/IMW59701.2024.10536964}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imw2/ChienSBYCLLCZGRDHBL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/YehFDSLAWC0ZWZ24, author = {Chin{-}Chia Michael Yeh and Yujie Fan and Xin Dai and Uday Singh Saini and Vivian Lai and Prince Osei Aboagye and Junpeng Wang and Huiyuan Chen and Yan Zheng and Zhongfang Zhuang and Liang Wang and Wei Zhang}, editor = {Ricardo Baeza{-}Yates and Francesco Bonchi}, title = {RPMixer: Shaking Up Time Series Forecasting with Random Projections for Large Spatial-Temporal Data}, booktitle = {Proceedings of the 30th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2024, Barcelona, Spain, August 25-29, 2024}, pages = {3919--3930}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3637528.3671881}, doi = {10.1145/3637528.3671881}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/YehFDSLAWC0ZWZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/JianCWWCY24, author = {Yin{-}He Jian and Jian{-}Wen Chen and Chih{-}Chun Wang and Tzu{-}Chieh Wei and Chi{-}Wai Chow and Chien{-}Hung Yeh}, title = {Is Ultra-High Order {QAM} Necessary for Delta-Sigma Modulator in Mobile Front-Haul?}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10527189}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/JianCWWCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/JianWCWCY24, author = {Yin{-}He Jian and Chih{-}Chun Wang and Jian{-}Wen Chen and Tzu{-}Chieh Wei and Chi{-}Wai Chow and Chien{-}Hung Yeh}, title = {Optical Beam Steerable and Beam Dividable of Non-Orthogonal Multiple Access {(NOMA)} Signal with Low-Density Parity-Check {(LDPC)} for Multi-User Optical Wireless Communication System}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526772}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/JianWCWCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcs/ChubachCCCHH24, author = {Olena Chubach and Ching{-}Yeh Chen and Tzu{-}Der Chuang and Yi{-}Wen Chen and Chih{-}Wei Hsu and Yu{-}Wen Huang}, title = {Evaluation of Low Complexity Enhancement Video Codec {(LCEVC)} with {HEVC} and {VVC} on 4K Content}, booktitle = {Picture Coding Symposium, {PCS} 2024, Taichung, Taiwan, June 12-14, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/PCS60826.2024.10566455}, doi = {10.1109/PCS60826.2024.10566455}, timestamp = {Mon, 01 Jul 2024 15:41:45 +0200}, biburl = {https://dblp.org/rec/conf/pcs/ChubachCCCHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/Chen0YL00T24, author = {Huiyuan Chen and Zhe Xu and Chin{-}Chia Michael Yeh and Vivian Lai and Yan Zheng and Minghua Xu and Hanghang Tong}, editor = {Grace Hui Yang and Hongning Wang and Sam Han and Claudia Hauff and Guido Zuccon and Yi Zhang}, title = {Masked Graph Transformer for Large-Scale Recommendation}, booktitle = {Proceedings of the 47th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2024, Washington DC, USA, July 14-18, 2024}, pages = {2502--2506}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626772.3657971}, doi = {10.1145/3626772.3657971}, timestamp = {Sun, 06 Oct 2024 21:14:16 +0200}, biburl = {https://dblp.org/rec/conf/sigir/Chen0YL00T24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03860, author = {Jia{-}Fong Yeh and Kuo{-}Han Hung and Pang{-}Chi Lo and Chi{-}Ming Chung and Tsung{-}Han Wu and Hung{-}Ting Su and Yi{-}Ting Chen and Winston H. Hsu}, title = {{AED:} Adaptable Error Detection for Few-shot Imitation Policy}, journal = {CoRR}, volume = {abs/2402.03860}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03860}, doi = {10.48550/ARXIV.2402.03860}, eprinttype = {arXiv}, eprint = {2402.03860}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03860.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10487, author = {Chin{-}Chia Michael Yeh and Yujie Fan and Xin Dai and Vivian Lai and Prince Osei Aboagye and Junpeng Wang and Huiyuan Chen and Yan Zheng and Zhongfang Zhuang and Liang Wang and Wei Zhang}, title = {Random Projection Layers for Multidimensional Time Series Forecasting}, journal = {CoRR}, volume = {abs/2402.10487}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10487}, doi = {10.48550/ARXIV.2402.10487}, eprinttype = {arXiv}, eprint = {2402.10487}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10487.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-00483, author = {Wei{-}Han Wang and Chin{-}Yuan Yeh and Hsi{-}Wen Chen and De{-}Nian Yang and Ming{-}Syan Chen}, title = {In Anticipation of Perfect Deepfake: Identity-anchored Artifact-agnostic Detection under Rebalanced Deepfake Detection Protocol}, journal = {CoRR}, volume = {abs/2405.00483}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.00483}, doi = {10.48550/ARXIV.2405.00483}, eprinttype = {arXiv}, eprint = {2405.00483}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-00483.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-04028, author = {Huiyuan Chen and Zhe Xu and Chin{-}Chia Michael Yeh and Vivian Lai and Yan Zheng and Minghua Xu and Hanghang Tong}, title = {Masked Graph Transformer for Large-Scale Recommendation}, journal = {CoRR}, volume = {abs/2405.04028}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.04028}, doi = {10.48550/ARXIV.2405.04028}, eprinttype = {arXiv}, eprint = {2405.04028}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-04028.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-06345, author = {Keng{-}Hsin Liao and Chin{-}Yuan Yeh and Hsi{-}Wen Chen and Ming{-}Syan Chen}, title = {Evaluating Adversarial Robustness in the Spatial Frequency Domain}, journal = {CoRR}, volume = {abs/2405.06345}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.06345}, doi = {10.48550/ARXIV.2405.06345}, eprinttype = {arXiv}, eprint = {2405.06345}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-06345.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16545, author = {Kuo{-}Han Hung and Pang{-}Chi Lo and Jia{-}Fong Yeh and Han{-}Yuan Hsu and Yi{-}Ting Chen and Winston H. Hsu}, title = {VICtoR: Learning Hierarchical Vision-Instruction Correlation Rewards for Long-horizon Manipulation}, journal = {CoRR}, volume = {abs/2405.16545}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16545}, doi = {10.48550/ARXIV.2405.16545}, eprinttype = {arXiv}, eprint = {2405.16545}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16545.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-12699, author = {Kuan{-}Chen Wang and You{-}Jin Li and Wei{-}Lun Chen and Yu{-}Wen Chen and Yi{-}Ching Wang and Ping{-}Cheng Yeh and Chao Zhang and Yu Tsao}, title = {Bridging the Gap: Integrating Pre-trained Speech Enhancement and Recognition Models for Robust Speech Recognition}, journal = {CoRR}, volume = {abs/2406.12699}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.12699}, doi = {10.48550/ARXIV.2406.12699}, eprinttype = {arXiv}, eprint = {2406.12699}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-12699.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-01519, author = {Chang{-}Han Yeh and Chin{-}Yang Lin and Zhixiang Wang and Chi{-}Wei Hsiao and Ting{-}Hsuan Chen and Yu{-}Lun Liu}, title = {DiffIR2VR-Zero: Zero-Shot Video Restoration with Diffusion-based Image Restoration Models}, journal = {CoRR}, volume = {abs/2407.01519}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.01519}, doi = {10.48550/ARXIV.2407.01519}, eprinttype = {arXiv}, eprint = {2407.01519}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-01519.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-10180, author = {Cheng{-}Yi Lee and Ching{-}Chia Kao and Cheng{-}Han Yeh and Chun{-}Shien Lu and Chia{-}Mu Yu and Chu{-}Song Chen}, title = {Defending Against Repetitive-based Backdoor Attacks on Semi-supervised Learning through Lens of Rate-Distortion-Perception Trade-off}, journal = {CoRR}, volume = {abs/2407.10180}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.10180}, doi = {10.48550/ARXIV.2407.10180}, eprinttype = {arXiv}, eprint = {2407.10180}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-10180.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-10646, author = {Yu{-}Hua Chen and Yen{-}Tung Yeh and Yuan{-}Chiao Cheng and Jui{-}Te Wu and Yu{-}Hsiang Ho and Jyh{-}Shing Roger Jang and Yi{-}Hsuan Yang}, title = {Towards zero-shot amplifier modeling: One-to-many amplifier modeling via tone embedding control}, journal = {CoRR}, volume = {abs/2407.10646}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.10646}, doi = {10.48550/ARXIV.2407.10646}, eprinttype = {arXiv}, eprint = {2407.10646}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-10646.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-07869, author = {Audrey Der and Chin{-}Chia Michael Yeh and Xin Dai and Huiyuan Chen and Yan Zheng and Yujie Fan and Zhongfang Zhuang and Vivian Lai and Junpeng Wang and Liang Wang and Wei Zhang and Eamonn J. Keogh}, title = {A Systematic Evaluation of Generated Time Series and Their Effects in Self-Supervised Pretraining}, journal = {CoRR}, volume = {abs/2408.07869}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.07869}, doi = {10.48550/ARXIV.2408.07869}, eprinttype = {arXiv}, eprint = {2408.07869}, timestamp = {Mon, 23 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-07869.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-11405, author = {Yen{-}Tung Yeh and Yu{-}Hua Chen and Yuan{-}Chiao Cheng and Jui{-}Te Wu and Jun{-}Jie Fu and Yi{-}Fan Yeh and Yi{-}Hsuan Yang}, title = {{DDSP} Guitar Amp: Interpretable Guitar Amplifier Modeling}, journal = {CoRR}, volume = {abs/2408.11405}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.11405}, doi = {10.48550/ARXIV.2408.11405}, eprinttype = {arXiv}, eprint = {2408.11405}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-11405.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-00349, author = {Hsiang{-}Wei Huang and Jiacheng Sun and Cheng{-}Yen Yang and Zhongyu Jiang and Li{-}Yu Huang and Jenq{-}Neng Hwang and Yu{-}Ching Yeh}, title = {ToddlerAct: {A} Toddler Action Recognition Dataset for Gross Motor Development Assessment}, journal = {CoRR}, volume = {abs/2409.00349}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.00349}, doi = {10.48550/ARXIV.2409.00349}, eprinttype = {arXiv}, eprint = {2409.00349}, timestamp = {Sat, 05 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-00349.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-04837, author = {Hung{-}Ting Su and Ching{-}Yuan Chen and Po{-}Chen Ko and Jia{-}Fong Yeh and Min Sun and Winston H. Hsu}, title = {Context-Aware Replanning with Pre-explored Semantic Map for Object Navigation}, journal = {CoRR}, volume = {abs/2409.04837}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.04837}, doi = {10.48550/ARXIV.2409.04837}, eprinttype = {arXiv}, eprint = {2409.04837}, timestamp = {Wed, 09 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-04837.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-09298, author = {Chin{-}Chia Michael Yeh and Audrey Der and Uday Singh Saini and Vivian Lai and Yan Zheng and Junpeng Wang and Xin Dai and Zhongfang Zhuang and Yujie Fan and Huiyuan Chen and Prince Osei Aboagye and Liang Wang and Wei Zhang and Eamonn J. Keogh}, title = {Matrix Profile for Anomaly Detection on Multidimensional Time Series}, journal = {CoRR}, volume = {abs/2409.09298}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.09298}, doi = {10.48550/ARXIV.2409.09298}, eprinttype = {arXiv}, eprint = {2409.09298}, timestamp = {Sat, 12 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-09298.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCJ23, author = {Jiann{-}Jone Chen and Yeh{-}Guan Chou and Chi{-}Shiun Jiang}, title = {Speed Up {VVC} Intra-Coding by Learned Models and Feature Statistics}, journal = {{IEEE} Access}, volume = {11}, pages = {124609--124623}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3329717}, doi = {10.1109/ACCESS.2023.3329717}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCW23, author = {Shiuan{-}Yeh Chen and Wei{-}Yu Chiu and Chien{-}Feng Wu}, title = {Quality Diversity Optimization Method for Bilinear Matrix Inequality Problems in Control System Design}, journal = {{IEEE} Access}, volume = {11}, pages = {77371--77384}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3294559}, doi = {10.1109/ACCESS.2023.3294559}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HsuYCLWH23, author = {Min{-}Jie Hsu and Po{-}Chao Yeh and Yi{-}Hsing Chien and Cheng{-}Kai Lu and Wei{-}Yen Wang and Chen{-}Chien James Hsu}, title = {A Chinese Calligraphy-Writing Robotic System Based on Image-to-Action Translations and a Hypothesis Generation Net}, journal = {{IEEE} Access}, volume = {11}, pages = {25801--25816}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3252902}, doi = {10.1109/ACCESS.2023.3252902}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HsuYCLWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangKYLT23, author = {San{-}Fu Wang and Che{-}Min Kung and Yeh{-}Chen Yeh and Ching{-}Ran Lee and Wen{-}Tien Tsai}, title = {Ultra-Low Percentage Flicker High-Efficiency Direct {AC} {LED} Driver Using Constant Power Technology}, journal = {{IEEE} Access}, volume = {11}, pages = {97400--97407}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3308197}, doi = {10.1109/ACCESS.2023.3308197}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangKYLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YangCCHC23, author = {Cheng{-}Hong Yang and Wen{-}Ching Chen and Jin{-}Bor Chen and Hsiu{-}Chen Huang and Li{-}Yeh Chuang}, title = {Overall mortality risk analysis for rectal cancer using deep learning-based fuzzy systems}, journal = {Comput. Biol. Medicine}, volume = {157}, pages = {106706}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106706}, doi = {10.1016/J.COMPBIOMED.2023.106706}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YangCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/PanLTCKWCL23, author = {Shih{-}Jie Pan and Kun{-}Chuan Lee and Meng{-}Lin Tsai and Cheng{-}Liang Chen and Heng{-}Shan Kao and Jeffrey D. Ward and I{-}Lung Chien and Hao{-}Yeh Lee}, title = {Improved yellowness index {(YI)} control in {ABS} compounding process through virtual control using an RNN-based neural network soft-sensor model}, journal = {Comput. Chem. Eng.}, volume = {179}, pages = {108443}, year = {2023}, url = {https://doi.org/10.1016/j.compchemeng.2023.108443}, doi = {10.1016/J.COMPCHEMENG.2023.108443}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/PanLTCKWCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YehC23, author = {Chung{-}Huang Yeh and Jwu E. Chen}, title = {Recycling Test Methods to Improve Test Capacity and Increase Chip Shipments}, journal = {{IEEE} Des. Test}, volume = {40}, number = {3}, pages = {45--52}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2022.3221703}, doi = {10.1109/MDAT.2022.3221703}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YehC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChenLWLQYH23, author = {Jeng{-}Wen Chen and Chia{-}Ming Liu and Cheng{-}Yi Wang and Chun{-}Cheng Lin and Kai{-}Yang Qiu and Cheng{-}Yu Yeh and Shaw{-}Hwa Hwang}, title = {A deep neural network-based model for {OSA} severity classification using unsegmented peripheral oxygen saturation signals}, journal = {Eng. Appl. Artif. Intell.}, volume = {122}, pages = {106161}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106161}, doi = {10.1016/J.ENGAPPAI.2023.106161}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/ChenLWLQYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/TongWXHY23, author = {Chenchen Tong and Chen Wang and Manling Xiong and Ching{-}Sheng Huang and Hund{-}Der Yeh}, title = {An analytical and meshless model for 3D transient flow in a confined aquifer with nonuniform thickness: Application to stream depletion due to groundwater extraction}, journal = {Environ. Model. Softw.}, volume = {159}, pages = {105564}, year = {2023}, url = {https://doi.org/10.1016/j.envsoft.2022.105564}, doi = {10.1016/J.ENVSOFT.2022.105564}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/TongWXHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/YehC23, author = {Chung{-}Huang Yeh and Jwu E. Chen}, title = {Multiple Retest Systems for Screening High-Quality Chips}, journal = {J. Electron. Test.}, volume = {39}, number = {2}, pages = {207--225}, year = {2023}, url = {https://doi.org/10.1007/s10836-023-06051-0}, doi = {10.1007/S10836-023-06051-0}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/YehC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/YehYLLH23, author = {Kuan{-}Cheng Yeh and Chia{-}Hsing Yang and Ming{-}Chun Lee and Ta{-}Sung Lee and Hsiang{-}Hsuan Hung}, title = {Parameter Selection and Radar Fusion for Tracking in Roadside Units}, journal = {{IEICE} Trans. Commun.}, volume = {106}, number = {9}, pages = {855--863}, year = {2023}, url = {https://doi.org/10.1587/transcom.2022ebp3146}, doi = {10.1587/TRANSCOM.2022EBP3146}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetb/YehYLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/HuYC23, author = {Chih{-}Chien Hu and Hui{-}Chin Yeh and Nian{-}Shing Chen}, title = {Teacher development in robot and IoT knowledge, skills, and attitudes with the use of the TPACK-based Support-Stimulate-Seek approach}, journal = {Interact. Learn. Environ.}, volume = {31}, number = {9}, pages = {5811--5830}, year = {2023}, url = {https://doi.org/10.1080/10494820.2021.2019058}, doi = {10.1080/10494820.2021.2019058}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ile/HuYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/ChenHL23, author = {Shih{-}Yeh Chen and Qi{-}Fong He and Chin{-}Feng Lai}, title = {Correction to: Deep Reinforcement Learning-Based Robot Exploration for Constructing Map of Unknown Environment}, journal = {Inf. Syst. Frontiers}, volume = {25}, number = {5}, pages = {2115}, year = {2023}, url = {https://doi.org/10.1007/s10796-022-10303-3}, doi = {10.1007/S10796-022-10303-3}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/ChenHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/JiangLCYH23, author = {Yunzhi Jiang and Zhenyao Liu and Jen{-}Hsuan Chen and Wei{-}Chang Yeh and Chia{-}Ling Huang}, title = {A novel binary-addition simplified swarm optimization for generalized reliability redundancy allocation problem}, journal = {J. Comput. Des. Eng.}, volume = {10}, number = {2}, pages = {758--772}, year = {2023}, url = {https://doi.org/10.1093/jcde/qwad021}, doi = {10.1093/JCDE/QWAD021}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/JiangLCYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Chen23, author = {Chi{-}Yeh Chen}, title = {Scheduling coflows for minimizing the total weighted completion time in heterogeneous parallel networks}, journal = {J. Parallel Distributed Comput.}, volume = {182}, pages = {104752}, year = {2023}, url = {https://doi.org/10.1016/j.jpdc.2023.104752}, doi = {10.1016/J.JPDC.2023.104752}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/Chen23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/WangYTCS23, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Yi{-}Hsuan Tsai and Wei{-}Chen Chiu and Min Sun}, title = {BiFuse++: Self-Supervised and Efficient Bi-Projection Fusion for 360{\textdegree} Depth Estimation}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {5}, pages = {5448--5460}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2022.3203516}, doi = {10.1109/TPAMI.2022.3203516}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/WangYTCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rptel/LooiWKCSCWLYCCC23, author = {Chee{-}Kit Looi and Su Luan Wong and Siu{-}Cheung Kong and Tak{-}Wai Chan and Ju{-}Ling Shih and Ben Chang and Ying{-}Tien Wu and Chen{-}Chung Liu and Charles Y. C. Yeh and Zhi{-}Hong Chen and Tzu{-}Chao Chien and Chih{-}Yueh Chou and Hui{-}Chun Hung and Hercy N. H. Cheng and Calvin C. Y. Liao}, title = {Interest-Driven Creator Theory: case study of embodiment in an experimental school in Taiwan}, journal = {Res. Pract. Technol. Enhanc. Learn.}, volume = {18}, pages = {23}, year = {2023}, url = {https://doi.org/10.58459/rptel.2023.18023}, doi = {10.58459/RPTEL.2023.18023}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rptel/LooiWKCSCWLYCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenLCSYC23, author = {Kuongho Chen and Lin{-}Fa Lee and Wayne Chiu and Chunhua Su and Kuo{-}Hui Yeh and Han{-}Chieh Chao}, title = {A Trusted Reputation Management Scheme for Cross-Chain Transactions}, journal = {Sensors}, volume = {23}, number = {13}, pages = {6033}, year = {2023}, url = {https://doi.org/10.3390/s23136033}, doi = {10.3390/S23136033}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenLCSYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenYLYF23, author = {Yi{-}Chen Chen and Su{-}Ling Yeh and Weijane Lin and Hsiu{-}Ping Yueh and Li{-}Chen Fu}, title = {The Effects of Social Presence and Familiarity on Children-Robot Interactions}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4231}, year = {2023}, url = {https://doi.org/10.3390/s23094231}, doi = {10.3390/S23094231}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenYLYF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HungCLCJKPTLY23, author = {Tun{-}Yao Hung and Guan{-}Hong Chen and Yuan{-}Zeng Lin and Chi{-}Wai Chow and Yin{-}He Jian and Pin{-}Cheng Kuo and Ching{-}Wei Peng and Jui{-}Feng Tsai and Yang Liu and Chien{-}Hung Yeh}, title = {Wideband and Channel Switchable Mode Division Multiplexing {(MDM)} Optical Power Divider Supporting 7.682 Tbit/s for On-Chip Optical Interconnects}, journal = {Sensors}, volume = {23}, number = {2}, pages = {711}, year = {2023}, url = {https://doi.org/10.3390/s23020711}, doi = {10.3390/S23020711}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HungCLCJKPTLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KuoCLGHJCPLY23, author = {Pin{-}Cheng Kuo and Chi{-}Wai Chow and Yuan{-}Zeng Lin and Wahyu Hendra Gunawan and Tun{-}Yao Hung and Yin{-}He Jian and Guan{-}Hong Chen and Ching{-}Wei Peng and Yang Liu and Chien{-}Hung Yeh}, title = {Design Consideration, Numerical and Experimental Analyses of Mode-Division-Multiplexed {(MDM)} Silicon Photonics Integrated Circuit with Sharp Bends}, journal = {Sensors}, volume = {23}, number = {6}, pages = {2965}, year = {2023}, url = {https://doi.org/10.3390/s23062965}, doi = {10.3390/S23062965}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KuoCLGHJCPLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinCYJHCY23, author = {Yuan{-}Zeng Lin and Chi{-}Wai Chow and Tien{-}Wei Yu and Yin{-}He Jian and Tun{-}Yao Hung and Jian{-}Wen Chen and Chien{-}Hung Yeh}, title = {Flexible Data Rate Allocation Using Non-Orthogonal Multiple Access {(NOMA)} in a Mode Division Multiplexing {(MDM)} Optical Power Splitter for System-on-Chip Networks}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7259}, year = {2023}, url = {https://doi.org/10.3390/s23167259}, doi = {10.3390/S23167259}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LinCYJHCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoTYGLCC23, author = {Dong{-}Han Mo and Chuen{-}Lin Tien and Yu{-}Ling Yeh and Yi{-}Ru Guo and Chern{-}Sheng Lin and Chih{-}Chin Chen and Che{-}Ming Chang}, title = {Design of Digital-Twin Human-Machine Interface Sensor with Intelligent Finger Gesture Recognition}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3509}, year = {2023}, url = {https://doi.org/10.3390/s23073509}, doi = {10.3390/S23073509}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoTYGLCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehCKW23, author = {Sheng{-}Cheng Yeh and Hsien{-}Chieh Chiu and Chih{-}Yang Kao and Chia{-}Hui Wang}, title = {A Performance Improvement for Indoor Positioning Systems Using Earth's Magnetic Field}, journal = {Sensors}, volume = {23}, number = {16}, pages = {7108}, year = {2023}, url = {https://doi.org/10.3390/s23167108}, doi = {10.3390/S23167108}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YehCKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YuYLLWLL23, author = {Cheng{-}Hao Yu and Chih{-}Ching Yeh and Yi{-}Fu Lu and Yi{-}Ling Lu and Ting{-}Ming Wang and Frank Yeong{-}Sung Lin and Tung{-}Wu Lu}, title = {Recurrent Neural Network Methods for Extracting Dynamic Balance Variables during Gait from a Single Inertial Measurement Unit}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9040}, year = {2023}, url = {https://doi.org/10.3390/s23229040}, doi = {10.3390/S23229040}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YuYLLWLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/YehCC23, author = {Kuo{-}Hui Yeh and Chien{-}Ming Chen and Wei{-}Che Chien}, title = {Special Issue Editorial "Deep Learning Technologies for Mobile Networks: {A} Themed Issue in Honor of Prof. Han-Chieh Chao"}, journal = {Symmetry}, volume = {15}, number = {4}, pages = {882}, year = {2023}, url = {https://doi.org/10.3390/sym15040882}, doi = {10.3390/SYM15040882}, timestamp = {Sat, 27 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/YehCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LinLHHYCLLL23, author = {Yi{-}Jie Lin and Wei{-}Cheng Liu and Yu{-}Chiao Huang and Ying{-}Jie Huang and Yung{-}Hua Yeh and Min{-}Hua Chang and Shu{-}Ping Lin and Ying{-}Chih Liao and Yu{-}Te Liao}, title = {A Multimodality Electrochemical and Impedance Spectroscopy System-on-a-Chip With Temperature Sensing and Impedance-Boosting Techniques}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {4}, pages = {857--871}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3287835}, doi = {10.1109/TBCAS.2023.3287835}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LinLHHYCLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LinTHLHTSCYW23, author = {Tay{-}Jyi Lin and Yi{-}Hsuan Ting and Meng{-}Ze Hsu and Kuan{-}Han Lin and Chung{-}Ming Huang and Fu{-}Cheng Tsai and Shyh{-}Shyuan Sheu and Shih{-}Chieh Chang and Chingwei Yeh and Jinn{-}Shyan Wang}, title = {A 16 nm 140 {TOPS/W} 5 {\(\mu\)}J/Inference Keyword Spotting Engine Based on 1D-BCNN}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {12}, pages = {4564--4568}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3290230}, doi = {10.1109/TCSII.2023.3290230}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LinTHLHTSCYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/LuYC23, author = {Ping{-}En Lu and Chia{-}Han Yeh and Cheng{-}Shang Chang}, title = {Explainable, Stable, and Scalable Network Embedding Algorithms for Unsupervised Learning of Graph Representations}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {10}, number = {5}, pages = {2421--2438}, year = {2023}, url = {https://doi.org/10.1109/TCSS.2022.3181739}, doi = {10.1109/TCSS.2022.3181739}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/LuYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/YehWLW23, author = {Po{-}Chen Yeh and Chin{-}Hsien Wu and Yung{-}Hsiang Lin and Ming{-}Yan Wu}, title = {A Write-Related and Read-Related {DRAM} Allocation Strategy Inside Solid-State Drives (SSDs)}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {22}, number = {1}, pages = {17:1--17:32}, year = {2023}, url = {https://doi.org/10.1145/3561301}, doi = {10.1145/3561301}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/YehWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JarrettYPPYMMTHSENR23, author = {Dean G. Jarrett and Ching{-}Chen Yeh and Shamith U. Payagala and Alireza R. Panna and Yanfei Yang and Linli Meng and Swapnil M. Mhatre and Ngoc Thanh Mai Tran and Heather M. Hill and Dipanjan Saha and Randolph E. Elmquist and David B. Newell and Albert F. Rigosi}, title = {Graphene-Based Star-Mesh Resistance Networks}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--10}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3290290}, doi = {10.1109/TIM.2023.3290290}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JarrettYPPYMMTHSENR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/ChenLSCLYCH23, author = {Tung{-}I Chen and Yueh{-}Cheng Liu and Hung{-}Ting Su and Yu{-}Cheng Chang and Yu{-}Hsiang Lin and Jia{-}Fong Yeh and Wen{-}Chin Chen and Winston H. Hsu}, title = {Dual-Awareness Attention for Few-Shot Object Detection}, journal = {{IEEE} Trans. Multim.}, volume = {25}, pages = {291--301}, year = {2023}, url = {https://doi.org/10.1109/TMM.2021.3125195}, doi = {10.1109/TMM.2021.3125195}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/ChenLSCLYCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/WuTHCC23, author = {Jimmy Ming{-}Tai Wu and Qian Teng and Shamsul Huda and Yeh{-}Cheng Chen and Chien{-}Ming Chen}, title = {A Privacy Frequent Itemsets Mining Framework for Collaboration in IoT Using Federated Learning}, journal = {{ACM} Trans. Sens. Networks}, volume = {19}, number = {2}, pages = {27:1--27:15}, year = {2023}, url = {https://doi.org/10.1145/3532090}, doi = {10.1145/3532090}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosn/WuTHCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenC23, author = {YuAng Chen and Yeh{-}Ching Chung}, title = {An Unequal Caching Strategy for Shared-Memory Graph Analytics}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {34}, number = {3}, pages = {955--967}, year = {2023}, url = {https://doi.org/10.1109/TPDS.2022.3218885}, doi = {10.1109/TPDS.2022.3218885}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/ChenC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/HsiehLYNFRKLP23, author = {Cheng{-}Yu Hsieh and Chun{-}Liang Li and Chih{-}Kuan Yeh and Hootan Nakhost and Yasuhisa Fujii and Alex Ratner and Ranjay Krishna and Chen{-}Yu Lee and Tomas Pfister}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Distilling Step-by-Step! Outperforming Larger Language Models with Less Training Data and Smaller Model Sizes}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {8003--8017}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.507}, doi = {10.18653/V1/2023.FINDINGS-ACL.507}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/HsiehLYNFRKLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/GuoHCCYC23, author = {Jing{-}Ming Guo and Yu{-}Shun Huang and Ting{-}Yu Chang and Tai{-}Cyuan Ciou and Yun{-}Ching Yeh and Jeffrey Chen}, title = {Multitask Record for Badminton Match}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {2048--2052}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317491}, doi = {10.1109/APSIPAASC58517.2023.10317491}, timestamp = {Sat, 02 Dec 2023 14:05:45 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/GuoHCCYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YehCCTFKC23, author = {Yeu{-}Haw Yeh and Simon Yi{-}Hung Chen and Hung{-}Ming Chen and Deng{-}Yao Tu and Guan{-}Qi Fang and Yun{-}Chih Kuo and Po{-}Yang Chen}, editor = {Atsushi Takahashi}, title = {DPRoute: Deep Learning Framework for Package Routing}, booktitle = {Proceedings of the 28th Asia and South Pacific Design Automation Conference, {ASPDAC} 2023, Tokyo, Japan, January 16-19, 2023}, pages = {277--282}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3566097.3567902}, doi = {10.1145/3566097.3567902}, timestamp = {Mon, 26 Jun 2023 20:46:40 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YehCCTFKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/ChouCWOBYCPYCPCCCS23, author = {Yi{-}Hui Chou and Kalvin Chang and Meng{-}Ju Wu and Winston Ou and Alice Wen{-}Hsin Bi and Carol Yang and Bryan Y. Chen and Rong{-}Wei Pai and Po{-}Yen Yeh and Jo{-}Peng Chiang and Iu{-}Tshian Phoann and Winnie Chang and Chenxuan Cui and Noel Chen and Jiatong Shi}, title = {Evaluating Self-Supervised Speech Models on a Taiwanese Hokkien Corpus}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389734}, doi = {10.1109/ASRU57964.2023.10389734}, timestamp = {Tue, 13 Feb 2024 21:21:14 +0100}, biburl = {https://dblp.org/rec/conf/asru/ChouCWOBYCPYCPCCCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/WangLLC23, author = {Chun{-}Yeh Wang and Chien{-}Hsing Liang and Jing{-}Jia Liou and Harry H. Chen}, title = {Signal Reduction of Signature Blocks for Transient Fault Debugging}, booktitle = {32nd {IEEE} Asian Test Symposium, {ATS} 2023, Beijing, China, October 14-17, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ATS59501.2023.10318024}, doi = {10.1109/ATS59501.2023.10318024}, timestamp = {Fri, 08 Dec 2023 20:28:22 +0100}, biburl = {https://dblp.org/rec/conf/ats/WangLLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/DerYZWCZWZK23, author = {Audrey Der and Chin{-}Chia Michael Yeh and Yan Zheng and Junpeng Wang and Huiyuan Chen and Zhongfang Zhuang and Liang Wang and Wei Zhang and Eamonn J. Keogh}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Time Series Synthesis Using the Matrix Profile for Anonymization}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {1908--1911}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386948}, doi = {10.1109/BIGDATA59044.2023.10386948}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/DerYZWCZWZK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/YehCDZFLWDZWZ23, author = {Chin{-}Chia Michael Yeh and Huiyuan Chen and Xin Dai and Yan Zheng and Yujie Fan and Vivian Lai and Junpeng Wang and Audrey Der and Zhongfang Zhuang and Liang Wang and Wei Zhang}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Temporal Treasure Hunt: Content-based Time Series Retrieval System for Discovering Insights}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {1994--1997}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386668}, doi = {10.1109/BIGDATA59044.2023.10386668}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/YehCDZFLWDZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/YehCFDZLWZWZK23, author = {Chin{-}Chia Michael Yeh and Huiyuan Chen and Yujie Fan and Xin Dai and Yan Zheng and Vivian Lai and Junpeng Wang and Zhongfang Zhuang and Liang Wang and Wei Zhang and Eamonn J. Keogh}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Ego-Network Transformer for Subsequence Classification in Time Series Data}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {1242--1247}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386283}, doi = {10.1109/BIGDATA59044.2023.10386283}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/YehCFDZLWZWZK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/YehZPCZWWZPK23, author = {Chin{-}Chia Michael Yeh and Yan Zheng and Menghai Pan and Huiyuan Chen and Zhongfang Zhuang and Junpeng Wang and Liang Wang and Wei Zhang and Jeff M. Phillips and Eamonn J. Keogh}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Sketching Multidimensional Time Series for Fast Discord Mining}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {443--452}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386363}, doi = {10.1109/BIGDATA59044.2023.10386363}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/YehZPCZWWZPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/FanYC0WWDZZ23, author = {Yujie Fan and Chin{-}Chia Michael Yeh and Huiyuan Chen and Yan Zheng and Liang Wang and Junpeng Wang and Xin Dai and Zhongfang Zhuang and Wei Zhang}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Spatial-Temporal Graph Boosting Networks: Enhancing Spatial-Temporal Graph Neural Networks via Gradient Boosting}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {504--513}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615066}, doi = {10.1145/3583780.3615066}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/FanYC0WWDZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/YehCDZWLFDZWZP23, author = {Chin{-}Chia Michael Yeh and Huiyuan Chen and Xin Dai and Yan Zheng and Junpeng Wang and Vivian Lai and Yujie Fan and Audrey Der and Zhongfang Zhuang and Liang Wang and Wei Zhang and Jeff M. Phillips}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {An Efficient Content-based Time Series Retrieval System}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {4909--4915}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3614655}, doi = {10.1145/3583780.3614655}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/YehCDZWLFDZWZP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/YehDCZFDLZWWZ23, author = {Chin{-}Chia Michael Yeh and Xin Dai and Huiyuan Chen and Yan Zheng and Yujie Fan and Audrey Der and Vivian Lai and Zhongfang Zhuang and Junpeng Wang and Liang Wang and Wei Zhang}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Toward a Foundation Model for Time Series Data}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {4400--4404}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615155}, doi = {10.1145/3583780.3615155}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/YehDCZFDLZWWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YehCC23, author = {Ting{-}Yu Yeh and Yueh Cho and Yung{-}Chih Chen}, title = {An Effective and Efficient Heuristic for Rational-Weight Threshold Logic Gate Identification}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137017}, doi = {10.23919/DATE56975.2023.10137017}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/YehCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/CheLCY23, author = {Qun Che and Nungyao Lin and Shih{-}Pei Chen and Calvin Yeh}, editor = {Anne Baillot and Toma Tasovac and Walter Scholger and Georg Vogeler}, title = {Link Visions Together: Visualizing Geographies of Late Qing and Republican China}, booktitle = {Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2022, Graz, Austria, July 10-14, 2023, Conference Abstracts}, year = {2023}, url = {https://doi.org/10.5281/zenodo.8107509}, doi = {10.5281/ZENODO.8107509}, timestamp = {Wed, 02 Aug 2023 10:13:00 +0200}, biburl = {https://dblp.org/rec/conf/dihu/CheLCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecir/HuangCWYLTW23, author = {Yu{-}Ting Huang and Hsien{-}Hao Chen and Tung{-}Lin Wu and Chia{-}Yu Yeh and Jing{-}Kai Lou and Ming{-}Feng Tsai and Chuan{-}Ju Wang}, editor = {Jaap Kamps and Lorraine Goeuriot and Fabio Crestani and Maria Maistro and Hideo Joho and Brian Davis and Cathal Gurrin and Udo Kruschwitz and Annalina Caputo}, title = {{CPR:} Cross-Domain Preference Ranking with User Transformation}, booktitle = {Advances in Information Retrieval - 45th European Conference on Information Retrieval, {ECIR} 2023, Dublin, Ireland, April 2-6, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13981}, pages = {448--456}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-28238-6\_35}, doi = {10.1007/978-3-031-28238-6\_35}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecir/HuangCWYLTW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YehLLCHCLCLL23, author = {Yung{-}Hua Yeh and Wei{-}Cheng Liu and Yi{-}Jie Lin and Yu{-}Siang Chou and Yu{-}Chiao Huang and Min{-}Hua Chang and I{-}Te Lin and Yen{-}Chi Chen and Ying{-}Chih Liao and Yu{-}Te Liao}, title = {An Electrical Impedance Spectroscopy {IC} with a Printable, Fractal Root Textile Sensor for Perspiration Analysis}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {361--364}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268742}, doi = {10.1109/ESSCIRC59616.2023.10268742}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YehLLCHCLCLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YenYC23, author = {Chia{-}Chen Yen and Mi{-}Yen Yeh and Ming{-}Syan Chen}, title = {Integrated Multi-Ported Memory Distribution for Temporal-Multiplexing Workloads on FPGAs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {209--216}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00028}, doi = {10.1109/ICFPT59805.2023.00028}, timestamp = {Sat, 24 Feb 2024 20:42:47 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YenYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/HsuCYT23, author = {Lei Hsu and Jen{-}Chieh Cheng and Wei{-}Ting Yeh and Chien{-}Hung Tsai}, title = {A Wide Load Range and Low Current Distortion Digital Boost {PFC} Converter With Mixed Conduction Mode Operation}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {1168--1171}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315563}, doi = {10.1109/GCCE59613.2023.10315563}, timestamp = {Thu, 23 Nov 2023 21:16:31 +0100}, biburl = {https://dblp.org/rec/conf/gcce/HsuCYT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/JianLHYT23, author = {Yi{-}Chen Jian and Kuan{-}Hua Lai and Jun{-}Wei Huang and Wei{-}Ting Yeh and Chien{-}Hung Tsai}, title = {A Novel Cost Effective Variable On-Time Control of Digital Boost {PFC} Converter in Boundary Conduction Mode}, booktitle = {12th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2023, Nara, Japan, October 10-13, 2023}, pages = {1176--1178}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCCE59613.2023.10315586}, doi = {10.1109/GCCE59613.2023.10315586}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/JianLHYT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YangYCHCGCF23, author = {Chien{-}Chun Yang and Su{-}Ling Yeh and Sung{-}En Chien and Tsung{-}Ren Huang and Yu{-}Ling Chang and Joshua Oon Soo Goh and Yi{-}Chuan Chen and Li{-}Chen Fu}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {The Effect of Face-to-Face Interaction on Older Adults' Attitudes Toward Robots in Human-Computer Interaction}, booktitle = {{HCI} International 2023 Posters - 25th International Conference on Human-Computer Interaction, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1833}, pages = {547--554}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35992-7\_73}, doi = {10.1007/978-3-031-35992-7\_73}, timestamp = {Sun, 12 Nov 2023 02:12:38 +0100}, biburl = {https://dblp.org/rec/conf/hci/YangYCHCGCF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/YehSCLC23, author = {Chun{-}Hsiu Yeh and Wei{-}Cheng Shen and Hung{-}Yu Chi and Chin{-}En Lin and Jong{-}Shin Chen}, title = {Enhancing Online Learning Monitoring with Novel Image Recognition Method Using Dlib for Eye Feature Detection}, booktitle = {12th International Conference on Awareness Science and Technology, iCAST 2023, Taichung, Taiwan, November 9-11, 2023}, pages = {340--345}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iCAST57874.2023.10359300}, doi = {10.1109/ICAST57874.2023.10359300}, timestamp = {Mon, 22 Jan 2024 20:34:12 +0100}, biburl = {https://dblp.org/rec/conf/icawst/YehSCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/YehSMYKC23, author = {Chun{-}Hsiu Yeh and Wei{-}Cheng Shen and Chi{-}Wei Ma and Qiu{-}Tong Yeh and Chung{-}Wei Kuo and Jong{-}Shin Chen}, title = {Real-time Human Movement Recognition and Interaction in Virtual Fitness using Image Recognition and Motion Analysis}, booktitle = {12th International Conference on Awareness Science and Technology, iCAST 2023, Taichung, Taiwan, November 9-11, 2023}, pages = {242--246}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iCAST57874.2023.10359266}, doi = {10.1109/ICAST57874.2023.10359266}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icawst/YehSMYKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbe/LinYCL23, author = {Shyan{-}Lung Lin and Shoou{-}Jeng Yeh and Ching{-}Kun Chen and Chung{-}Chieh Lo}, title = {Nonlinear Assessment of Cerebral Autoregulation and Analysis of Cardiorespiratory Signals in Patients with Diabetes Mellitus under Head-up Tilt Test}, booktitle = {Proceedings of the 10th International Conference on Biomedical and Bioinformatics Engineering, {ICBBE} 2023, Kyoto, Japan, November 9-12, 2023}, pages = {135--140}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3637732.3637789}, doi = {10.1145/3637732.3637789}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbbe/LinYCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbs/ShihYCYLP23, author = {Tao{-}Chuan Shih and Cing{-}Han Yang and Ying{-}Ja Chen and Li{-}Tzu Yeh and Chi{-}Wei Lu and Tun{-}Wen Pai}, title = {PepTracR: a Centralized Database for Pathogen Peptides and Neoepitopes based on 3D Structures information and Similarity-search in T-cell Immunotherapy Research}, booktitle = {Proceedings of the 12th International Conference on Bioinformatics and Biomedical Science, {ICBBS} 2023, Singapore, October 20-22, 2023}, pages = {17--22}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3647817.3647820}, doi = {10.1145/3647817.3647820}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbbs/ShihYCYLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenLCYL23, author = {Ivane Delos Santos Chen and Chih{-}Ming Lien and Mei{-}Juan Chen and Chia{-}Hung Yeh and Yuan{-}Hong Lin}, title = {Region-of-Interest Detection Based on Graph Convolutional Network and {H.266/VVC} Encoded Video}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {631--632}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226932}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226932}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenLCYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangHLWLLCLCCJLC23, author = {Shao{-}Chang Huang and Kai{-}Chieh Hsu and Chih{-}Hsuan Lin and Chien{-}Wei Wang and Ching{-}Ho Li and Chih{-}Cherng Liao and Jung{-}Tsun Chuang and Gong{-}Kai Lin and Lin{-}Fan Chen and Chun{-}Chih Chen and Yeh{-}Ning Jou and Jian{-}Hsing Lee and Ke{-}Horng Chen}, title = {Bipolar Transistors' Holding Phenomena}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {221--222}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226846}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226846}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangHLWLLCLCCJLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangWLHLLCLCCJLC23, author = {Shao{-}Chang Huang and Chien{-}Wei Wang and Chih{-}Hsuan Lin and Kai{-}Chieh Hsu and Ching{-}Ho Li and Chih{-}Cherng Liao and Jung{-}Tsun Chuang and Gong{-}Kai Lin and Lin{-}Fan Chen and Chun{-}Chih Chen and Yeh{-}Ning Jou and Jian{-}Hsing Lee and Ke{-}Horng Chen}, title = {Transmission Line Pulse Width Impacting on Device Performances}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {227--228}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10227003}, doi = {10.1109/ICCE-TAIWAN58799.2023.10227003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangWLHLLCLCCJLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeCWYW23, author = {Jia{-}Jeng Lee and Chun{-}Chuan Chen and Eric Hsiao{-}Kuang Wu and Shih{-}Ching Yeh and Wei{-}Jen Wang}, title = {Using wearable sensors to study the brain-heart interactions during attentional and resting states}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {13--14}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226755}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226755}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeCWYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LiYLWLWCM23, author = {Hsin{-}Chien Li and Cheng{-}Chih Yeh and Wei{-}Hsuan Lin and Min{-}Chien Wu and Jhih{-}Sian Lin and Yu{-}Chieh Wang and Ching{-}Ling and Li{-}Shan Ma}, title = {Intelligence Human-Machine Interface Design for the Water Dispenser with AIoT Technology}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {375--376}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226896}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226896}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LiYLWLWCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LuCY23, author = {Chen{-}Rung Lu and Mei{-}Juan Chen and Chia{-}Hung Yeh}, title = {Fast Inter Coding Algorithm Using Conditional Probability and Correlation Analysis for {H.266/VVC}}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {741--742}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226963}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226963}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/LuCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/YehCYLYC23, author = {Chin{-}Yuan Yeh and Hsi{-}Wen Chen and De{-}Nian Yang and Wang{-}Chien Lee and Philip S. Yu and Ming{-}Syan Chen}, title = {Planning Data Poisoning Attacks on Heterogeneous Recommender Systems in a Multiplayer Setting}, booktitle = {39th {IEEE} International Conference on Data Engineering, {ICDE} 2023, Anaheim, CA, USA, April 3-7, 2023}, pages = {2510--2523}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDE55515.2023.00193}, doi = {10.1109/ICDE55515.2023.00193}, timestamp = {Thu, 27 Jul 2023 14:59:05 +0200}, biburl = {https://dblp.org/rec/conf/icde/YehCYLYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icemt/LeeWCCY23, author = {Huang{-}Liang Lee and Jung{-}Hua Wu and Yu{-}Chen Chien and Chia{-}Yun Chung and Wei{-}Chieh Yeh}, title = {Research on the Location Selection of Healing Parks - {A} Case Research of Nantun District, Taichung City, Taiwan}, booktitle = {Proceedings of the 7th International Conference on Education and Multimedia Technology, {ICEMT} 2023, Tokyo, Japan, August 29-31, 2023}, pages = {391--396}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3625704.3625739}, doi = {10.1145/3625704.3625739}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icemt/LeeWCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icemt/Ying-JuHWY23, author = {Su Ying{-}Ju and Huang{-}Liang Lee and Wei{-}Chieh Yeh and Yu{-}Chen Chien}, title = {New Design Communication Model for Implementing Consensus in Visual Signage System by Applying Cloud (Google) Forms}, booktitle = {Proceedings of the 7th International Conference on Education and Multimedia Technology, {ICEMT} 2023, Tokyo, Japan, August 29-31, 2023}, pages = {377--382}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3625704.3625760}, doi = {10.1145/3625704.3625760}, timestamp = {Sat, 17 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icemt/Ying-JuHWY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/AboagyeZSYWZCWZ23, author = {Prince Osei Aboagye and Yan Zheng and Jack Shunn and Chin{-}Chia Michael Yeh and Junpeng Wang and Zhongfang Zhuang and Huiyuan Chen and Liang Wang and Wei Zhang and Jeff M. Phillips}, title = {Interpretable Debiasing of Vectorized Language Representations with Iterative Orthogonalization}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=TkQ1sxd9P4}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/AboagyeZSYWZCWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmhi/ChenACYC23, author = {Tzu{-}Ying Chen and Jean Aristide Aquino and Yu{-}Wen Chiu and Wen{-}Chao Yeh and Yung{-}Chun Chang}, title = {Understanding the Clinical Context of Medication Change Events in Clinical Narratives using Pre-trained Clinical Language Models}, booktitle = {The 7th International Conference on Medical and Health Informatics, {ICMHI} 2023, Kyoto, Japan, May 12-14, 2023}, pages = {98--103}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3608298.3608318}, doi = {10.1145/3608298.3608318}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmhi/ChenACYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmla/YehDZWCFDZWZ23, author = {Chin{-}Chia Michael Yeh and Xin Dai and Yan Zheng and Junpeng Wang and Huiyuan Chen and Yujie Fan and Audrey Der and Zhongfang Zhuang and Liang Wang and Wei Zhang}, title = {Multitask Learning for Time Series Data with 2D Convolution}, booktitle = {International Conference on Machine Learning and Applications, {ICMLA} 2023, Jacksonville, FL, USA, December 15-17, 2023}, pages = {9--16}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICMLA58977.2023.00010}, doi = {10.1109/ICMLA58977.2023.00010}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmla/YehDZWCFDZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/LaiCWCL23, author = {Chin{-}Feng Lai and Shih{-}Yeh Chen and Yun{-}Fan Wei and Mu{-}Yen Chen and Shing{-}Yu Lai}, title = {IoB-Enhanced Deep Learning for Non-specific Thermal Respiratory Rate Monitoring}, booktitle = {{IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2023, Marseille, France, October 25-27, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICNSC58704.2023.10319043}, doi = {10.1109/ICNSC58704.2023.10319043}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/LaiCWCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChenC23, author = {YuAng Chen and Yeh{-}Ching Chung}, title = {Connectivity-Aware Link Analysis for Skewed Graphs}, booktitle = {Proceedings of the 52nd International Conference on Parallel Processing, {ICPP} 2023, Salt Lake City, UT, USA, August 7-10, 2023}, pages = {482--491}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3605573.3605579}, doi = {10.1145/3605573.3605579}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ChenC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChungTHSHYCCH23, author = {Chi{-}Ming Chung and Yang{-}Che Tseng and Ya{-}Ching Hsu and Xiang Qian Shi and Yun{-}Hung Hua and Jia{-}Fong Yeh and Wen{-}Chin Chen and Yi{-}Ting Chen and Winston H. Hsu}, title = {Orbeez-SLAM: {A} Real-time Monocular Visual {SLAM} with {ORB} Features and NeRF-realized Mapping}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {9400--9406}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10160950}, doi = {10.1109/ICRA48891.2023.10160950}, timestamp = {Tue, 08 Aug 2023 10:24:29 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChungTHSHYCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ChenLYCL23, author = {Po{-}Han Chen and Tay{-}Jyi Lin and Chingwei Yeh and Pei{-}Zen Chang and Wei{-}Chang Li}, title = {Force-Sensing Intelligent Vise for Cutting Dynamics Monitoring in Machining}, booktitle = {2023 {IEEE} SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SENSORS56945.2023.10325148}, doi = {10.1109/SENSORS56945.2023.10325148}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/ChenLYCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SuYACC23, author = {Jau{-}Lang Su and Chia{-}Cheng Yeh and Mohammad Alkhaleefah and Lena Chang and Yang{-}Lang Chang}, title = {A Deep Convolutional Neural Network for Building Damage Evaluation from Satellite Images}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {285--288}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283446}, doi = {10.1109/IGARSS52108.2023.10283446}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/SuYACC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/ChenZJYLP0H023, author = {Huiyuan Chen and Kaixiong Zhou and Zhimeng Jiang and Chin{-}Chia Michael Yeh and Xiaoting Li and Menghai Pan and Yan Zheng and Xia Hu and Hao Yang}, title = {Probabilistic Masked Attention Networks for Explainable Sequential Recommendation}, booktitle = {Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, {IJCAI} 2023, 19th-25th August 2023, Macao, SAR, China}, pages = {2068--2076}, publisher = {ijcai.org}, year = {2023}, url = {https://doi.org/10.24963/ijcai.2023/230}, doi = {10.24963/IJCAI.2023/230}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/ChenZJYLP0H023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/ChienLBCYRGGCGL23, author = {Wei{-}Chih Chien and E. K. Lai and L. Buzi and C. W. Cheng and C. W. Yeh and A. Ray and Lynne M. Gignac and N. Gong and Huai{-}Yu Cheng and Alexander Grun and D. Y. Lee and W. Kim and A. Majumdar and Douglas M. Bishop and Robert L. Bruce and D. Daudelin and H. Y. Ho and M. J. BrightSky and H. L. Lung}, title = {A Comprehensive Study on the Pillar Size of {OTS-PCM} Memory with an Optimized Process and Scaling Trends Down to Sub-10 nm for {SCM} Applications}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2023, Monterey, CA, USA, May 21-24, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMW56887.2023.10145816}, doi = {10.1109/IMW56887.2023.10145816}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imw2/ChienLBCYRGGCGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KaoHYH23, author = {Chien{-}Kai Kao and Shih{-}Che Hung and Tse{-}Hsien Yeh and Chen{-}Yu Hsiao}, title = {A 37.8dB Channel Loss 0.6{\(\mu\)}s Lock Time {CDR} with Flash Frequency Acquisition in 5nm FinFET}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {116--117}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067754}, doi = {10.1109/ISSCC42615.2023.10067754}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KaoHYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/LinSLY23, author = {Yu{-}Chen Lin and Chien{-}Wen Sun and Bo{-}Han Lyu and Ting{-}Jia Yeh}, title = {An Adaptive Semi-Active Suspension System for Design of Vehicle Stability Control System}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {1801--1805}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422108}, doi = {10.1109/ITSC57777.2023.10422108}, timestamp = {Thu, 22 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/LinSLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmasia/HsiehCY23, author = {Yi{-}Zeng Hsieh and Hau{-}Ching Chen and Yi{-}Hung Yeh}, editor = {Wen{-}Huang Cheng and Wei{-}Ta Chu and Min{-}Chun Hu and Jiaying Liu and Munchurl Kim and Wei Zhang}, title = {Object Detection via Fisheye Camera}, booktitle = {{ACM} Multimedia Asia 2023, MMAsia 2023, Tainan, Taiwan, December 6-8, 2023}, pages = {112:1--112:7}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3595916.3628351}, doi = {10.1145/3595916.3628351}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmasia/HsiehCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YehLDACZCHGSYW23, author = {Christopher Yeh and Victor Li and Rajeev Datta and Julio Arroyo and Nicolas Christianson and Chi Zhang and Yize Chen and Mohammad Mehdi Hosseini and Azarang Golmohammadi and Yuanyuan Shi and Yisong Yue and Adam Wierman}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {SustainGym: Reinforcement Learning Environments for Sustainable Energy Systems}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/ba74855789913e5ed36f87288af79e5b-Abstract-Datasets\_and\_Benchmarks.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/YehLDACZCHGSYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChangTCWTLY23, author = {Yun{-}Han Chang and Deng{-}Cheng Tsai and Chi{-}Wai Chow and Chih{-}Chun Wang and Shang{-}Yen Tsai and Yang Liu and Chien{-}Hung Yeh}, title = {Lightweight Light-Diffusing Fiber Transmitter Equipped Unmanned-Aerial-Vehicle {(UAV)} for Large Field-of-View {(FOV)} Optical Wireless Communication}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117205}, doi = {10.23919/OFC49934.2023.10117205}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChangTCWTLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/JianWWHCCLY23, author = {Yin{-}He Jian and Chih{-}Chun Wang and Tzu{-}Chieh Wei and Ying{-}Kai Hong and Huang{-}Ming Chen and Chi{-}Wai Chow and Yang Liu and Chien{-}Hung Yeh}, title = {Optical Beam Steerable and Flexible Data Rate Orthogonal Frequency Division Multiplexing Non-Orthogonal Multiple Access {(OFDM-NOMA)} Visible Light Communication}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117021}, doi = {10.23919/OFC49934.2023.10117021}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/JianWWHCCLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacificvis/ZhengWYFCWZ23, author = {Yan Zheng and Junpeng Wang and Chin{-}Chia Michael Yeh and Yujie Fan and Huiyuan Chen and Liang Wang and Wei Zhang}, title = {EmbeddingTree: Hierarchical Exploration of Entity Features in Embedding}, booktitle = {16th {IEEE} Pacific Visualization Symposium, PacificVis 2023, Seoul, Republic of Korea, April 18-21, 2023}, pages = {217--221}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PacificVis56936.2023.00032}, doi = {10.1109/PACIFICVIS56936.2023.00032}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pacificvis/ZhengWYFCWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/TsengCYL23, author = {Yen{-}Ching Tseng and Zu{-}Mu Chen and Mi{-}Yen Yeh and Shou{-}De Lin}, editor = {Hisashi Kashima and Tsuyoshi Id{\'{e}} and Wen{-}Chih Peng}, title = {{UPGAT:} Uncertainty-Aware Pseudo-neighbor Augmented Knowledge Graph Attention Network}, booktitle = {Advances in Knowledge Discovery and Data Mining - 27th Pacific-Asia Conference on Knowledge Discovery and Data Mining, {PAKDD} 2023, Osaka, Japan, May 25-28, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13936}, pages = {53--65}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-33377-4\_5}, doi = {10.1007/978-3-031-33377-4\_5}, timestamp = {Wed, 31 May 2023 14:56:56 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/TsengCYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/FanYCWZWDZZ23, author = {Yujie Fan and Chin{-}Chia Michael Yeh and Huiyuan Chen and Liang Wang and Zhongfang Zhuang and Junpeng Wang and Xin Dai and Yan Zheng and Wei Zhang}, editor = {Gianmarco De Francisci Morales and Claudia Perlich and Natali Ruchansky and Nicolas Kourtellis and Elena Baralis and Francesco Bonchi}, title = {Spatial-Temporal Graph Sandwich Transformer for Traffic Flow Forecasting}, booktitle = {Machine Learning and Knowledge Discovery in Databases: Applied Data Science and Demo Track - European Conference, {ECML} {PKDD} 2023, Turin, Italy, September 18-22, 2023, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {14175}, pages = {210--225}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43430-3\_13}, doi = {10.1007/978-3-031-43430-3\_13}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/FanYCWZWDZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/LaiHYLCC23, author = {Jia{-}Hong Lai and Fay Huang and Yi{-}Hsin Yeh and Kuo{-}Hua Lee and Kuo{-}Kai Cheng and Chao{-}Chien Chen}, editor = {Wei Qi Yan and Minh Nguyen and Parma Nand and Xuejun Li}, title = {Real-Time Automated Body Condition Scoring of Dairy Cows}, booktitle = {Image and Video Technology - 11th Pacific-Rim Symposium, {PSIVT} 2023, Auckland, New Zealand, November 22-24, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14403}, pages = {221--233}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-0376-0\_17}, doi = {10.1007/978-981-97-0376-0\_17}, timestamp = {Mon, 29 Apr 2024 21:26:30 +0200}, biburl = {https://dblp.org/rec/conf/psivt/LaiHYLCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qce/HongHCFHLYY23, author = {Xin Hong and Wei{-}Jia Huang and Wei{-}Chen Chien and Yuan Feng and Min{-}Hsiu Hsieh and Sanjiang Li and Chia{-}Shun Yeh and Mingsheng Ying}, editor = {Brian La Cour and Lia Yeh and Marek Osinski}, title = {Decision Diagrams for Symbolic Verification of Quantum Circuits}, booktitle = {{IEEE} International Conference on Quantum Computing and Engineering, {QCE} 2023, Bellevue, WA, USA, September 17-22, 2023}, pages = {970--977}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/QCE57702.2023.00111}, doi = {10.1109/QCE57702.2023.00111}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qce/HongHCFHLYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/Chen0LYF0D023, author = {Huiyuan Chen and Xiaoting Li and Vivian Lai and Chin{-}Chia Michael Yeh and Yujie Fan and Yan Zheng and Mahashweta Das and Hao Yang}, editor = {Jie Zhang and Li Chen and Shlomo Berkovsky and Min Zhang and Tommaso Di Noia and Justin Basilico and Luiz Pizzato and Yang Song}, title = {Adversarial Collaborative Filtering for Free}, booktitle = {Proceedings of the 17th {ACM} Conference on Recommender Systems, RecSys 2023, Singapore, Singapore, September 18-22, 2023}, pages = {245--255}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3604915.3608771}, doi = {10.1145/3604915.3608771}, timestamp = {Tue, 30 Jul 2024 20:55:53 +0200}, biburl = {https://dblp.org/rec/conf/recsys/Chen0LYF0D023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/ChenZLYZH023, author = {Huiyuan Chen and Kaixiong Zhou and Kwei{-}Herng Lai and Chin{-}Chia Michael Yeh and Yan Zheng and Xia Hu and Hao Yang}, editor = {Jie Zhang and Li Chen and Shlomo Berkovsky and Min Zhang and Tommaso Di Noia and Justin Basilico and Luiz Pizzato and Yang Song}, title = {Hessian-aware Quantized Node Embeddings for Recommendation}, booktitle = {Proceedings of the 17th {ACM} Conference on Recommender Systems, RecSys 2023, Singapore, Singapore, September 18-22, 2023}, pages = {757--762}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3604915.3608826}, doi = {10.1145/3604915.3608826}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/recsys/ChenZLYZH023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/LaiCY0C023, author = {Vivian Lai and Huiyuan Chen and Chin{-}Chia Michael Yeh and Minghua Xu and Yiwei Cai and Hao Yang}, editor = {Jie Zhang and Li Chen and Shlomo Berkovsky and Min Zhang and Tommaso Di Noia and Justin Basilico and Luiz Pizzato and Yang Song}, title = {Enhancing Transformers without Self-supervised Learning: {A} Loss Landscape Perspective in Sequential Recommendation}, booktitle = {Proceedings of the 17th {ACM} Conference on Recommender Systems, RecSys 2023, Singapore, Singapore, September 18-22, 2023}, pages = {791--797}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3604915.3608831}, doi = {10.1145/3604915.3608831}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/recsys/LaiCY0C023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sgiot/HungYWC23, author = {Lun{-}Ping Hung and Hsiang{-}Tsung Yeh and Zong{-}Jie Wu and Chien{-}Liang Chen}, editor = {Der{-}Jiunn Deng and Jyh{-}Cheng Chen}, title = {A Hybrid Deep Learning Approach for Early Detection of Chronic Obstructive Pulmonary Disease}, booktitle = {Smart Grid and Internet of Things - 7th {EAI} International Conference, SGIoT 2023, TaiChung, Taiwan, November 18-19, 2023, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {557}, pages = {113--121}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-55976-1\_11}, doi = {10.1007/978-3-031-55976-1\_11}, timestamp = {Fri, 19 Apr 2024 15:50:27 +0200}, biburl = {https://dblp.org/rec/conf/sgiot/HungYWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ChenYF0WLDY23, author = {Huiyuan Chen and Chin{-}Chia Michael Yeh and Yujie Fan and Yan Zheng and Junpeng Wang and Vivian Lai and Mahashweta Das and Hao Yang}, editor = {Hsin{-}Hsi Chen and Wei{-}Jou (Edward) Duh and Hen{-}Hsen Huang and Makoto P. Kato and Josiane Mothe and Barbara Poblete}, title = {Sharpness-Aware Graph Collaborative Filtering}, booktitle = {Proceedings of the 46th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, {SIGIR} 2023, Taipei, Taiwan, July 23-27, 2023}, pages = {2369--2373}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539618.3592059}, doi = {10.1145/3539618.3592059}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigir/ChenYF0WLDY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenC23, author = {Weibin Chen and Yeh{-}Ching Chung}, title = {An Offline Profile-Guided Optimization Strategy for Function Reordering on Relational Databases}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {967--972}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10394026}, doi = {10.1109/SMC53992.2023.10394026}, timestamp = {Tue, 13 Feb 2024 09:22:04 +0100}, biburl = {https://dblp.org/rec/conf/smc/ChenC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssw/ZhangYBRRGW23, author = {Weicheng Zhang and Cheng{-}chieh Yeh and Will Beckman and Tuomo Raitio and Ramya Rasipuram and Ladan Golipour and David Winarsky}, editor = {G{\'{e}}rard Bailly and Thomas Hueber and Damien Lolive and Nicolas Obin and Olivier Perrotin}, title = {Audiobook synthesis with long-form neural text-to-speech}, booktitle = {12th {ISCA} Speech Synthesis Workshop, {SSW} 2023, Grenoble, France, August 26-28, 2023}, pages = {139--143}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/SSW.2023-22}, doi = {10.21437/SSW.2023-22}, timestamp = {Fri, 02 Aug 2024 11:49:04 +0200}, biburl = {https://dblp.org/rec/conf/ssw/ZhangYBRRGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/LaiYWLMSLZYQ00C23, author = {Longbin Lai and Yufan Yang and Zhibin Wang and Yuxuan Liu and Haotian Ma and Sijie Shen and Bingqing Lyu and Xiaoli Zhou and Wenyuan Yu and Zhengping Qian and Chen Tian and Sheng Zhong and Yeh{-}Ching Chung and Jingren Zhou}, editor = {Julia Lawall and Dan Williams}, title = {GLogS: Interactive Graph Pattern Matching Query At Large Scale}, booktitle = {Proceedings of the 2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {53--69}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/lai}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/LaiYWLMSLZYQ00C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChiangHWHWHHCLC23, author = {H.{-}L. Chiang and Richard A. Hadi and J.{-}F. Wang and H.{-}C. Han and J.{-}J. Wu and H.{-}H. Hsieh and J.{-}J. Horng and W.{-}S. Chou and B.{-}S. Lien and C.{-}H. Chang and Y.{-}C. Chen and Yeong{-}Her Wang and T.{-}C. Chen and J.{-}C. Liu and Y.{-}C. Liu and Meng{-}Hsueh Chiang and K.{-}H. Kao and B. Pulicherla and J. Cai and C.{-}S. Chang and K.{-}W. Su and K.{-}L. Cheng and T.{-}J. Yeh and Y.{-}C. Peng and C. Enz and Mau{-}Chung Frank Chang and M.{-}F. Chang and H.{-}S. Philip Wong and Iuliana P. Radu}, title = {How Fault-Tolerant Quantum Computing Benefits from Cryo-CMOS Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185325}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185325}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChiangHWHWHHCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HsiaoCHSYSCWTLC23, author = {Yan{-}Ting Hsiao and Shu{-}Yan Chuang and Hung{-}Yu Hou and Yun{-}Chun Su and Hsiu{-}Cheng Yeh and Hsin{-}Tzu Song and Yun{-}Jui Chang and Wei{-}Yang Weng and Ya{-}Chen Tsai and Pin{-}Yu Lin and Sih{-}Ying Chen and Yen{-}Ju Lin and Mei{-}Wei Lin and Jun{-}Chau Chien}, title = {A CMOS/Microfluidics Point-of-Care SoC employing Square-Wave Voltcoulometry for Biosensing with Aptamers and CRISPR-Cas12a Enzymes}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185383}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185383}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HsiaoCHSYSCWTLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LuLCLCWYCCCCCHL23, author = {C. A. Lu and H. P. Lee and H. C. Chen and Y. C. Lin and Y. H. Chung and S. H. Wang and J. Y. Yeh and V. S. Chang and M. C. Chiang and W. Chang and H. C. Chung and C. F. Cheng and H. H. Hsu and H. H. Liu and William P. N. Chen and C. Y. Lin}, title = {Characterizing and Reducing the Layout Dependent Effect and Gate Resistance to Enable Multiple-Vt Scaling for a 3nm {CMOS} Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185282}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185282}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LuLCLCWYCCCCCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/QiuCLGYY23, author = {Xizhe Qiu and Chieh{-}Tang Chen and Phone Lin and Chai{-}Hien Gan and Shun{-}Ren Yang and En{-}Hau Yeh}, title = {Performance Study for Handoff Strategies in Low-Earth-Orbit Satellite Network}, booktitle = {98th {IEEE} Vehicular Technology Conference, {VTC} Fall 2023, Hong Kong, SAR, China, October 10-13, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTC2023-Fall60731.2023.10333694}, doi = {10.1109/VTC2023-FALL60731.2023.10333694}, timestamp = {Wed, 10 Jan 2024 16:12:42 +0100}, biburl = {https://dblp.org/rec/conf/vtc/QiuCLGYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webi/TsaoYJCW23, author = {Chia{-}Ying Tsao and Chih{-}Ting Yeh and Jyh{-}Shing Roger Jang and Yung{-}Yaw Chen and Chuan{-}Ju Wang}, title = {Multi-behavior Recommendation with Action Pattern-aware Networks}, booktitle = {{IEEE} International Conference on Web Intelligence and Intelligent Agent Technology, {WI-IAT} 2023, Venice, Italy, October 26-29, 2023}, pages = {16--23}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WI-IAT59888.2023.00009}, doi = {10.1109/WI-IAT59888.2023.00009}, timestamp = {Tue, 09 Jan 2024 15:11:29 +0100}, biburl = {https://dblp.org/rec/conf/webi/TsaoYJCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-07281, author = {Ching Chang and Cheng{-}Han Yeh and Wen{-}Chih Peng}, title = {Detecting and Ranking Causal Anomalies in End-to-End Complex System}, journal = {CoRR}, volume = {abs/2301.07281}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.07281}, doi = {10.48550/ARXIV.2301.07281}, eprinttype = {arXiv}, eprint = {2301.07281}, timestamp = {Mon, 30 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-07281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-06846, author = {Jun Chen and Chi{-}Yeh Chen}, title = {Scheduling Coflows for Minimizing the Makespan in Identical Parallel Networks}, journal = {CoRR}, volume = {abs/2302.06846}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.06846}, doi = {10.48550/ARXIV.2302.06846}, eprinttype = {arXiv}, eprint = {2302.06846}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-06846.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16637, author = {Yi{-}Syuan Liou and Tsung{-}Han Wu and Jia{-}Fong Yeh and Wen{-}Chin Chen and Winston H. Hsu}, title = {MuRAL: Multi-Scale Region-based Active Learning for Object Detection}, journal = {CoRR}, volume = {abs/2303.16637}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16637}, doi = {10.48550/ARXIV.2303.16637}, eprinttype = {arXiv}, eprint = {2303.16637}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16637.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-02301, author = {Cheng{-}Yu Hsieh and Chun{-}Liang Li and Chih{-}Kuan Yeh and Hootan Nakhost and Yasuhisa Fujii and Alexander Ratner and Ranjay Krishna and Chen{-}Yu Lee and Tomas Pfister}, title = {Distilling Step-by-Step! Outperforming Larger Language Models with Less Training Data and Smaller Model Sizes}, journal = {CoRR}, volume = {abs/2305.02301}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.02301}, doi = {10.48550/ARXIV.2305.02301}, eprinttype = {arXiv}, eprint = {2305.02301}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-02301.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-01449, author = {Chia{-}Chun Chung and Pei{-}Chun Chang and Yong{-}Sheng Chen and HaoYuan He and Chinson Yeh}, title = {{SASMU:} boost the performance of generalized recognition model using synthetic face dataset}, journal = {CoRR}, volume = {abs/2306.01449}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.01449}, doi = {10.48550/ARXIV.2306.01449}, eprinttype = {arXiv}, eprint = {2306.01449}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-01449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-02293, author = {Chi{-}Yeh Chen}, title = {Efficient Approximation Algorithms for Scheduling Coflows with Total Weighted Completion Time in Identical Parallel Networks}, journal = {CoRR}, volume = {abs/2306.02293}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.02293}, doi = {10.48550/ARXIV.2306.02293}, eprinttype = {arXiv}, eprint = {2306.02293}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-02293.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-04107, author = {Chi{-}Yeh Chen}, title = {Efficient Approximation Algorithms for Scheduling Coflows with Precedence Constraints in Identical Parallel Networks to Minimize Weighted Completion Time}, journal = {CoRR}, volume = {abs/2307.04107}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.04107}, doi = {10.48550/ARXIV.2307.04107}, eprinttype = {arXiv}, eprint = {2307.04107}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-04107.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-08910, author = {Huiyuan Chen and Chin{-}Chia Michael Yeh and Yujie Fan and Yan Zheng and Junpeng Wang and Vivian Lai and Mahashweta Das and Hao Yang}, title = {Sharpness-Aware Graph Collaborative Filtering}, journal = {CoRR}, volume = {abs/2307.08910}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.08910}, doi = {10.48550/ARXIV.2307.08910}, eprinttype = {arXiv}, eprint = {2307.08910}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-08910.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-01329, author = {Yan Zheng and Junpeng Wang and Chin{-}Chia Michael Yeh and Yujie Fan and Huiyuan Chen and Liang Wang and Wei Zhang}, title = {EmbeddingTree: Hierarchical Exploration of Entity Features in Embedding}, journal = {CoRR}, volume = {abs/2308.01329}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.01329}, doi = {10.48550/ARXIV.2308.01329}, eprinttype = {arXiv}, eprint = {2308.01329}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-01329.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-10347, author = {Vivian Lai and Huiyuan Chen and Chin{-}Chia Michael Yeh and Minghua Xu and Yiwei Cai and Hao Yang}, title = {Enhancing Transformers without Self-supervised Learning: {A} Loss Landscape Perspective in Sequential Recommendation}, journal = {CoRR}, volume = {abs/2308.10347}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.10347}, doi = {10.48550/ARXIV.2308.10347}, eprinttype = {arXiv}, eprint = {2308.10347}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-10347.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-13541, author = {Huiyuan Chen and Xiaoting Li and Vivian Lai and Chin{-}Chia Michael Yeh and Yujie Fan and Yan Zheng and Mahashweta Das and Hao Yang}, title = {Adversarial Collaborative Filtering for Free}, journal = {CoRR}, volume = {abs/2308.13541}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.13541}, doi = {10.48550/ARXIV.2308.13541}, eprinttype = {arXiv}, eprint = {2308.13541}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-13541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01032, author = {Huiyuan Chen and Kaixiong Zhou and Kwei{-}Herng Lai and Chin{-}Chia Michael Yeh and Yan Zheng and Xia Hu and Hao Yang}, title = {Hessian-aware Quantized Node Embeddings for Recommendation}, journal = {CoRR}, volume = {abs/2309.01032}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01032}, doi = {10.48550/ARXIV.2309.01032}, eprinttype = {arXiv}, eprint = {2309.01032}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01032.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11972, author = {Chih{-}Wei Chien and Chi{-}Yeh Chen}, title = {Generalize Synchronization Mechanism: Specification, Properties, Limits}, journal = {CoRR}, volume = {abs/2309.11972}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11972}, doi = {10.48550/ARXIV.2309.11972}, eprinttype = {arXiv}, eprint = {2309.11972}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11972.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-03916, author = {Chin{-}Chia Michael Yeh and Xin Dai and Huiyuan Chen and Yan Zheng and Yujie Fan and Audrey Der and Vivian Lai and Zhongfang Zhuang and Junpeng Wang and Liang Wang and Wei Zhang}, title = {Toward a Foundation Model for Time Series Data}, journal = {CoRR}, volume = {abs/2310.03916}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.03916}, doi = {10.48550/ARXIV.2310.03916}, eprinttype = {arXiv}, eprint = {2310.03916}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-03916.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-03919, author = {Chin{-}Chia Michael Yeh and Huiyuan Chen and Xin Dai and Yan Zheng and Junpeng Wang and Vivian Lai and Yujie Fan and Audrey Der and Zhongfang Zhuang and Liang Wang and Wei Zhang and Jeff M. Phillips}, title = {An Efficient Content-based Time Series Retrieval System}, journal = {CoRR}, volume = {abs/2310.03919}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.03919}, doi = {10.48550/ARXIV.2310.03919}, eprinttype = {arXiv}, eprint = {2310.03919}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-03919.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-03925, author = {Chin{-}Chia Michael Yeh and Xin Dai and Yan Zheng and Junpeng Wang and Huiyuan Chen and Yujie Fan and Audrey Der and Zhongfang Zhuang and Liang Wang and Wei Zhang}, title = {Multitask Learning for Time Series Data with 2D Convolution}, journal = {CoRR}, volume = {abs/2310.03925}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.03925}, doi = {10.48550/ARXIV.2310.03925}, eprinttype = {arXiv}, eprint = {2310.03925}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-03925.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-17419, author = {You{-}Ming Chang and Chen Yeh and Wei{-}Chen Chiu and Ning Yu}, title = {AntifakePrompt: Prompt-Tuned Vision-Language Models are Fake Image Detectors}, journal = {CoRR}, volume = {abs/2310.17419}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.17419}, doi = {10.48550/ARXIV.2310.17419}, eprinttype = {arXiv}, eprint = {2310.17419}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-17419.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02560, author = {Chin{-}Chia Michael Yeh and Huiyuan Chen and Xin Dai and Yan Zheng and Yujie Fan and Vivian Lai and Junpeng Wang and Audrey Der and Zhongfang Zhuang and Liang Wang and Wei Zhang}, title = {Temporal Treasure Hunt: Content-based Time Series Retrieval System for Discovering Insights}, journal = {CoRR}, volume = {abs/2311.02560}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02560}, doi = {10.48550/ARXIV.2311.02560}, eprinttype = {arXiv}, eprint = {2311.02560}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02560.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02561, author = {Chin{-}Chia Michael Yeh and Huiyuan Chen and Yujie Fan and Xin Dai and Yan Zheng and Vivian Lai and Junpeng Wang and Zhongfang Zhuang and Liang Wang and Wei Zhang and Eamonn J. Keogh}, title = {Ego-Network Transformer for Subsequence Classification in Time Series Data}, journal = {CoRR}, volume = {abs/2311.02561}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02561}, doi = {10.48550/ARXIV.2311.02561}, eprinttype = {arXiv}, eprint = {2311.02561}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02561.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02563, author = {Audrey Der and Chin{-}Chia Michael Yeh and Yan Zheng and Junpeng Wang and Huiyuan Chen and Zhongfang Zhuang and Liang Wang and Wei Zhang and Eamonn J. Keogh}, title = {Time Series Synthesis Using the Matrix Profile for Anonymization}, journal = {CoRR}, volume = {abs/2311.02563}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02563}, doi = {10.48550/ARXIV.2311.02563}, eprinttype = {arXiv}, eprint = {2311.02563}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02563.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-03393, author = {Chin{-}Chia Michael Yeh and Yan Zheng and Menghai Pan and Huiyuan Chen and Zhongfang Zhuang and Junpeng Wang and Liang Wang and Wei Zhang and Jeff M. Phillips and Eamonn J. Keogh}, title = {Sketching Multidimensional Time Series for Fast Discord Mining}, journal = {CoRR}, volume = {abs/2311.03393}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.03393}, doi = {10.48550/ARXIV.2311.03393}, eprinttype = {arXiv}, eprint = {2311.03393}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-03393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-11296, author = {Chi{-}Yeh Chen}, title = {Improved Approximation Algorithms for Minimizing the Total Weighted Completion Time of Coflows}, journal = {CoRR}, volume = {abs/2311.11296}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.11296}, doi = {10.48550/ARXIV.2311.11296}, eprinttype = {arXiv}, eprint = {2311.11296}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-11296.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06668, author = {Yi{-}Hui Chou and Kalvin Chang and Meng{-}Ju Wu and Winston Ou and Alice Wen{-}Hsin Bi and Carol Yang and Bryan Y. Chen and Rong{-}Wei Pai and Po{-}Yen Yeh and Jo{-}Peng Chiang and Iu{-}Tshian Phoann and Winnie Chang and Chenxuan Cui and Noel Chen and Jiatong Shi}, title = {Evaluating Self-supervised Speech Models on a Taiwanese Hokkien Corpus}, journal = {CoRR}, volume = {abs/2312.06668}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06668}, doi = {10.48550/ARXIV.2312.06668}, eprinttype = {arXiv}, eprint = {2312.06668}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06668.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-16413, author = {Chi{-}Yeh Chen}, title = {Improved Approximation Coflows Scheduling Algorithms for Minimizing the Total Weighted Completion Time and Makespan in Heterogeneous Parallel Networks}, journal = {CoRR}, volume = {abs/2312.16413}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.16413}, doi = {10.48550/ARXIV.2312.16413}, eprinttype = {arXiv}, eprint = {2312.16413}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-16413.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLTYYKC22, author = {Mei{-}Juan Chen and Cheng{-}An Lee and Yu{-}Hsiang Tsai and Chieh{-}Ming Yang and Chia{-}Hung Yeh and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Efficient Partition Decision Based on Visual Perception and Machine Learning for H.266/Versatile Video Coding}, journal = {{IEEE} Access}, volume = {10}, pages = {42127--42136}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3168155}, doi = {10.1109/ACCESS.2022.3168155}, timestamp = {Fri, 20 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLTYYKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WongTCCYWY22, author = {Ching{-}Chang Wong and Chi{-}Yi Tsai and Ren{-}Jie Chen and Shao{-}Yu Chien and Yi{-}He Yang and Shang{-}Wen Wong and Chun{-}An Yeh}, title = {Generic Development of Bin Pick-and-Place System Based on Robot Operating System}, journal = {{IEEE} Access}, volume = {10}, pages = {65257--65270}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3182114}, doi = {10.1109/ACCESS.2022.3182114}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WongTCCYWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehLLKLCC22, author = {Chien{-}Hung Yeh and Yu{-}Ting Lai and Li{-}Hung Liu and Han{-}Shin Ko and Yi{-}Ting Lai and Yi{-}Chi Chen and Chi{-}Wai Chow}, title = {Use of Simple Octa-Ring Configuration for Tunable Erbium Laser With Single-Mode Output}, journal = {{IEEE} Access}, volume = {10}, pages = {38750--38754}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3165823}, doi = {10.1109/ACCESS.2022.3165823}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehLLKLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/LinYCCHCH22, author = {Sheng{-}Jie Lin and Wen{-}Chao Yeh and Yu{-}Wen Chiu and Yung{-}Chun Chang and Min{-}Huei Hsu and Yi{-}Shin Chen and Wen{-}Lian Hsu}, title = {A BERT-based ensemble learning approach for the BioCreative {VII} challenges: full-text chemical identification and multi-label classification in PubMed articles}, journal = {Database J. Biol. Databases Curation}, volume = {2022}, number = {2022}, year = {2022}, url = {https://doi.org/10.1093/database/baac056}, doi = {10.1093/DATABASE/BAAC056}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/LinYCCHCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/HsuLYC22, author = {Fu{-}Hui Hsu and I{-}Hsiu Lin and Hui{-}Chin Yeh and Nian{-}Shing Chen}, title = {Effect of Socratic Reflection Prompts via video-based learning system on elementary school students' critical thinking skills}, journal = {Comput. Educ.}, volume = {183}, pages = {104497}, year = {2022}, url = {https://doi.org/10.1016/j.compedu.2022.104497}, doi = {10.1016/J.COMPEDU.2022.104497}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/HsuLYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/ChenCLY22, author = {Kuan{-}Wei Chen and Chih{-}Min Chao and Chih{-}Yu Lin and Chun{-}Chao Yeh}, title = {Anti-jamming channel hopping protocol design based on channel occupancy probability for Cognitive Radio Networks}, journal = {Comput. Networks}, volume = {214}, pages = {109125}, year = {2022}, url = {https://doi.org/10.1016/j.comnet.2022.109125}, doi = {10.1016/J.COMNET.2022.109125}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/ChenCLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eis/ChenLWLL22, author = {Chi{-}Hua Chen and Genggeng Liu and Yu{-}Chih Wei and Zuoyong Li and Bon{-}Yeh Lin}, title = {A pre-signed response method based on online certificate status protocol request prediction}, journal = {Enterp. Inf. Syst.}, volume = {16}, number = {8-9}, year = {2022}, url = {https://doi.org/10.1080/17517575.2021.1986861}, doi = {10.1080/17517575.2021.1986861}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eis/ChenLWLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/DeverajanMHKCC22, author = {Ganesh Gopal Deverajan and V. Muthukumaran and Ching{-}Hsien Hsu and Marimuthu Karuppiah and Yeh{-}Ching Chung and Ying{-}Huei Chen}, title = {Public key encryption with equality test for Industrial Internet of Things system in cloud computing}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {33}, number = {4}, year = {2022}, url = {https://doi.org/10.1002/ett.4202}, doi = {10.1002/ETT.4202}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/DeverajanMHKCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/LinCYLSKC22, author = {Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Shinfeng D. Lin and Kuen{-}Liang Sue and Lih{-}Jen Kau and Yi{-}Sheng Ciou}, title = {Vision-oriented algorithm for fast decision in 3D video coding}, journal = {{IET} Image Process.}, volume = {16}, number = {8}, pages = {2263--2281}, year = {2022}, url = {https://doi.org/10.1049/ipr2.12488}, doi = {10.1049/IPR2.12488}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-ipr/LinCYLSKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/LuoCY22, author = {Yu{-}Ting Luo and Ching{-}Fang Chen and Syh{-}Shiuh Yeh}, title = {Determining the maximal inscribed rectangle of an irregularly shaped stone using machine vision}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {35}, number = {7}, pages = {706--724}, year = {2022}, url = {https://doi.org/10.1080/0951192x.2021.2022761}, doi = {10.1080/0951192X.2021.2022761}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/LuoCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/ChenCL22, author = {Chun{-}Hao Chen and Po{-}Yeh Chen and Jerry Chun{-}Wei Lin}, title = {An Ensemble Classifier for Stock Trend Prediction Using Sentence-Level Chinese News Sentiment and Technical Indicators}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {7}, number = {3}, pages = {53}, year = {2022}, url = {https://doi.org/10.9781/ijimai.2022.02.004}, doi = {10.9781/IJIMAI.2022.02.004}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijimai/ChenCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ManieYYTP22, author = {Yibeltal Chanie Manie and Cheng{-}Kai Yao and Tsung{-}Yuan Yeh and Yu{-}Chieh Teng and Peng{-}Chun Peng}, title = {Laser-Based Optical Wireless Communications for Internet of Things (IoT) Application}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {23}, pages = {24466--24476}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3190619}, doi = {10.1109/JIOT.2022.3190619}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ManieYYTP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/ChenH22, author = {Chi{-}Yeh Chen and Sun{-}Yuan Hsieh}, title = {An improved algorithm for the Steiner tree problem with bounded edge-length}, journal = {J. Comput. Syst. Sci.}, volume = {123}, pages = {20--36}, year = {2022}, url = {https://doi.org/10.1016/j.jcss.2021.07.003}, doi = {10.1016/J.JCSS.2021.07.003}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcss/ChenH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jeim/YehC22, author = {Jen{-}Yin Yeh and Chi{-}Hua Chen}, title = {A machine learning approach to predict the success of crowdfunding fintech project}, journal = {J. Enterp. Inf. Manag.}, volume = {35}, number = {6}, pages = {1678--1696}, year = {2022}, url = {https://doi.org/10.1108/JEIM-01-2019-0017}, doi = {10.1108/JEIM-01-2019-0017}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jeim/YehC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/LiangCWYHC22, author = {Tung{-}Ming Liang and Ming{-}Sung Chiu and Yun{-}Cheng Wu and Ming{-}Tsung Yeh and Chao{-}Hsing Hsu and Yi{-}Nung Chung}, title = {Applying Image Processing Technology to Face Recognition}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {13}, number = {2}, pages = {106--112}, year = {2022}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2022/vol13/N2/01.JIHMSP-1605.pdf}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jihmsp/LiangCWYHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joeuc/YuanYWLCC22, author = {Yu{-}Hsi Yuan and Yi{-}Cheng Yeh and Chia{-}Huei Wu and Cheng{-}Yong Liu and Hsin{-}Hao Chen and Chien{-}Wen Chen}, title = {The Study of Para-Social Interaction With E-Word-of-Mouth for Influencer Marketing by Complex Computing}, journal = {J. Organ. End User Comput.}, volume = {34}, number = {3}, pages = {1--15}, year = {2022}, url = {https://doi.org/10.4018/joeuc.287105}, doi = {10.4018/JOEUC.287105}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joeuc/YuanYWLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/LaiWYWTC22, author = {Yu{-}Liang Lai and Yu{-}Dan Wu and Huan{-}Jui Yeh and Ya{-}Ting Wu and Hsin{-}Yu Tsai and Jung{-}Chih Chen}, title = {Using convolutional neural network to analyze brain {MRI} images for predicting functional outcomes of stroke}, journal = {Medical Biol. Eng. Comput.}, volume = {60}, number = {10}, pages = {2841--2849}, year = {2022}, url = {https://doi.org/10.1007/s11517-022-02636-7}, doi = {10.1007/S11517-022-02636-7}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/LaiWYWTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HsuLLY22, author = {Chih{-}Chung Hsu and Chia{-}Yen Lee and Cheng{-}Jhong Lin and Hung Yeh}, title = {A comprehensive study of age-related macular degeneration detection}, journal = {Multim. Tools Appl.}, volume = {81}, number = {9}, pages = {11897--11916}, year = {2022}, url = {https://doi.org/10.1007/s11042-021-11896-8}, doi = {10.1007/S11042-021-11896-8}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HsuLLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LeeCYCLC22, author = {Ming{-}Che Lee and Jia{-}Wei Chang and Sheng{-}Cheng Yeh and Tsorng{-}Lin Chia and Jie{-}Shan Liao and Xu{-}Ming Chen}, title = {Applying attention-based BiLSTM and technical indicators in the design and performance analysis of stock trading strategies}, journal = {Neural Comput. Appl.}, volume = {34}, number = {16}, pages = {13267--13279}, year = {2022}, url = {https://doi.org/10.1007/s00521-021-06828-4}, doi = {10.1007/S00521-021-06828-4}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/LeeCYCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/MaffeiGSAAZWMHS22, author = {Chiara Maffei and Gabriel Girard and Kurt G. Schilling and Dogu Baran Aydogan and Nagesh Adluru and Andrey Zhylka and Ye Wu and Matteo Mancini and Andac Hamamci and Alessia Sarica and Achille Teillac and Steven H. Baete and Davood Karimi and Fang{-}Cheng Yeh and Mert E. Yildiz and Ali Gholipour and Yann Bihan{-}Poudec and Bassem Hiba and Andrea Quattrone and Aldo Quattrone and Tommy Boshkovski and Nikola Stikov and Pew{-}Thian Yap and Alberto De Luca and Josien P. W. Pluim and Alexander Leemans and Vivek Prabhakaran and Barbara B. Bendlin and Andrew L. Alexander and Bennett A. Landman and Erick Jorge Canales{-}Rodr{\'{\i}}guez and Muhamed Barakovic and Jonathan Rafael{-}Patino and Thomas Yu and Ga{\"{e}}tan Rensonnet and Simona Schiavi and Alessandro Daducci and Marco Pizzolato and Elda Fischi Gomez and Jean{-}Philippe Thiran and George Dai and Giorgia Grisot and Nikola Lazovski and Santi Puch and Marc Ramos and Paulo Rodrigues and Vesna Prckovska and Robert Jones and Julia Lehman and Suzanne N. Haber and Anastasia Yendiki}, title = {Insights from the IronTract challenge: Optimal methods for mapping brain pathways from multi-shell diffusion {MRI}}, journal = {NeuroImage}, volume = {257}, pages = {119327}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119327}, doi = {10.1016/J.NEUROIMAGE.2022.119327}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/MaffeiGSAAZWMHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/WuTLCYCCHPHCLSL22, author = {I{-}Wen Wu and Tsung{-}Hsien Tsai and Chi{-}Jen Lo and Yi{-}Ju Chou and Chi{-}Hsiao Yeh and Yun{-}Hsuan Chan and Jun{-}Hong Chen and Paul Wei{-}Che Hsu and Heng{-}Chih Pan and Heng{-}Jung Hsu and Chun{-}Yu Chen and Chin{-}Chan Lee and Yu{-}Chiau Shyu and Chih{-}Lang Lin and Mei{-}Ling Cheng and Chi{-}Chun Lai and Huey{-}Kang Sytwu and Ting{-}Fen Tsai}, title = {Discovering a trans-omics biomarker signature that predisposes high risk diabetic patients to diabetic kidney disease}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00713-7}, doi = {10.1038/S41746-022-00713-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/WuTLCYCCHPHCLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LiNZHLSCL22, author = {Weibing Li and Wing Yin Ng and Xue Zhang and Yisen Huang and Yehui Li and Chengzhi Song and Philip Wai Yan Chiu and Zheng Li}, title = {A Kinematic Modeling and Control Scheme for Different Robotic Endoscopes: {A} Rudimentary Research Prototype}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {4}, pages = {8885--8892}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3186758}, doi = {10.1109/LRA.2022.3186758}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/LiNZHLSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ChenCYA22, author = {Chien{-}Ming Chen and Shehzad Ashraf Chaudhry and Kuo{-}Hui Yeh and Muhammad Naveed Aman}, title = {Security, Trust and Privacy for Cloud, Fog and Internet of Things}, journal = {Secur. Commun. Networks}, volume = {2022}, pages = {9841709:1--9841709:2}, year = {2022}, url = {https://doi.org/10.1155/2022/9841709}, doi = {10.1155/2022/9841709}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scn/ChenCYA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenFYMLW22, author = {Wei{-}Han Chen and Yang{-}Chih Feng and Ming{-}Chia Yeh and Hsi{-}Pin Ma and Chiang Liu and Cheng{-}Wen Wu}, title = {Impact Position Estimation for Baseball Batting with a Force-Irrelevant Vibration Feature}, journal = {Sensors}, volume = {22}, number = {4}, pages = {1553}, year = {2022}, url = {https://doi.org/10.3390/s22041553}, doi = {10.3390/S22041553}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenFYMLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeYCC22, author = {Ming{-}Che Lee and Sheng{-}Cheng Yeh and Jia{-}Wei Chang and Zhen{-}Yi Chen}, title = {Research on Chinese Speech Emotion Recognition Based on Deep Neural Network and Acoustic Features}, journal = {Sensors}, volume = {22}, number = {13}, pages = {4744}, year = {2022}, url = {https://doi.org/10.3390/s22134744}, doi = {10.3390/S22134744}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeYCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehWHCC22, author = {Sheng{-}Cheng Yeh and Chia{-}Hui Wang and Chaur{-}Heh Hsieh and Yih{-}Shyh Chiou and Tsung{-}Pao Cheng}, title = {Cost-Effective Fitting Model for Indoor Positioning Systems Based on Bluetooth Low Energy}, journal = {Sensors}, volume = {22}, number = {16}, pages = {6007}, year = {2022}, url = {https://doi.org/10.3390/s22166007}, doi = {10.3390/S22166007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YehWHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/WuGCKC22, author = {Tsu{-}Yang Wu and Xinglan Guo and Yeh{-}Cheng Chen and Saru Kumari and Chien{-}Ming Chen}, title = {{SGXAP:} SGX-Based Authentication Protocol in IoV-Enabled Fog Computing}, journal = {Symmetry}, volume = {14}, number = {7}, pages = {1393}, year = {2022}, url = {https://doi.org/10.3390/sym14071393}, doi = {10.3390/SYM14071393}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/WuGCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SieLCYLLHCT22, author = {Syuan{-}Hao Sie and Jye{-}Luen Lee and Yi{-}Ren Chen and Zuo{-}Wei Yeh and Zhaofang Li and Chih{-}Cheng Lu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang and Kea{-}Tiong Tang}, title = {{MARS:} Multimacro Architecture {SRAM} CIM-Based Accelerator With Co-Designed Compressed Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {5}, pages = {1550--1562}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3082107}, doi = {10.1109/TCAD.2021.3082107}, timestamp = {Tue, 26 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SieLCYLLHCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChiuDLCWHLCLTCL22, author = {Ching{-}Te Chiu and Yu{-}Chun Ding and Wei{-}Chen Lin and Wei{-}Jyun Chen and Shu{-}Yun Wu and Chao{-}Tsung Huang and Chun{-}Yeh Lin and Chia{-}Yu Chang and Meng{-}Jui Lee and Shimazu Tatsunori and Tsung Chen and Fan{-}Yi Lin and Yuan{-}Hao Huang}, title = {Chaos LiDAR Based {RGB-D} Face Classification System With Embedded {CNN} Accelerator on FPGAs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {12}, pages = {4847--4859}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2022.3190430}, doi = {10.1109/TCSI.2022.3190430}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/ChiuDLCWHLCLTCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/YenYC22, author = {Chia{-}Chen Yen and Mi{-}Yen Yeh and Ming{-}Syan Chen}, title = {Unified Multiple Constant Multipliers for Dynamic Exchange of Low-Precision Kernels on FPGAs}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {3}, pages = {1617--1621}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2021.3130428}, doi = {10.1109/TCSII.2021.3130428}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/YenYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/HuCC22, author = {Nien{-}Tsu Hu and Li{-}Yeh Chen and Chin{-}Sheng Chen}, title = {Novel Cross-Coupling Position Command Shaping Controller Using H\({}_{\mbox{{\(\infty\)}}}\) in Multiaxis Motion Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {12}, pages = {13099--13110}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3127022}, doi = {10.1109/TIE.2021.3127022}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/HuCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ChenLSCBYW22, author = {Yi{-}Ta Chen and Hsing{-}Hao Lee and Ching{-}Yen Shih and Zih{-}Ling Chen and Win{-}Ken Beh and Su{-}Ling Yeh and An{-}Yeu Wu}, title = {An Effective Entropy-Assisted Mind-Wandering Detection System Using {EEG} Signals of {MM-SART} Database}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {8}, pages = {3649--3660}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3187346}, doi = {10.1109/JBHI.2022.3187346}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ChenLSCBYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ChenTWCLCTSY22, author = {Chun{-}Chuan Chen and Meng{-}Chang Tsai and Eric Hsiao{-}Kuang Wu and Chia{-}Ru Chung and Yuchi Lee and Po{-}Ru Chiu and Po{-}Yi Tsai and Shao{-}Rong Sheng and Shih{-}Ching Yeh}, title = {Neuronal Abnormalities Induced by an Intelligent Virtual Reality System for Methamphetamine Use Disorder}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {7}, pages = {3458--3465}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3154759}, doi = {10.1109/JBHI.2022.3154759}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ChenTWCLCTSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/HsiehHYZ22, author = {Sun{-}Yuan Hsieh and Chih{-}Wei Hsu and Cheng{-}Han Yeh and Geng{-}Hua Zhang}, title = {Novel scheme for reducing communication data traffic in advanced metering infrastructure networks}, journal = {J. Supercomput.}, volume = {78}, number = {6}, pages = {8219--8246}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-04143-2}, doi = {10.1007/S11227-021-04143-2}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/HsiehHYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmis/LiuZXTC22, author = {Genggeng Liu and Yuhan Zhu and Saijuan Xu and Hao Tang and Yeh{-}Cheng Chen}, title = {Performance-Driven X-Architecture Routing Algorithm for Artificial Intelligence Chip Design in Smart Manufacturing}, journal = {{ACM} Trans. Manag. Inf. Syst.}, volume = {13}, number = {4}, pages = {38:1--38:20}, year = {2022}, url = {https://doi.org/10.1145/3519422}, doi = {10.1145/3519422}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmis/LiuZXTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/LinCYCKCL22, author = {Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Yong{-}Ci Chen and Lih{-}Jen Kau and Chuan{-}Yu Chang and Min{-}Hui Lin}, title = {Visual Perception Based Algorithm for Fast Depth Intra Coding of 3D-HEVC}, journal = {{IEEE} Trans. Multim.}, volume = {24}, pages = {1707--1720}, year = {2022}, url = {https://doi.org/10.1109/TMM.2021.3070106}, doi = {10.1109/TMM.2021.3070106}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/LinCYCKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenC22, author = {YuAng Chen and Yeh{-}Ching Chung}, title = {Workload Balancing via Graph Reordering on Multicore Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {33}, number = {5}, pages = {1231--1245}, year = {2022}, url = {https://doi.org/10.1109/TPDS.2021.3105323}, doi = {10.1109/TPDS.2021.3105323}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/ChenC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HuangHLLY22, author = {Chun{-}Rong Huang and Wei{-}Yun Huang and Yi{-}Sheng Liao and Chien{-}Cheng Lee and Yu{-}Wei Yeh}, title = {A Content-Adaptive Resizing Framework for Boosting Computation Speed of Background Modeling Methods}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {52}, number = {2}, pages = {1192--1204}, year = {2022}, url = {https://doi.org/10.1109/TSMC.2020.3018872}, doi = {10.1109/TSMC.2020.3018872}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HuangHLLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/ChenY22, author = {Zifeng Chen and Anthony Gar{-}On Yeh}, title = {Delineating functional urban areas in Chinese mega city regions using fine-grained population data and cellphone location data: {A} case of Pearl River Delta}, journal = {Comput. Environ. Urban Syst.}, volume = {93}, pages = {101771}, year = {2022}, url = {https://doi.org/10.1016/j.compenvurbsys.2022.101771}, doi = {10.1016/J.COMPENVURBSYS.2022.101771}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urban/ChenY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChenCYYDK22, author = {Wei{-}Ting Chen and I{-}Hsiang Chen and Chih{-}Yuan Yeh and Hao{-}Hsiang Yang and Jian{-}Jiun Ding and Sy{-}Yen Kuo}, title = {SJDL-Vehicle: Semi-supervised Joint Defogging Learning for Foggy Vehicle Re-identification}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {347--355}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i1.19911}, doi = {10.1609/AAAI.V36I1.19911}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChenCYYDK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YehCSCH22, author = {Jia{-}Fong Yeh and Chi{-}Ming Chung and Hung{-}Ting Su and Yi{-}Ting Chen and Winston H. Hsu}, title = {Stage Conscious Attention Network {(SCAN):} {A} Demonstration-Conditioned Policy for Few-Shot Imitation}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {8866--8873}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i8.20868}, doi = {10.1609/AAAI.V36I8.20868}, timestamp = {Sat, 21 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YehCSCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChiangCYN22, author = {Ting{-}Rui Chiang and Yi{-}Pei Chen and Yi{-}Ting Yeh and Graham Neubig}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Breaking Down Multilingual Machine Translation}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {2766--2780}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.218}, doi = {10.18653/V1/2022.FINDINGS-ACL.218}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChiangCYN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/ChangCYS22, author = {Yin{-}Jui Chang and Yuan{-}I Chen and Hsin{-}Chih Yeh and Samantha R. Santacruz}, title = {Multi-scale dynamics modeling of spike and field potential activity via biologically realistic neural ordinary differential equations}, booktitle = {56th Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2022, Pacific Grove, CA, USA, October 31 - Nov. 2, 2022}, pages = {613--617}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEECONF56349.2022.10051855}, doi = {10.1109/IEEECONF56349.2022.10051855}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/ChangCYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/ChenCSLSY22, author = {Yuan{-}I Chen and Yin{-}Jui Chang and Yuansheng Sun and Shih{-}Chu Liao and Samantha R. Santacruz and Hsin{-}Chih Yeh}, title = {Generative adversarial network improves the resolution of pulsed {STED} microscopy}, booktitle = {56th Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2022, Pacific Grove, CA, USA, October 31 - Nov. 2, 2022}, pages = {116--120}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEECONF56349.2022.10051896}, doi = {10.1109/IEEECONF56349.2022.10051896}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/ChenCSLSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amta/AboagyeZYWZCWZP22, author = {Prince Osei Aboagye and Yan Zheng and Chin{-}Chia Michael Yeh and Junpeng Wang and Zhongfang Zhuang and Huiyuan Chen and Liang Wang and Wei Zhang and Jeff M. Phillips}, editor = {Kevin Duh and Francisco Guzm{\'{a}}n and Stephen Richardson}, title = {Quantized Wasserstein Procrustes Alignment of Word Embedding Spaces}, booktitle = {Proceedings of the 15th biennial conference of the Association for Machine Translation in the Americas (Volume 1: Research Track), {AMTA} 2022, Orlando, USA, September 12-16, 2022}, pages = {200--214}, publisher = {Association for Machine Translation in the Americas}, year = {2022}, url = {https://aclanthology.org/2022.amta-research.15}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amta/AboagyeZYWZCWZP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LinLHHWWHLYW22, author = {Tay{-}Jyi Lin and Chen{-}Zong Liao and You{-}Jia Hu and Wei{-}Cheng Hsu and Zheng{-}Xian Wu and Shao{-}Yu Wang and Chun{-}Ming Huang and Ying{-}Hui Lai and Chingwei Yeh and Jinn{-}Shyan Wang}, title = {A 40nm {CMOS} SoC for Real-Time Dysarthric Voice Conversion of Stroke Patients}, booktitle = {27th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2022, Taipei, Taiwan, January 17-20, 2022}, pages = {7--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ASP-DAC52403.2022.9712584}, doi = {10.1109/ASP-DAC52403.2022.9712584}, timestamp = {Fri, 04 Mar 2022 13:11:07 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/LinLHHWWHLYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ChenWLCYW22, author = {Fu{-}Kuei Chen and You{-}Kwang Wang and Hsin{-}Piao Lin and Chien{-}Yu Chen and Shu{-}Ming Yeh and Ching{-}Yu Wang}, title = {Feasibility Study for Apnea Screening in Patients' Homes Using Radar and Machine Learning Method}, booktitle = {22nd {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2022, Taichung, Taiwan, November 7-9, 2022}, pages = {282--287}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBE55377.2022.00065}, doi = {10.1109/BIBE55377.2022.00065}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ChenWLCYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ChenHWKSL22, author = {Chih{-}Yuan Chen and Hsin{-}Hung Huang and Chiu{-}Yeh Wu and Li{-}Ting Kuo and Siou{-}Yu Shih and Por Lai}, title = {Telehealth Data-derived Visual Analytics for Health Informatics Applications in Coordinated Care of Patients with Multiple Comorbidities}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei, Taiwan, October 13-15, 2022}, pages = {444--447}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioCAS54905.2022.9948673}, doi = {10.1109/BIOCAS54905.2022.9948673}, timestamp = {Tue, 22 Nov 2022 09:54:51 +0100}, biburl = {https://dblp.org/rec/conf/biocas/ChenHWKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ChenWYL22, author = {Zizhao Chen and Hongliang Wang and Chia{-}Hui Yeh and Xilin Liu}, title = {Classify Respiratory Abnormality in Lung Sounds Using {STFT} and a Fine-Tuned ResNet18 Network}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2022, Taipei, Taiwan, October 13-15, 2022}, pages = {233--237}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioCAS54905.2022.9948614}, doi = {10.1109/BIOCAS54905.2022.9948614}, timestamp = {Tue, 22 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biocas/ChenWYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YehWCLCCC22, author = {Su{-}Fang Yeh and Meng{-}Hsin Wu and Tze{-}Yu Chen and Yen{-}Chun Lin and Xi{-}Jing Chang and You{-}Hsuan Chiang and Yung{-}Ju Chang}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {How to Guide Task-oriented Chatbot Users, and When: {A} Mixed-methods Study of Combinations of Chatbot Guidance Types and Timings}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {488:1--488:16}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3501941}, doi = {10.1145/3491102.3501941}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YehWCLCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/ZengCCCCY22, author = {Jiang{-}Yi Zeng and Li{-}En Chang and Hsin{-}Hung Cho and Chi{-}Yuan Chen and Han{-}Chieh Chao and Kuo{-}Hui Yeh}, title = {Using Poisson Distribution to Enhance CNN-based NB-IoT LDoS Attack Detection}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2022, Edinburgh, UK, June 22-24, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DSC54232.2022.9888864}, doi = {10.1109/DSC54232.2022.9888864}, timestamp = {Wed, 05 Oct 2022 15:16:45 +0200}, biburl = {https://dblp.org/rec/conf/desec/ZengCCCCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChenCYYCDK22, author = {Wei{-}Ting Chen and I{-}Hsiang Chen and Chih{-}Yuan Yeh and Hao{-}Hsiang Yang and Hua{-}En Chang and Jian{-}Jiun Ding and Sy{-}Yen Kuo}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{RVSL:} Robust Vehicle Similarity Learning in Real Hazy Scenes Based on Semi-supervised Learning}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XIV}}, series = {Lecture Notes in Computer Science}, volume = {13674}, pages = {427--443}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19781-9\_25}, doi = {10.1007/978-3-031-19781-9\_25}, timestamp = {Wed, 26 Oct 2022 09:56:20 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ChenCYYCDK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YehHHLCL22, author = {Chun{-}Hsiao Yeh and Cheng{-}Yao Hong and Yen{-}Chi Hsu and Tyng{-}Luh Liu and Yubei Chen and Yann LeCun}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Decoupled Contrastive Learning}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXVI}}, series = {Lecture Notes in Computer Science}, volume = {13686}, pages = {668--684}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19809-0\_38}, doi = {10.1007/978-3-031-19809-0\_38}, timestamp = {Thu, 03 Nov 2022 14:02:39 +0100}, biburl = {https://dblp.org/rec/conf/eccv/YehHHLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/DingLWWWLCH22, author = {Yu{-}Chun Ding and Kai{-}Pin Lin and Chi{-}Wen Weng and Li{-}Wei Wang and Huan{-}Ching Wang and Chun{-}Yeh Lin and Yong{-}Tai Chen and Chao{-}Tsung Huang}, title = {A 4.6-8.3 {TOPS/W} 1.2-4.9 {TOPS} CNN-based Computational Imaging Processor with Overlapped Stripe Inference Achieving 4K Ultra-HD 30fps}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {81--84}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911515}, doi = {10.1109/ESSCIRC55480.2022.9911515}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/DingLWWWLCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/Chang-ChienCHY22, author = {Yu{-}Ting Chang{-}Chien and Jacob Chun Cheng and Shih{-}Chieh Hsu and Yi Wen Yeh}, title = {Anthropomorphism of AI-based Intelligent Customer Service, and Its Affective and Behavioral Consequences}, booktitle = {55th Hawaii International Conference on System Sciences, {HICSS} 2022, Virtual Event / Maui, Hawaii, USA, January 4-7, 2022}, pages = {1--10}, publisher = {ScholarSpace}, year = {2022}, url = {http://hdl.handle.net/10125/79573}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/Chang-ChienCHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YehHHL22, author = {Chun{-}Hsiao Yeh and Cheng{-}Yao Hong and Yen{-}Chi Hsu and Tyng{-}Luh Liu}, title = {{SAGA:} Self-Augmentation with Guided Attention for Representation Learning}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {3463--3467}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747302}, doi = {10.1109/ICASSP43922.2022.9747302}, timestamp = {Tue, 07 Jun 2022 17:34:47 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YehHHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LoYWWTTL22, author = {Yun{-}Chen Lo and Chih{-}Chen Yeh and Jun{-}Shen Wu and Chia{-}Chun Wang and Yu{-}Chih Tsai and Wen{-}Chien Ting and Ren{-}Shuo Liu}, editor = {Tulika Mitra and Evangeline F. Y. Young and Jinjun Xiong}, title = {{ISSA:} Input-Skippable, Set-Associative Computing-in-Memory {(SA-CIM)} Architecture for Neural Network Accelerators}, booktitle = {Proceedings of the 41st {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2022, San Diego, California, USA, 30 October 2022 - 3 November 2022}, pages = {86:1--86:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3508352.3549333}, doi = {10.1145/3508352.3549333}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LoYWWTTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenYHCSC22, author = {I{-}Miao Chen and Pin{-}Yu Yeh and Ya{-}Chu Hsieh and Ting{-}Chi Chang and Wen{-}Fang Shen and Chiun{-}Li Chin}, title = {Larynx Object Segmentation and Indicators Generation Based on 3D VOSNet and Laryngeal Endoscopy Successive Images}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {351--352}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869059}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869059}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenYHCSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChenYLL22, author = {Hao{-}Yuan Chen and Yu{-}Chen Yeh and Makena Lu and Chia{-}Yu Lin}, title = {Image Confusion Applied to Industrial Defect Detection System}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {463--464}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869058}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869058}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChenYLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/CiouCYLHL22, author = {Yi{-}Sheng Ciou and Mei{-}Juan Chen and Chia{-}Hung Yeh and Chen{-}Rung Lu and Meng{-}Chun Hsieh and Chen Lo}, title = {Fast Multi-Type Tree Partition for {H.266/VVC} Inter Coding}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {471--472}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869026}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869026}, timestamp = {Fri, 09 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/CiouCYLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangLCLLHLCWLJ22, author = {Shao{-}Chang Huang and Jian{-}Hsing Lee and Chun{-}Chih Chen and Ching{-}Ho Li and Chih{-}Cherng Liao and Kai{-}Chieh Hsu and Gong{-}Kai Lin and Li{-}Fan Chen and Chien{-}Wei Wang and Chih{-}Hsuan Lin and Yeh{-}Ning Jou and Ke{-}Horng Chen}, title = {Gate Voltages Impacting on Latch-up Measurements}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {75--76}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869282}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869282}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangLCLLHLCWLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceb/LuCLLH22, author = {Min{-}Chen Lu and Ju{-}Peng Chen and Yu{-}Hsuan Li and Chin{-}Yeh Lin and Wei{-}Min Huang}, title = {Performance evaluation on the implementation of Pre-established Medical Processes for nurse practitioners in the hospitals}, booktitle = {International Conference on Electronic Business, {ICEB} 2022, Bangkok, Thailand, October 13-17, 2022}, pages = {30}, publisher = {Association for Information Systems}, year = {2022}, url = {https://aisel.aisnet.org/iceb2022/30}, timestamp = {Tue, 21 Feb 2023 11:02:19 +0100}, biburl = {https://dblp.org/rec/conf/iceb/LuCLLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icics/DongWYLCYWBBZKA22, author = {Bo Dong and Yuhang Wu and Micheal Yeh and Yusan Lin and Yuzhong Chen and Hao Yang and Fei Wang and Wanxin Bai and Krupa Brahmkstri and Yimin Zhang and Chinna Kummitha and Verma Abhisar}, editor = {Cristina Alcaraz and Liqun Chen and Shujun Li and Pierangela Samarati}, title = {Semi-supervised Context Discovery for Peer-Based Anomaly Detection in Multi-layer Networks}, booktitle = {Information and Communications Security - 24th International Conference, {ICICS} 2022, Canterbury, UK, September 5-8, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13407}, pages = {508--524}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15777-6\_28}, doi = {10.1007/978-3-031-15777-6\_28}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icics/DongWYLCYWBBZKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/LiuCTST22, author = {Guan{-}Yang Liu and Yen{-}Cheng Chuo and Yeh{-}Hsiang Tseng and Ching{-}Kai Shen and Jui{-}Che Tsai}, title = {Multiple-Beam Two-Dimensional Scanning Apparatus}, booktitle = {5th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2022, Hualien, Taiwan, July 22-24, 2022}, pages = {244--246}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICKII55100.2022.9983605}, doi = {10.1109/ICKII55100.2022.9983605}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ickii/LiuCTST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/YehCS22, author = {Yu{-}Peng Yeh and Shu{-}Jung Cheng and Chih{-}Hsiung Shen}, title = {Research on Intuitive Gesture Recognition Control and Navigation System of {UAV}}, booktitle = {5th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2022, Hualien, Taiwan, July 22-24, 2022}, pages = {5--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICKII55100.2022.9983607}, doi = {10.1109/ICKII55100.2022.9983607}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/YehCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/TsengLCYS22, author = {Sheng{-}Po Tseng and Jan{-}Yue Lin and Wei{-}Chien Cheng and Lo{-}Yao Yeh and Chih{-}Ya Shen}, title = {Decentralized Federated Learning with Enhanced Privacy Preservation}, booktitle = {{IEEE} International Conference on Multimedia and Expo Workshops, {ICME} Workshops 2022, Taipei, Taiwan, July 18-22, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICMEW56448.2022.9859507}, doi = {10.1109/ICMEW56448.2022.9859507}, timestamp = {Wed, 31 Aug 2022 10:57:44 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/TsengLCYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChienYH22, author = {Yu{-}Cheng Chien and Yi{-}Chun Yeh and Nen{-}Fu Huang}, title = {Deep Learning Based Route Information Extraction from Satellite Imagery for Agricultural Machinery Management}, booktitle = {International Conference on Information Networking, {ICOIN} 2022, Jeju-si, Republic of Korea, January 12-15, 2022}, pages = {101--106}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICOIN53446.2022.9687152}, doi = {10.1109/ICOIN53446.2022.9687152}, timestamp = {Tue, 01 Feb 2022 17:24:19 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ChienYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LiZCLZHNC022, author = {Jixiu Li and Tao Zhang and Truman Cheng and Yehui Li and Heng Zhang and Yisen Huang and Calvin Sze Hang Ng and Philip Wai Yan Chiu and Zheng Li}, title = {Design and Analysis of a Long-range Magnetic Actuated and Guided Endoscope for Uniport {VATS}}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {7650--7656}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811731}, doi = {10.1109/ICRA46639.2022.9811731}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LiZCLZHNC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iicaiet/KwanLY22, author = {Shwu Chen Charis Kwan and Yeh Ching Low and Kok{-}Lim Alvin Yau}, title = {Sleep-Wake Scheduling Scheme for Small Cell Base Stations: {A} Review}, booktitle = {{IEEE} International Conference on Artificial Intelligence in Engineering and Technology, {IICAIET} 2022, Kota Kinabalu, Malaysia, September 13-15, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IICAIET55139.2022.9936748}, doi = {10.1109/IICAIET55139.2022.9936748}, timestamp = {Fri, 18 Nov 2022 17:35:34 +0100}, biburl = {https://dblp.org/rec/conf/iicaiet/KwanLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChienGCYGHYCKKL22, author = {Wei{-}Chih Chien and Lynne M. Gignac and Y. C. Chou and C. H. Yang and N. Gong and H. Y. Ho and C. W. Yeh and H. Y. Cheng and W. Kim and I. T. Kuo and E. K. Lai and C. W. Cheng and L. Buzi and A. Ray and Chia{-}Sheng Hsu and Robert L. Bruce and Matthew BrightSky and H. L. Lung}, title = {Endurance Evaluation on {OTS-PCM} Device using Constant Current Stress Scheme}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {7--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764481}, doi = {10.1109/IRPS48227.2022.9764481}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChienGCYGHYCKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeHHCJLWLLHC22, author = {Jian{-}Hsing Lee and Yeh{-}Jen Huang and Li{-}Yang Hong and Li{-}Fan Chen and Yeh{-}Ning Jou and Shin{-}Cheng Lin and Walter Wohlmuth and Chih{-}Cherng Liao and Ching{-}Ho Li and Shoa{-}Chang Huang and Ke{-}Horng Chen}, title = {Incorporation of a Simple {ESD} Circuit in a 650V E-Mode GaN {HEMT} for All-Terminal {ESD} Protection}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764596}, doi = {10.1109/IRPS48227.2022.9764596}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/LeeHHCJLWLLHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SungFLCDYWL22, author = {Cheng{-}Lin Sung and Sheng{-}Ting Fan and Hang{-}Ting Lue and Wei{-}Chen Chen and Pei{-}Ying Du and Teng{-}Hao Yeh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {First Experimental Study of Floating-Body Cell Transient Reliability Characteristics of Both {N-} and P-Channel Vertical Gate-All-Around Devices with Split-Gate Structures}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764454}, doi = {10.1109/IRPS48227.2022.9764454}, timestamp = {Mon, 09 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/SungFLCDYWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/YehHCCTHC22, author = {Feng{-}Ming Yeh and Liang{-}Ying Huang and Chun{-}Yu Chiang and Rong{-}Seng Chang and Chuen{-}Lin Tien and Ya{-}Hui Hsieh and Der{-}Chin Chen}, title = {Color Refractive Error Exam for Speech Disorder}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2022, Penang, Malaysia, November 22-25, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISPACS57703.2022.10082789}, doi = {10.1109/ISPACS57703.2022.10082789}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/YehHCCTHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/YehGZCEZWW022, author = {Chin{-}Chia Michael Yeh and Mengting Gu and Yan Zheng and Huiyuan Chen and Javid Ebrahimi and Zhongfang Zhuang and Junpeng Wang and Liang Wang and Wei Zhang}, editor = {Aidong Zhang and Huzefa Rangwala}, title = {Embedding Compression with Hashing for Efficient Representation Learning in Large-Scale Graph}, booktitle = {{KDD} '22: The 28th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, August 14 - 18, 2022}, pages = {4391--4401}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534678.3539068}, doi = {10.1145/3534678.3539068}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/YehGZCEZWW022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mesa/ChenWLCYW22, author = {Fu{-}Kuei Chen and You{-}Kwang Wang and Hsin{-}Piao Lin and Chien{-}Yu Chen and Shu{-}Ming Yeh and Ching{-}Yu Wang}, title = {Detecting Anomalies of Daily Living of the Elderly Using Radar and Self-Comparison Method}, booktitle = {18th {IEEE/ASME} International Conference on Mechatronic and Embedded Systems and Applications, {MESA} 2022, Taipei, Taiwan, November 28-30, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MESA55290.2022.10004481}, doi = {10.1109/MESA55290.2022.10004481}, timestamp = {Fri, 27 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mesa/ChenWLCYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/YehY22, author = {Chia{-}Fu Yeh and Mei{-}Chen Yeh}, title = {A Semi-Supervised Learning Approach for Traditional Chinese Scene Text Detection}, booktitle = {24th {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2022, Shanghai, China, September 26-28, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MMSP55362.2022.9948768}, doi = {10.1109/MMSP55362.2022.9948768}, timestamp = {Fri, 25 Nov 2022 16:03:16 +0100}, biburl = {https://dblp.org/rec/conf/mmsp/YehY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HsuTCCLCSY22, author = {Li{-}Sheng Hsu and Deng{-}Cheng Tsai and Hei Man Chen and Yun{-}Han Chang and Yang Liu and Chi{-}Wai Chow and Shao{-}Hua Song and Chien{-}Hung Yeh}, title = {Using Received-Signal-Strength {(RSS)} Pre-Processing and Convolutional Neural Network {(CNN)} to Enhance Position Accuracy in Visible Light Positioning {(VLP)}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748179}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/HsuTCCLCSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KuoKWJAFCSHLYC22, author = {Pin{-}Cheng Kuo and Sheng{-}I Kuo and Ju{-}Wei Wang and Yin{-}He Jian and Zohauddin Ahmad and Po{-}Han Fu and You{-}Chia Chang and Jin{-}Wei Shi and Ding{-}Wei Huang and Yang Liu and Chien{-}Hung Yeh and Chi{-}Wai Chow}, title = {Actively Steerable Integrated Optical Phased Array {(OPA)} for Optical Wireless Communication {(OWC)}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748335}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/KuoKWJAFCSHLYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/PengTLCLY22, author = {Ching{-}Wei Peng and Deng{-}Cheng Tsai and Yun{-}Shen Lin and Chi{-}Wai Chow and Yang Liu and Chien{-}Hung Yeh}, title = {Long Short-Term Memory Neural Network to Enhance the Data Rate and Performance for Rolling Shutter Camera Based Visible Light Communication {(VLC)}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748538}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/PengTLCLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/TsaiCLCLY22, author = {Deng{-}Cheng Tsai and Yun{-}Han Chang and Yang Liu and Chi{-}Wai Chow and Yun{-}Shen Lin and Chien{-}Hung Yeh}, title = {Wide Field-of-View {(FOV)} Light-Diffusing Fiber Optical Transmitter for Rolling Shutter based Optical Camera Communication {(OCC)}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748511}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/TsaiCLCLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/ChenLPWYLZWY22, author = {Huiyuan Chen and Yusan Lin and Menghai Pan and Lan Wang and Chin{-}Chia Michael Yeh and Xiaoting Li and Yan Zheng and Fei Wang and Hao Yang}, editor = {Jennifer Golbeck and F. Maxwell Harper and Vanessa Murdock and Michael D. Ekstrand and Bracha Shapira and Justin Basilico and Keld T. Lundgaard and Even Oldridge}, title = {Denoising Self-Attentive Sequential Recommendation}, booktitle = {RecSys '22: Sixteenth {ACM} Conference on Recommender Systems, Seattle, WA, USA, September 18 - 23, 2022}, pages = {92--101}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3523227.3546788}, doi = {10.1145/3523227.3546788}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/recsys/ChenLPWYLZWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/ChenLZHYZY22, author = {Huiyuan Chen and Xiaoting Li and Kaixiong Zhou and Xia Hu and Chin{-}Chia Michael Yeh and Yan Zheng and Hao Yang}, editor = {Jennifer Golbeck and F. Maxwell Harper and Vanessa Murdock and Michael D. Ekstrand and Bracha Shapira and Justin Basilico and Keld T. Lundgaard and Even Oldridge}, title = {TinyKG: Memory-Efficient Training Framework for Knowledge Graph Neural Recommender Systems}, booktitle = {RecSys '22: Sixteenth {ACM} Conference on Recommender Systems, Seattle, WA, USA, September 18 - 23, 2022}, pages = {257--267}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3523227.3546760}, doi = {10.1145/3523227.3546760}, timestamp = {Sun, 28 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/recsys/ChenLZHYZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChenTWYH22, author = {Pin{-}Er Chen and Yu{-}Hsiang Tseng and Chi{-}Wei Wang and Fang{-}Chi Yeh and Shu{-}Kai Hsieh}, editor = {Yung{-}Chun Chang and Yi{-}Chin Huang}, title = {Analyzing discourse functions with acoustic features and phone embeddings: non-lexical items in Taiwan Mandarin}, booktitle = {Proceedings of the 34th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2022, Taipei, Taiwan, November 21-22, 2022}, pages = {136--146}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2022}, url = {https://aclanthology.org/2022.rocling-1.18}, timestamp = {Mon, 21 Nov 2022 17:08:17 +0100}, biburl = {https://dblp.org/rec/conf/rocling/ChenTWYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sdm/Yeh0WCZ0K22, author = {Chin{-}Chia Michael Yeh and Yan Zheng and Junpeng Wang and Huiyuan Chen and Zhongfang Zhuang and Wei Zhang and Eamonn J. Keogh}, editor = {Arindam Banerjee and Zhi{-}Hua Zhou and Evangelos E. Papalexakis and Matteo Riondato}, title = {Error-bounded Approximate Time Series Joins using Compact Dictionary Representations of Time Series}, booktitle = {Proceedings of the 2022 {SIAM} International Conference on Data Mining, {SDM} 2022, Alexandria, VA, USA, April 28-30, 2022}, pages = {181--189}, publisher = {{SIAM}}, year = {2022}, url = {https://doi.org/10.1137/1.9781611977172.21}, doi = {10.1137/1.9781611977172.21}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sdm/Yeh0WCZ0K22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenC22, author = {Weibin Chen and Yeh{-}Ching Chung}, title = {Profile-Guided optimization for Function Reordering: {A} Reinforcement Learning Approach}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2022, Prague, Czech Republic, October 9-12, 2022}, pages = {2326--2333}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SMC53654.2022.9945280}, doi = {10.1109/SMC53654.2022.9945280}, timestamp = {Thu, 01 Dec 2022 15:59:35 +0100}, biburl = {https://dblp.org/rec/conf/smc/ChenC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/YehCCTFKC22, author = {Yeu{-}Haw Yeh and Simon Yi{-}Hung Chen and Hung{-}Ming Chen and Deng{-}Yao Tu and Guan{-}Qi Fang and Yun{-}Chih Kuo and Po{-}Yang Chen}, title = {Substrate Signal Routing Solution Exploration for High-Density Packages with Machine Learning}, booktitle = {2022 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2022, Hsinchu, Taiwan, April 18-21, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSI-DAT54769.2022.9768081}, doi = {10.1109/VLSI-DAT54769.2022.9768081}, timestamp = {Mon, 23 May 2022 16:36:24 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/YehCCTFKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChienCCYHHCWTL22, author = {Jun{-}Chau Chien and Zong{-}Jun Cheng and Shu{-}Yan Chuang and Hsiu{-}Cheng Yeh and Guan{-}Yu Huang and Hung{-}Yu Hou and Yi{-}Ting Chen and Wei{-}Yang Weng and Chi{-}Yang Tseng and Liang{-}In Lin}, title = {A Scalable Standing-Wave-Oscillator-based Imager with Near-Field-Modulated Pixels Achieving 64{\%} Filling Factor for {RF} Intraoperative Imaging}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {162--163}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830290}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830290}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChienCCYHHCWTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ChenYWY22, author = {Huiyuan Chen and Chin{-}Chia Michael Yeh and Fei Wang and Hao Yang}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Graph Neural Transport Networks with Non-local Attentions for Recommender Systems}, booktitle = {{WWW} '22: The {ACM} Web Conference 2022, Virtual Event, Lyon, France, April 25 - 29, 2022}, pages = {1955--1964}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3485447.3512162}, doi = {10.1145/3485447.3512162}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/www/ChenYWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-07490, author = {Zuo{-}Wei Yeh and Chia{-}Hua Hsu and Alexander James White and Chen{-}Fu Yeh and Wen{-}Chieh Wu and Cheng{-}Te Wang and Chung{-}Chuan Lo and Kea{-}Tiong Tang}, title = {{POPPINS} : {A} Population-Based Digital Spiking Neuromorphic Processor with Integer Quadratic Integrate-and-Fire Neurons}, journal = {CoRR}, volume = {abs/2201.07490}, year = {2022}, url = {https://arxiv.org/abs/2201.07490}, eprinttype = {arXiv}, eprint = {2201.07490}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-07490.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-02651, author = {Chi{-}Yeh Chen}, title = {Scheduling Coflows for Minimizing the Total Weighted Completion Time in Identical Parallel Networks}, journal = {CoRR}, volume = {abs/2204.02651}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.02651}, doi = {10.48550/ARXIV.2204.02651}, eprinttype = {arXiv}, eprint = {2204.02651}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-02651.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-07799, author = {Chi{-}Yeh Chen}, title = {Scheduling Coflows for Minimizing the Total Weighted Completion Time in Heterogeneous Parallel Networks}, journal = {CoRR}, volume = {abs/2204.07799}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.07799}, doi = {10.48550/ARXIV.2204.07799}, eprinttype = {arXiv}, eprint = {2204.07799}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-07799.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-02474, author = {Chi{-}Yeh Chen}, title = {Scheduling Coflows with Precedence Constraints for Minimizing the Total Weighted Completion Time in Identical Parallel Networks}, journal = {CoRR}, volume = {abs/2205.02474}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.02474}, doi = {10.48550/ARXIV.2205.02474}, eprinttype = {arXiv}, eprint = {2205.02474}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-02474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-03017, author = {Chi{-}Yeh Chen and Min{-}Hsin Huang and Yung{-}Nien Sun and Chao{-}Han Lai}, title = {Development of Automatic Endotracheal Tube and Carina Detection on Portable Supine Chest Radiographs using Artificial Intelligence}, journal = {CoRR}, volume = {abs/2206.03017}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.03017}, doi = {10.48550/ARXIV.2206.03017}, eprinttype = {arXiv}, eprint = {2206.03017}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-03017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-05648, author = {Chin{-}Chia Michael Yeh and Mengting Gu and Yan Zheng and Huiyuan Chen and Javid Ebrahimi and Zhongfang Zhuang and Junpeng Wang and Liang Wang and Wei Zhang}, title = {Embedding Compression with Hashing for Efficient Representation Learning in Large-Scale Graph}, journal = {CoRR}, volume = {abs/2208.05648}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.05648}, doi = {10.48550/ARXIV.2208.05648}, eprinttype = {arXiv}, eprint = {2208.05648}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-05648.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-13943, author = {Zizhao Chen and Hongliang Wang and Chia{-}Hui Yeh and Xilin Liu}, title = {Classify Respiratory Abnormality in Lung Sounds Using {STFT} and a Fine-Tuned ResNet18 Network}, journal = {CoRR}, volume = {abs/2208.13943}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.13943}, doi = {10.48550/ARXIV.2208.13943}, eprinttype = {arXiv}, eprint = {2208.13943}, timestamp = {Thu, 01 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-13943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-02952, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Yi{-}Hsuan Tsai and Wei{-}Chen Chiu and Min Sun}, title = {BiFuse++: Self-supervised and Efficient Bi-projection Fusion for 360 Depth Estimation}, journal = {CoRR}, volume = {abs/2209.02952}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.02952}, doi = {10.48550/ARXIV.2209.02952}, eprinttype = {arXiv}, eprint = {2209.02952}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-02952.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08630, author = {Wei{-}Ting Chen and I{-}Hsiang Chen and Chih{-}Yuan Yeh and Hao{-}Hsiang Yang and Hua{-}En Chang and Jian{-}Jiun Ding and Sy{-}Yen Kuo}, title = {{RVSL:} Robust Vehicle Similarity Learning in Real Hazy Scenes Based on Semi-supervised Learning}, journal = {CoRR}, volume = {abs/2209.08630}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08630}, doi = {10.48550/ARXIV.2209.08630}, eprinttype = {arXiv}, eprint = {2209.08630}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08630.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-13274, author = {Chi{-}Ming Chung and Yang{-}Che Tseng and Ya{-}Ching Hsu and Xiang Qian Shi and Yun{-}Hung Hua and Jia{-}Fong Yeh and Wen{-}Chin Chen and Yi{-}Ting Chen and Winston H. Hsu}, title = {Orbeez-SLAM: {A} Real-time Monocular Visual {SLAM} with {ORB} Features and NeRF-realized Mapping}, journal = {CoRR}, volume = {abs/2209.13274}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.13274}, doi = {10.48550/ARXIV.2209.13274}, eprinttype = {arXiv}, eprint = {2209.13274}, timestamp = {Mon, 21 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-13274.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-02468, author = {Prince Osei Aboagye and Yan Zheng and Chin{-}Chia Michael Yeh and Junpeng Wang and Zhongfang Zhuang and Huiyuan Chen and Liang Wang and Wei Zhang and Jeff M. Phillips}, title = {Quantized Wasserstein Procrustes Alignment of Word Embedding Spaces}, journal = {CoRR}, volume = {abs/2212.02468}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.02468}, doi = {10.48550/ARXIV.2212.02468}, eprinttype = {arXiv}, eprint = {2212.02468}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-02468.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-04120, author = {Huiyuan Chen and Yusan Lin and Menghai Pan and Lan Wang and Chin{-}Chia Michael Yeh and Xiaoting Li and Yan Zheng and Fei Wang and Hao Yang}, title = {Denoising Self-attentive Sequential Recommendation}, journal = {CoRR}, volume = {abs/2212.04120}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.04120}, doi = {10.48550/ARXIV.2212.04120}, eprinttype = {arXiv}, eprint = {2212.04120}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-04120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-04540, author = {Huiyuan Chen and Xiaoting Li and Kaixiong Zhou and Xia Hu and Chin{-}Chia Michael Yeh and Yan Zheng and Hao Yang}, title = {TinyKG: Memory-Efficient Training Framework for Knowledge Graph Neural Recommender Systems}, journal = {CoRR}, volume = {abs/2212.04540}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.04540}, doi = {10.48550/ARXIV.2212.04540}, eprinttype = {arXiv}, eprint = {2212.04540}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-04540.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLHCYLKC21, author = {Mei{-}Juan Chen and Jie{-}Ru Lin and Yu{-}Chih Hsu and Yi{-}Sheng Ciou and Chia{-}Hung Yeh and Min{-}Hui Lin and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Fast 3D-HEVC Depth Intra Coding Based on Boundary Continuity}, journal = {{IEEE} Access}, volume = {9}, pages = {79588--79599}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3083498}, doi = {10.1109/ACCESS.2021.3083498}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLHCYLKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinCCYLKC21, author = {Jie{-}Ru Lin and Mei{-}Juan Chen and Yi{-}Sheng Ciou and Chia{-}Hung Yeh and Min{-}Hui Lin and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Fast Texture Coding Based on Spatial, Temporal and Inter-View Correlations for 3D Video Coding}, journal = {{IEEE} Access}, volume = {9}, pages = {100081--100095}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3093950}, doi = {10.1109/ACCESS.2021.3093950}, timestamp = {Fri, 30 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinCCYLKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TienYLC21, author = {Ching{-}Ho Tien and Ni{-}Pin Yeh and Kuan{-}Lin Lee and Lung{-}Chien Chen}, title = {Achieving Matrix Quantum Dot Light-Emitting Display Based on All-Inorganic CsPbBr{\unicode{8323}} Perovskite Nanocrystal Composites}, journal = {{IEEE} Access}, volume = {9}, pages = {128919--128924}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3112982}, doi = {10.1109/ACCESS.2021.3112982}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TienYLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/ChenHLLP21, author = {Chi{-}Yeh Chen and Sun{-}Yuan Hsieh and Ho{\`{a}}ng{-}Oanh Le and Van Bang Le and Sheng{-}Lung Peng}, title = {Matching Cut in Graphs with Large Minimum Degree}, journal = {Algorithmica}, volume = {83}, number = {5}, pages = {1238--1255}, year = {2021}, url = {https://doi.org/10.1007/s00453-020-00782-8}, doi = {10.1007/S00453-020-00782-8}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/ChenHLLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/YehSGHWH21, author = {Wei{-}Chang Yeh and Yi{-}Zhu Su and Xiao{-}Zhi Gao and Cheng{-}Feng Hu and Jing Wang and Chia{-}Ling Huang}, title = {Simplified swarm optimization for bi-objection active reliability redundancy allocation problems}, journal = {Appl. Soft Comput.}, volume = {106}, pages = {107321}, year = {2021}, url = {https://doi.org/10.1016/j.asoc.2021.107321}, doi = {10.1016/J.ASOC.2021.107321}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/YehSGHWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LinJYFCCW21, author = {Jia{-}You Lin and Bao{-}Rong Juo and Yu{-}Hsuan Yeh and Shu{-}Hsuan Fu and Yi{-}Ting Chen and Chien{-}Lun Chen and Kun{-}Pin Wu}, title = {Putative markers for the detection of early-stage bladder cancer selected by urine metabolomics}, journal = {{BMC} Bioinform.}, volume = {22}, number = {1}, pages = {305}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04235-z}, doi = {10.1186/S12859-021-04235-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LinJYFCCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/HsiehZCJWYHLYH21, author = {Cheng{-}Chih Hsieh and An{-}Bang Zeng and Chia{-}Hung Chen and Zong{-}Yi Jhou and Chih{-}Hsin Wang and Ya{-}Ling Yang and Feng{-}Chuan Hsieh and Jing{-}Kai Lin and Ju{-}Yen Yeh and Chunchao Huang}, title = {A practical biphasic contrast media injection protocol strongly enhances the aorta and pulmonary artery simultaneously using a single {CT} angiography scan}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {160}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00691-4}, doi = {10.1186/S12880-021-00691-4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/HsiehZCJWYHLYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YuYCTKCHLCLSS21, author = {Chih{-}Jui Yu and Hsing{-}Jung Yeh and Chun{-}Chao Chang and Jui{-}Hsiang Tang and Wei{-}Yu Kao and Wen{-}Chao Chen and Yi{-}Jin Huang and Chien{-}Hung Li and Wei{-}Hao Chang and Yun{-}Ting Lin and Herdiantri Sufriyana and Emily Chia{-}Yu Su}, title = {Lightweight deep neural networks for cholelithiasis and cholecystitis detection by point-of-care ultrasound}, journal = {Comput. Methods Programs Biomed.}, volume = {211}, pages = {106382}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106382}, doi = {10.1016/J.CMPB.2021.106382}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YuYCTKCHLCLSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/TsaiYK21, author = {Shing Chih Tsai and Yingchieh Yeh and Chen Yun Kuo}, title = {Efficient optimization algorithms for surgical scheduling under uncertainty}, journal = {Eur. J. Oper. Res.}, volume = {293}, number = {2}, pages = {579--593}, year = {2021}, url = {https://doi.org/10.1016/j.ejor.2020.12.048}, doi = {10.1016/J.EJOR.2020.12.048}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/TsaiYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/MuCHKFCY21, author = {Ching{-}Yun Mu and Tien{-}Yin Chou and Thanh Van Hoang and Pin Kung and Yao{-}Min Fang and Mei{-}Hsin Chen and Mei Ling Yeh}, title = {Development of Multilayer-Based Map Matching to Enhance Performance in Large Truck Fleet Dispatching}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {10}, number = {2}, pages = {79}, year = {2021}, url = {https://doi.org/10.3390/ijgi10020079}, doi = {10.3390/IJGI10020079}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/MuCHKFCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/JuanWLCYCS21, author = {Chun{-}Jung Juan and Chen{-}Shu Wang and Bo{-}Yi Lee and Shang{-}Yu Chiang and Chun{-}Chang Yeh and Der{-}Yang Cho and Wu{-}Chung Shen}, title = {Integration of Genetic Programming and {TABU} Search Mechanism for Automatic Detection of Magnetic Resonance Imaging in Cervical Spondylosis}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {6}, number = {7}, pages = {109}, year = {2021}, url = {https://doi.org/10.9781/ijimai.2021.08.006}, doi = {10.9781/IJIMAI.2021.08.006}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijimai/JuanWLCYCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/LaiCLCS21, author = {Ying{-}Hsun Lai and Shih{-}Yeh Chen and Chin{-}Feng Lai and Yao{-}Chung Chang and Yu{-}Sheng Su}, title = {Study on enhancing AIoT computational thinking skills by plot image-based {VR}}, journal = {Interact. Learn. Environ.}, volume = {29}, number = {3}, pages = {482--495}, year = {2021}, url = {https://doi.org/10.1080/10494820.2019.1580750}, doi = {10.1080/10494820.2019.1580750}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/LaiCLCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/YehLLKHC21, author = {Chia{-}Hung Yeh and Chu{-}Han Lin and Min{-}Hui Lin and Li{-}Wei Kang and Chih{-}Hsiang Huang and Mei{-}Juan Chen}, title = {Deep learning-based compressed image artifacts reduction based on multi-scale image fusion}, journal = {Inf. Fusion}, volume = {67}, pages = {195--207}, year = {2021}, url = {https://doi.org/10.1016/j.inffus.2020.10.016}, doi = {10.1016/J.INFFUS.2020.10.016}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inffus/YehLLKHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcal/ChenHY21, author = {Ching{-}Huei Chen and Hsiu{-}Ting Hung and Hui{-}Chin Yeh}, title = {Virtual reality in problem-based learning contexts: Effects on the problem-solving performance, vocabulary acquisition and motivation of English language learners}, journal = {J. Comput. Assist. Learn.}, volume = {37}, number = {3}, pages = {851--860}, year = {2021}, url = {https://doi.org/10.1111/jcal.12528}, doi = {10.1111/JCAL.12528}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcal/ChenHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/ChenLLLYCH21, author = {Chi{-}Ming Chen and Chien{-}Chih Lin and Yu{-}Sheng Liu and Jin{-}Xiang Liao and Ming{-}Tsung Yeh and Yi{-}Nung Chung and Chao{-}Hsing Hsu}, title = {Applying Image Processing Technology to Automatically Detect and Adjust Paper Benchmark for Printing Machine}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {12}, number = {2}, pages = {56--64}, year = {2021}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2021/vol12/n2/2.JIHMSP1575.pdf}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jihmsp/ChenLLLYCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/ChiuLCYCH21, author = {Ming{-}Sung Chiu and Chien{-}Chih Lin and Chia{-}Sheng Cheng and Ming{-}Tsung Yeh and Yi{-}Nung Chung and Chao{-}Hsing Hsu}, title = {Applying Image Processing Algorithm to Dynamic Face Detection}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {12}, number = {4}, pages = {207--216}, year = {2021}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2021/vol12/n4/05.JIHMSP-1584.pdf}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jihmsp/ChiuLCYCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/XuYLRZ21, author = {Yan Xu and Chung{-}Hsing Yeh and Chenguang Liu and Sidra Ramzan and Ling Zhang}, title = {Evaluating and managing interactive barriers for sustainable e-waste management in China}, journal = {J. Oper. Res. Soc.}, volume = {72}, number = {9}, pages = {2018--2031}, year = {2021}, url = {https://doi.org/10.1080/01605682.2020.1759381}, doi = {10.1080/01605682.2020.1759381}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jors/XuYLRZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrtip/GanZCC21, author = {Baiqiang Gan and Chi Zhang and Yunqiang Chen and Yeh{-}Cheng Chen}, title = {Research on role modeling and behavior control of virtual reality animation interactive system in Internet of Things}, journal = {J. Real Time Image Process.}, volume = {18}, number = {4}, pages = {1069--1083}, year = {2021}, url = {https://doi.org/10.1007/s11554-020-01046-y}, doi = {10.1007/S11554-020-01046-Y}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrtip/GanZCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/linguamatica/LuCYLL21, author = {Hui{-}Chuan Lu and An Chung Cheng and Meng{-}Hsin Yeh and Chao{-}Yi Lu and Ruth Alegre Di Lascio}, title = {Corpus Paralelo de Espa{\~{n}}ol, Ingl{\'{e}}s y Chino y An{\'{a}}lisis contrastivo del tiempo pasado del espa{\~{n}}ol a partir de corpus}, journal = {Linguam{\'{a}}tica}, volume = {13}, number = {1}, pages = {23--30}, year = {2021}, url = {https://doi.org/10.21814/lm.13.1.340}, doi = {10.21814/LM.13.1.340}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/linguamatica/LuCYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LuYWW21, author = {Ta{-}Te Lu and Sheng{-}Cheng Yeh and Chia{-}Hui Wang and Min{-}Rou Wei}, title = {Cost-effective real-time recognition for human emotion-age-gender using deep learning with normalized facial cropping preprocess}, journal = {Multim. Tools Appl.}, volume = {80}, number = {13}, pages = {19845--19866}, year = {2021}, url = {https://doi.org/10.1007/s11042-021-10673-x}, doi = {10.1007/S11042-021-10673-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LuYWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/BatemanMOMAAABB21, author = {Alex Bateman and Maria Jesus Martin and Sandra E. Orchard and Michele Magrane and Rahat Agivetova and Shadab Ahmad and Emanuele Alpi and Emily H. Bowler{-}Barnett and Ramona Britto and Borisas Bursteinas and Hema Bye{-}A{-}Jee and Ray Coetzee and Austra Cukura and Alan W. Sousa da Silva and Paul Denny and Tunca Dogan and Thankgod Ebenezer and Jun Fan and Leyla Jael Garc{\'{\i}}a Castro and Penelope Garmiri and George E. Georghiou and Leonardo Gonzales and Emma Hatton{-}Ellis and Abdulrahman Hussein and Alexandr Ignatchenko and Giuseppe Insana and Rizwan Ishtiaq and Petteri Jokinen and Vishal Joshi and Dushyanth Jyothi and Antonia Lock and Rodrigo Lopez and Aurelien Luciani and Jie Luo and Yvonne Lussi and Alistair MacDougall and F{\'{a}}bio Madeira and Mahdi Mahmoudy and Manuela Menchi and Alok Mishra and Katie Moulang and Andrew Nightingale and Carla Susana Oliveira and Sangya Pundir and Guoying Qi and Shriya Raj and Daniel Rice and Milagros Rodr{\'{\i}}guez{-}L{\'{o}}pez and Rabie Saidi and Joseph Sampson and Tony Sawford and Elena Speretta and Edward Turner and Nidhi Tyagi and Preethi Vasudev and Vladimir Volynkin and Kate Warner and Xavier Watkins and Rossana Zaru and Hermann Zellner and Alan J. Bridge and Sylvain Poux and Nicole Redaschi and Lucila Aimo and Ghislaine Argoud{-}Puy and Andrea H. Auchincloss and Kristian B. Axelsen and Parit Bansal and Delphine Baratin and Marie{-}Claude Blatter and Jerven T. Bolleman and Emmanuel Boutet and Lionel Breuza and Cristina Casals{-}Casas and Edouard De Castro and Kamal Chikh Echioukh and Elisabeth Coudert and B{\'{e}}atrice A. Cuche and Mikael Doche and Dolnide Dornevil and Anne Estreicher and Maria Livia Famiglietti and Marc Feuermann and Elisabeth Gasteiger and Sebastien Gehant and Vivienne Baillie Gerritsen and Arnaud Gos and Nadine Gruaz{-}Gumowski and Ursula Hinz and Chantal Hulo and Nevila Hyka{-}Nouspikel and Florence Jungo and Guillaume Keller and Arnaud Kerhornou and Vicente Lara and Philippe Le Mercier and Damien Lieberherr and Thierry Lombardot and Xavier Martin and Patrick Masson and Anne Morgat and Teresa Batista Neto and Salvo Paesano and Ivo Pedruzzi and Sandrine Pilbout and Lucille Pourcel and Monica Pozzato and Manuela Pruess and Catherine Rivoire and Christian J. A. Sigrist and Karin Sonesson and Andre Stutz and Shyamala Sundaram and Michael Tognolli and Laure Verbregue and Cathy H. Wu and Cecilia N. Arighi and Leslie Arminski and Chuming Chen and Yongxing Chen and John S. Garavelli and Hongzhan Huang and Kati Laiho and Peter B. McGarvey and Darren A. Natale and Karen Ross and C. R. Vinayaka and Qinghua Wang and Yuqi Wang and Lai{-}Su Yeh and Jian Zhang and Patrick Ruch and Douglas Teodoro}, title = {UniProt: the universal protein knowledgebase in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D480--D489}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1100}, doi = {10.1093/NAR/GKAA1100}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/BatemanMOMAAABB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SchillingRPHNYG21, author = {Kurt G. Schilling and Fran{\c{c}}ois Rheault and Laurent Petit and Colin B. Hansen and Vishwesh Nath and Fang{-}Cheng Yeh and Gabriel Girard and Muhamed Barakovic and Jonathan Rafael{-}Patino and Thomas Yu and Elda Fischi Gomez and Marco Pizzolato and Mario Ocampo{-}Pineda and Simona Schiavi and Erick Jorge Canales{-}Rodr{\'{\i}}guez and Alessandro Daducci and Cristina Granziera and Giorgio M. Innocenti and Jean{-}Philippe Thiran and Laura Mancini and Stephen J. Wastling and Sirio Cocozza and Maria Petracca and Giuseppe Pontillo and Matteo Mancini and Sjoerd B. Vos and Vejay N. Vakharia and John S. Duncan and Helena Melero and Lidia Manzanedo and Emilio Sanz{-}Morales and {\'{A}}ngel Pe{\~{n}}a{-}Meli{\'{a}}n and Fernando Calamante and Arnaud Attye and Ryan P. Cabeen and Laura Korobova and Arthur W. Toga and Anupa Ambili Vijayakumari and Drew Parker and Ragini Verma and Ahmed M. Radwan and Stefan Sunaert and Louise Emsell and Alberto De Luca and Alexander Leemans and Claude J. Bajada and Hamied A. Haroon and Hojjatollah Azadbakht and Maxime Chamberland and Sila Genc and Chantal M. W. Tax and Ping Hong Yeh and Rujirutana Srikanchana and Colin D. Mcknight and Joseph Yuan{-}Mou Yang and Jian Chen and Claire E. Kelly and Chun{-}Hung Yeh and J{\'{e}}r{\^{o}}me Cochereau and Jerome J. Maller and Thomas Welton and Fabien Almairac and Kiran K. Seunarine and Chris A. Clark and Fan Zhang and Nikos Makris and Alexandra J. Golby and Yogesh Rathi and Lauren J. O'Donnell and Yihao Xia and Dogu Baran Aydogan and Yonggang Shi and Francisco Guerreiro Fernandes and Mathijs Raemaekers and Shaun Warrington and Stijn Michielse and Alonso Ramirez{-}Manzanares and Luis Concha and Ram{\'{o}}n Aranda and Mariano Rivera Meraz and Garikoitz Lerma{-}Usabiaga and Lucas Roitman and Lucius S. Fekonja and Navona Calarco and Michael Joseph and Hajer Nakua and Aristotle N. Voineskos and Philippe Karan and Gabrielle Grenier and Jon Haitz Legarreta and Nagesh Adluru and Veena A. Nair and Vivek Prabhakaran and Andrew L. Alexander and Koji Kamagata and Yuya Saito and Wataru Uchida and Christina Andica and Masahiro Abe and Roza G. Bayrak and Claudia A. M. Gandini Wheeler{-}Kingshott and Egidio D'Angelo and Fulvia Palesi and Giovanni Savini and Nicol{\`{o}} Rolandi and Pamela Guevara and Josselin Houenou and Narciso L{\'{o}}pez{-}L{\'{o}}pez and Jean{-}Fran{\c{c}}ois Mangin and Cyril Poupon and Claudio Rom{\'{a}}n and Andrea V{\'{a}}zquez and Chiara Maffei and Mavilde Arantes and Jos{\'{e}} Paulo Andrade and Susana Maria Silva and Vince D. Calhoun and Eduardo Caverzasi and Simone Sacco and Michael Lauricella and Franco Pestilli and Daniel Bullock and Yang Zhan and Edith Brignoni{-}P{\'{e}}rez and Catherine Lebel and Jess E Reynolds and Igor Nestrasil and Ren{\'{e}} Labounek and Christophe Lenglet and Amy Paulson and Stefania Aulicka and Sarah R. Heilbronner and Katja Heuer and Bramsh Qamar Chandio and Javier Guaje and Wei Tang and Eleftherios Garyfallidis and Rajikha Raja and Adam W. Anderson and Bennett A. Landman and Maxime Descoteaux}, title = {Tractography dissection variability: What happens when 42 groups dissect 14 white matter bundles on the same dataset?}, journal = {NeuroImage}, volume = {243}, pages = {118502}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118502}, doi = {10.1016/J.NEUROIMAGE.2021.118502}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/SchillingRPHNYG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/LiuYXLCC21, author = {Genggeng Liu and Liliang Yang and Saijuan Xu and Zuoyong Li and Yeh{-}Cheng Chen and Chi{-}Hua Chen}, title = {X-architecture Steiner minimal tree algorithm based on multi-strategy optimization discrete differential evolution}, journal = {PeerJ Comput. Sci.}, volume = {7}, pages = {e473}, year = {2021}, url = {https://doi.org/10.7717/peerj-cs.473}, doi = {10.7717/PEERJ-CS.473}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/peerj-cs/LiuYXLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KangCYCKYLLLLCN21, author = {Keng{-}Hao Kang and Wei{-}An Chao and Che{-}Ming Yang and Ming{-}Chien Chung and Yu{-}Ting Kuo and Chih{-}Hsiang Yeh and Hsin{-}Chang Liu and Chun{-}Hung Lin and Chih{-}Pin Lin and Jyh{-}Jong Liao and Jui{-}Ming Chang and Yin Jeh Ngui and Chien{-}Hsin Chen and Tung{-}Lin Tai}, title = {Rigidity Strengthening of Landslide Materials Measured by Seismic Interferometry}, journal = {Remote. Sens.}, volume = {13}, number = {14}, pages = {2834}, year = {2021}, url = {https://doi.org/10.3390/rs13142834}, doi = {10.3390/RS13142834}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KangCYCKYLLLLCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TsaiYJYL21, author = {Yung{-}Fu Tsai and Wen{-}Hao Yeh and Jyh{-}Ching Juang and Dian{-}Syuan Yang and Chen{-}Tsung Lin}, title = {From {GPS} Receiver to {GNSS} Reflectometry Payload Development for the Triton Satellite Mission}, journal = {Remote. Sens.}, volume = {13}, number = {5}, pages = {999}, year = {2021}, url = {https://doi.org/10.3390/rs13050999}, doi = {10.3390/RS13050999}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/TsaiYJYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YehCAHEKHC21, author = {Chia{-}Cheng Yeh and Yang{-}Lang Chang and Mohammad Alkhaleefah and Pai{-}Hui Hsu and Weiyong Eng and Voon Chet Koo and Bormin Huang and Lena Chang}, title = {YOLOv3-Based Matching Approach for Roof Region Detection from Drone Images}, journal = {Remote. Sens.}, volume = {13}, number = {1}, pages = {127}, year = {2021}, url = {https://doi.org/10.3390/rs13010127}, doi = {10.3390/RS13010127}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YehCAHEKHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChangHHWCCL21, author = {Chih{-}Ya Chang and Chia{-}Yeh Hsieh and Hsiang{-}Yun Huang and Yung{-}Tsan Wu and Liang{-}Cheng Chen and Chia{-}Tai Chan and Kai{-}Chun Liu}, title = {Automatic Functional Shoulder Task Identification and Sub-Task Segmentation Using Wearable Inertial Measurement Units for Frozen Shoulder Assessment}, journal = {Sensors}, volume = {21}, number = {1}, pages = {106}, year = {2021}, url = {https://doi.org/10.3390/s21010106}, doi = {10.3390/S21010106}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChangHHWCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenSY21, author = {Chi{-}Feng Chen and Chih{-}Hsiung Shen and Yun{-}Ying Yeh}, title = {A Thermopile Device with Sub-Wavelength Hole Arrays by {CMOS-MEMS} Technology}, journal = {Sensors}, volume = {21}, number = {1}, pages = {180}, year = {2021}, url = {https://doi.org/10.3390/s21010180}, doi = {10.3390/S21010180}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenZWCY21, author = {Ching{-}Hua Chen and Jia{-}Jun Zhang and Chang{-}Han Wang and Yu{-}Chia Chang and Pinghui Sophia Yeh}, title = {Constant Optical Power Operation of an Ultraviolet {LED} Controlled by a Smartphone}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4707}, year = {2021}, url = {https://doi.org/10.3390/s21144707}, doi = {10.3390/S21144707}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenZWCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WongYLTA21, author = {Ching{-}Chang Wong and Li{-}Yu Yeh and Chih{-}Cheng Liu and Chi{-}Yi Tsai and Hisasuki Aoyama}, title = {Manipulation Planning for Object Re-Orientation Based on Semantic Segmentation Keypoint Detection}, journal = {Sensors}, volume = {21}, number = {7}, pages = {2280}, year = {2021}, url = {https://doi.org/10.3390/s21072280}, doi = {10.3390/S21072280}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WongYLTA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LuSSLYLLL21, author = {Shao{-}Yung Lu and Siang{-}Sin Shan and Cheng{-}Ze Shao and Ting{-}Heng Lu and Yung{-}Hua Yeh and I{-}Te Lin and Shu{-}Ping Lin and Yu{-}Te Liao}, title = {Wireless Multimodality Sensing System-on-a-Chip With Time-Based Resolution Scaling Technique and Analog Waveform Generator in 0.18 {\(\mu\)}m {CMOS} for Chronic Wound Care}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {6}, pages = {1268--1282}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3126810}, doi = {10.1109/TBCAS.2021.3126810}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LuSSLYLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/YehCTCYLL21, author = {Kun{-}Ying Yeh and Hung{-}Wei Chiu and Wan{-}Ting Tseng and Hsiao{-}Chin Chen and Chen{-}Tung Yen and Shey{-}Shi Lu and Mu{-}Lien Lin}, title = {A Dual-Mode Multifunctional Pulsed Radio-Frequency Stimulator for Trigeminal Neuralgia Relief and its Animal Model}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {4}, pages = {719--730}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3097058}, doi = {10.1109/TBCAS.2021.3097058}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/YehCTCYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/TsaiCCCYLCTWLW21, author = {Meng{-}Chang Tsai and Chia{-}Ru Chung and Chun{-}Chuan Chen and Jyun{-}Yu Chen and Shih{-}Ching Yeh and Chun{-}Han Lin and Ying{-}Ju Chen and Ming{-}Che Tsai and Ya{-}Ling Wang and Chia{-}Ju Lin and Eric Hsiao{-}Kuang Wu}, title = {An Intelligent Virtual-Reality System With Multi-Model Sensing for Cue-Elicited Craving in Patients With Methamphetamine Use Disorder}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {7}, pages = {2270--2280}, year = {2021}, url = {https://doi.org/10.1109/TBME.2021.3058805}, doi = {10.1109/TBME.2021.3058805}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/TsaiCCCYLCTWLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KarczewiczHTCMA21, author = {Marta Karczewicz and Nan Hu and Jonathan Taquet and Ching{-}Yeh Chen and Kiran M. Misra and Kenneth Andersson and Peng Yin and Taoran Lu and Edouard Fran{\c{c}}ois and Jie Chen}, title = {{VVC} In-Loop Filters}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {31}, number = {10}, pages = {3907--3925}, year = {2021}, url = {https://doi.org/10.1109/TCSVT.2021.3072297}, doi = {10.1109/TCSVT.2021.3072297}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KarczewiczHTCMA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ChenGWYXZZ21, author = {Xiaoshi Chen and Li Gong and Liang Wei and Shih{-}Ching Yeh and Li Da Xu and Lirong Zheng and Zhuo Zou}, title = {A Wearable Hand Rehabilitation System With Soft Gloves}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {2}, pages = {943--952}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3010369}, doi = {10.1109/TII.2020.3010369}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/ChenGWYXZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/WangWKYC21, author = {Eric Ke Wang and Fan Wang and Saru Kumari and Jyh{-}Haw Yeh and Chien{-}Ming Chen}, title = {Intelligent monitor for typhoon in IoT system of smart city}, journal = {J. Supercomput.}, volume = {77}, number = {3}, pages = {3024--3043}, year = {2021}, url = {https://doi.org/10.1007/s11227-020-03381-0}, doi = {10.1007/S11227-020-03381-0}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/WangWKYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/WuYWWYHLTL21, author = {Wen{-}Chieh Wu and Chen{-}Fu Yeh and Alexander James White and Cheng{-}Te Wang and Zuo{-}Wei Yeh and Chih{-}Cheng Hsieh and Ren{-}Shuo Liu and Kea{-}Tiong Tang and Chung{-}Chuan Lo}, title = {Integer Quadratic Integrate-and-Fire {(IQIF):} {A} Neuron Model for Digital Neuromorphic Systems}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458572}, doi = {10.1109/AICAS51828.2021.9458572}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/WuYWWYHLTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/SuMGYCW21, author = {Wu{-}Chen Su and Khyati Mehta and Kirandeep Gill and Peng Yeh and Ming{-}Yuan Chih and Danny T. Wu}, title = {Assessing the Readability of App Descriptions and Investigating its Role in the Choice of mHealth Apps: Retrospective and Prospective Analyses}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t003-1.4626466/t003-1.4626467/3577130-1.4626516/3576890-1.4626513}, timestamp = {Wed, 17 Apr 2024 11:46:53 +0200}, biburl = {https://dblp.org/rec/conf/amia/SuMGYCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChouPTYP21, author = {Wei{-}Hung Chou and Wei{-}Chen Pao and Chun{-}Chia Tsai and Ting{-}Yu Yeh and Jen{-}Yi Pan}, title = {An Adaptive Rank Selection Method in 3GPP 5G {NR} Systems}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1912--1916}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689606}, timestamp = {Wed, 09 Feb 2022 09:03:08 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ChouPTYP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/TsaiYCPP21, author = {Chun{-}Chia Tsai and Ting{-}Yu Yeh and Wei{-}Hung Chou and Wei{-}Chen Pao and Jen{-}Yi Pan}, title = {A Low Complexity {PMI} Selection Scheme for 3GPP 5G {NR} {FDD} Systems}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1917--1922}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689342}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/TsaiYCPP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/YehPCTP21, author = {Ting{-}Yu Yeh and Wei{-}Chen Pao and Wei{-}Hung Chou and Chun{-}Chia Tsai and Jen{-}Yi Pan}, title = {A Threshold-based Scheduling and Power Control Design on {IMT-2020} Evaluation}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1889--1894}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689579}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/YehPCTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/TaoHHCYH21, author = {Liang{-}Wei Tao and An{-}Fong Hwu and Yu{-}Jen Huang and Chi{-}Chung Chen and Chao{-}Yuan Yeh and Shih{-}Hao Hung}, editor = {Yixin Chen and Heiko Ludwig and Yicheng Tu and Usama M. Fayyad and Xingquan Zhu and Xiaohua Hu and Suren Byna and Xiong Liu and Jianping Zhang and Shirui Pan and Vagelis Papalexakis and Jianwu Wang and Alfredo Cuzzocrea and Carlos Ordonez}, title = {End-to-End Performance Optimization for Training Streaming Convolutional Neural Networks using Billion-Pixel Whole-Slide Images}, booktitle = {2021 {IEEE} International Conference on Big Data (Big Data), Orlando, FL, USA, December 15-18, 2021}, pages = {1127--1137}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigData52589.2021.9671937}, doi = {10.1109/BIGDATA52589.2021.9671937}, timestamp = {Fri, 13 Jan 2023 17:06:49 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/TaoHHCYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/candc/ChanCYFT21, author = {Shu{-}Ping Chan and Yi{-}Ning Chen and Hsiao{-}Yeh Yang and Wun{-}Han Fan and Wenn{-}Chieh Tsai}, editor = {Corina Sas and Neil A. M. Maiden and Brian P. Bailey and Celine Latulipe and Ellen Yi{-}Luen Do}, title = {Pablo: Designing an Everyday Companion for Visualizing Auditory Memories}, booktitle = {Proceedings of the 13th {ACM} {SIGCHI} Conference on Creativity and Cognition, C{\&}C 2021, Virtual Event / Venice, Italy, June 22-23, 2021}, pages = {38:1--38:4}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3450741.3466630}, doi = {10.1145/3450741.3466630}, timestamp = {Sat, 09 Apr 2022 12:39:15 +0200}, biburl = {https://dblp.org/rec/conf/candc/ChanCYFT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/candc/HubbardCCKY21, author = {Layne Jackson Hubbard and Yifan Chen and Eliana Colunga and Pilyoung Kim and Tom Yeh}, editor = {Corina Sas and Neil A. M. Maiden and Brian P. Bailey and Celine Latulipe and Ellen Yi{-}Luen Do}, title = {Child-Robot Interaction to Integrate Reflective Storytelling Into Creative Play}, booktitle = {Proceedings of the 13th {ACM} {SIGCHI} Conference on Creativity and Cognition, C{\&}C 2021, Virtual Event / Venice, Italy, June 22-23, 2021}, pages = {13:1--13:8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3450741.3465254}, doi = {10.1145/3450741.3465254}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/candc/HubbardCCKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangYSCT21, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {LED2-Net: Monocular 360deg Layout Estimation via Differentiable Depth Rendering}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {12956--12965}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Wang\_LED2-Net\_Monocular\_360deg\_Layout\_Estimation\_via\_Differentiable\_Depth\_Rendering\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.01276}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangYSCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsaiNYYC21, author = {Chen{-}Yang Tsai and Chin{-}Fu Nien and Tz{-}Ching Yu and Hung{-}Yu Yeh and Hsiang{-}Yun Cheng}, title = {RePIM: Joint Exploitation of Activation and Weight Repetitions for In-ReRAM {DNN} Acceleration}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {589--594}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586315}, doi = {10.1109/DAC18074.2021.9586315}, timestamp = {Fri, 12 Nov 2021 12:31:50 +0100}, biburl = {https://dblp.org/rec/conf/dac/TsaiNYYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangTSCTWTYL21, author = {Kai{-}En Yang and Chia{-}Yu Tsai and Hung{-}Hao Shen and Chen{-}Feng Chiang and Feng{-}Ming Tsai and Chung{-}An Wang and Yiju Ting and Chia{-}Shun Yeh and Chin{-}Tang Lai}, title = {Trust-Region Method with Deep Reinforcement Learning in Analog Design Space Exploration}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {1225--1230}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586087}, doi = {10.1109/DAC18074.2021.9586087}, timestamp = {Fri, 12 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangTSCTWTYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/FangCYL21, author = {Chih{-}Hsien Fang and Yi{-}Ling Chen and Mi{-}Yen Yeh and Yan{-}Shuo Lin}, editor = {Christian S. Jensen and Ee{-}Peng Lim and De{-}Nian Yang and Chia{-}Hui Chang and Jianliang Xu and Wen{-}Chih Peng and Jen{-}Wei Huang and Chih{-}Ya Shen}, title = {Multi-head Attention with Hint Mechanisms for Joint Extraction of Entity and Relation}, booktitle = {Database Systems for Advanced Applications. {DASFAA} 2021 International Workshops - BDQM, GDMA, MLDLDSA, MobiSocial, and MUST, Taipei, Taiwan, April 11-14, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12680}, pages = {321--335}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-73216-5\_22}, doi = {10.1007/978-3-030-73216-5\_22}, timestamp = {Wed, 14 Apr 2021 14:42:08 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/FangCYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/0001TWYGI21, author = {Chien{-}Ming Chen and Zhuoyu Tie and Eric Ke Wang and Kuo{-}Hui Yeh and Wensheng Gan and SK Hafizul Islam}, title = {A Reinforced Dynamic Multi-keyword Ranked Search with Forward Privacy}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2021, Aizuwakamatsu, Japan, January 30 - February 2, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSC49826.2021.9346267}, doi = {10.1109/DSC49826.2021.9346267}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/desec/0001TWYGI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsaa/AliWYLC21, author = {Khurshed Ali and Chih{-}Yu Wang and Mi{-}Yen Yeh and Cheng{-}Te Li and Yi{-}Shin Chen}, title = {{NEDRL-CIM:} Network Embedding Meets Deep Reinforcement Learning to Tackle Competitive Influence Maximization on Evolving Social Networks}, booktitle = {8th {IEEE} International Conference on Data Science and Advanced Analytics, {DSAA} 2021, Porto, Portugal, October 6-9, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSAA53316.2021.9564111}, doi = {10.1109/DSAA53316.2021.9564111}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsaa/AliWYLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChenGFYY21, author = {Yi{-}Chen Chen and Edwinn Gamborino and Li{-}Chen Fu and Hsiu{-}Ping Yueh and Su{-}Ling Yeh}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Social Presence in Evaluations for a Humanoid Robot and Its Effect on Children-Robot Relationship}, booktitle = {{HCI} International 2021 - Posters - 23rd {HCI} International Conference, {HCII} 2021, Virtual Event, July 24-29, 2021, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1419}, pages = {191--199}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78635-9\_27}, doi = {10.1007/978-3-030-78635-9\_27}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChenGFYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ChenYLCYY21, author = {Jian{-}Hua Jiang Chen and Ming Yen Yeh and Chen{-}Chin Lin and Yung{-}Ju Chang and Wan{-}Yun Yu and Rebecca Ping Yu}, editor = {Afsaneh Doryab and Qin Lv and Michael Beigl}, title = {Occurrence and Triggers of Mobile News Exposure: {A} Screenshot-Based {ESM} Study}, booktitle = {UbiComp/ISWC '21: 2021 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and 2021 {ACM} International Symposium on Wearable Computers, Virtual Event, September 21-25, 2021}, pages = {13--14}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460418.3479291}, doi = {10.1145/3460418.3479291}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/ChenYLCYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WongCYCWY21, author = {Ching{-}Chang Wong and Ren{-}Jie Chen and Sheng{-}Kai Yang and Shao{-}Yu Chien and Shang{-}Wen Wong and Chun{-}An Yeh}, title = {In-Box Picking System Design for Six-Axis Robot Arm}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9603138}, doi = {10.1109/ICCE-TW52618.2021.9603138}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/WongCYCWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YehCKHL21, author = {Chia{-}Hung Yeh and Daniel Chiu and Li{-}Wei Kang and Chih{-}Chung Hsu and Chen Lo}, title = {Generative Adversarial Networks-based Face Hallucination with Identity-Preserving}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9603171}, doi = {10.1109/ICCE-TW52618.2021.9603171}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/YehCKHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/YehCSYC21, author = {Chin{-}Yuan Yeh and Hsi{-}Wen Chen and Hong{-}Han Shuai and De{-}Nian Yang and Ming{-}Syan Chen}, title = {Attack as the Best Defense: Nullifying Image-to-image Translation GANs via Limit-aware Adversarial Attack}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {16168--16177}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01588}, doi = {10.1109/ICCV48922.2021.01588}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/YehCSYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HsiehYLRKKH21, author = {Cheng{-}Yu Hsieh and Chih{-}Kuan Yeh and Xuanqing Liu and Pradeep Kumar Ravikumar and Seungyeon Kim and Sanjiv Kumar and Cho{-}Jui Hsieh}, title = {Evaluations and Methods for Explanation through Robustness Analysis}, booktitle = {9th International Conference on Learning Representations, {ICLR} 2021, Virtual Event, Austria, May 3-7, 2021}, publisher = {OpenReview.net}, year = {2021}, url = {https://openreview.net/forum?id=4dXmpCDGNp7}, timestamp = {Wed, 23 Jun 2021 17:36:39 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HsiehYLRKKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ChenC21, author = {YuAng Chen and Yeh{-}Ching Chung}, editor = {Xian{-}He Sun and Sameer Shende and Laxmikant V. Kal{\'{e}} and Yong Chen}, title = {HiPa: Hierarchical Partitioning for Fast PageRank on {NUMA} Multicore Systems}, booktitle = {{ICPP} 2021: 50th International Conference on Parallel Processing, Lemont, IL, USA, August 9 - 12, 2021}, pages = {24:1--24:10}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472456.3475737}, doi = {10.1145/3472456.3475737}, timestamp = {Thu, 07 Oct 2021 14:37:30 +0200}, biburl = {https://dblp.org/rec/conf/icpp/ChenC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/YehCL21, author = {Ting{-}Chi Yeh and Shyh{-}Leh Chen and Chin{-}Hsiang Lin}, title = {Robust Control of a Magnetic Rotor-Bearing System with Estimation of Uncertainty Bound}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2021, Ho Chi Minh City, Vietnam, August 26-28, 2021}, pages = {397--402}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSSE52999.2021.9538446}, doi = {10.1109/ICSSE52999.2021.9538446}, timestamp = {Fri, 24 Sep 2021 17:28:36 +0200}, biburl = {https://dblp.org/rec/conf/icsse/YehCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ChungLRLSY21, author = {Chiao{-}Teng Jordan Chung and Chih{-}Cheng Lu and Wei{-}Shu Rih and Ching{-}Feng Lee and Cheng{-}Ming Shih and Yu{-}Li Yeh}, title = {An Ultra-low Power Voice Interface Design for {MEMS} Microphones Sensor}, booktitle = {2021 {IEEE} Sensors, Sydney, Australia, October 31 - Nov. 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SENSORS47087.2021.9639861}, doi = {10.1109/SENSORS47087.2021.9639861}, timestamp = {Wed, 14 Dec 2022 15:07:35 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/ChungLRLSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/LueHSYWL21, author = {Hang{-}Ting Lue and Tzu{-}Hsuan Hsu and Cheng{-}Lin Sung and Teng{-}Hao Yeh and Keh{-}Chung Wang and Chih{-}Yuan Lu}, title = {Write-In-Place Operation and It's Advantages to Upgrade the 3D AND-type Flash Memory Performances}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2021, Dresden, Germany, May 16-19, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMW51353.2021.9439621}, doi = {10.1109/IMW51353.2021.9439621}, timestamp = {Mon, 19 Jun 2023 16:03:11 +0200}, biburl = {https://dblp.org/rec/conf/imw2/LueHSYWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/AhnNCYC21, author = {Jae{-}Gyung Ahn and Rhesa Nathanael and I{-}Ru Chen and Ping{-}Chin Yeh and Jonathan Chang}, title = {Product Lifetime Estimation in 7nm with Large data of Failure Rate and Si-Based Thermal Coupling Model}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405193}, doi = {10.1109/IRPS46558.2021.9405193}, timestamp = {Wed, 05 May 2021 11:53:21 +0200}, biburl = {https://dblp.org/rec/conf/irps/AhnNCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChengCYLL21, author = {Ching{-}Hwa Cheng and Ching{-}Kun Chao and Kai{-}Chun Yeh and Don{-}Gey Liu and Sheng{-}Di Lin}, title = {The Performance-Complexity Efficient Time-to-Digit and Data-Processing Chips Design and Validation for a LiDAR System}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401235}, doi = {10.1109/ISCAS51556.2021.9401235}, timestamp = {Fri, 02 Jul 2021 12:26:54 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChengCYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YehHYWWLT21, author = {Zuo{-}Wei Yeh and Chia{-}Hua Hsu and Chen{-}Fu Yeh and Wen{-}Chieh Wu and Cheng{-}Te Wang and Chung{-}Chuan Lo and Kea{-}Tiong Tang}, title = {{POPPINS:} {A} Population-Based Digital Spiking Neuromorphic Processor with Integer Quadratic Integrate-and-Fire Neurons}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401426}, doi = {10.1109/ISCAS51556.2021.9401426}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YehHYWWLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChangLCYCH21, author = {Chao{-}Kai Chang and Jih{-}Yi Liao and Der{-}Chin Chen and Feng{-}Ming Yeh and Shih{-}Tsung Chang and Cheng{-}Ko Hsu}, title = {Binocular 3D Vision Fusion Measurement Technique}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9650981}, doi = {10.1109/ISPACS51563.2021.9650981}, timestamp = {Wed, 12 Jan 2022 09:10:22 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChangLCYCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChenCCYTL21, author = {Der{-}Chin Chen and Chao{-}Kai Chang and Rung{-}Sheng Chen and Feng{-}Ming Yeh and Chuen{-}Lin Tien and Jiann{-}Hwa Lue}, title = {Non-invasive eye acupuncture on visual accommodation}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9651101}, doi = {10.1109/ISPACS51563.2021.9651101}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChenCCYTL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChenLLYLH21, author = {Der{-}Chin Chen and Ming{-}Jun Liu and Jih{-}Yi Liao and Feng{-}Ming Yeh and Yung{-}Fu Liu and Ya{-}Hui Hsieh}, title = {Contact type profile measuring method of optical lens surface}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9651077}, doi = {10.1109/ISPACS51563.2021.9651077}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChenLLYLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ChenYLLHC21, author = {Der{-}Chin Chen and Feng{-}Ming Yeh and Shyang Lin and Yung{-}Fu Liu and Ya{-}Hui Hsieh and Wei{-}Hsin Chen}, title = {Portable Howell heterophoria measurement device}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9651129}, doi = {10.1109/ISPACS51563.2021.9651129}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/ChenYLLHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/YehCHCLL21, author = {Feng{-}Ming Yeh and Der{-}Chin Chen and Ya{-}Hui Hsieh and Yu{-}Chi Chen and Yung{-}Fu Liu and Jiann{-}Hwa Lue}, title = {Measurement of contrast sensitivity in adults by optical grating}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9650927}, doi = {10.1109/ISPACS51563.2021.9650927}, timestamp = {Wed, 12 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/YehCHCLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LuSKSYLLL21, author = {Shao{-}Yung Lu and Siang{-}Sin Shan and Shih{-}Che Kuo and Cheng{-}Ze Shao and Yung{-}Hua Yeh and I{-}Te Lin and Shu{-}Ping Lin and Yu{-}Te Liao}, title = {A Wireless Multimodality System-on-a-Chip with Time-Based Resolution Scaling Technique for Chronic Wound Monitoring}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {282--284}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365992}, doi = {10.1109/ISSCC42613.2021.9365992}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LuSKSYLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kmo/YehCW21, author = {Chu{-}Chen Rosa Yeh and Wei{-}Wen Chang and Cze Chiun Wong}, editor = {Lorna Uden and I{-}Hsien Ting and Kai Wang}, title = {The Impact of Artificial Intelligence on Work and Human Value: Views from Social Researchers}, booktitle = {Knowledge Management in Organizations - 15th International Conference, {KMO} 2021, Kaohsiung, Taiwan, July 20-22, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1438}, pages = {419--428}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-81635-3\_34}, doi = {10.1007/978-3-030-81635-3\_34}, timestamp = {Wed, 05 Jul 2023 12:07:09 +0200}, biburl = {https://dblp.org/rec/conf/kmo/YehCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kmo/YehWLC21, author = {Chu{-}Chen Rosa Yeh and Cze Chiun Wong and Chia{-}Chun Amanda Liang and Wei{-}Wen Chang}, editor = {Lorna Uden and I{-}Hsien Ting and Kai Wang}, title = {Will Robots Take My Job? Exploring the Effect of Artificial Intelligence in Taiwan's Labor Market}, booktitle = {Knowledge Management in Organizations - 15th International Conference, {KMO} 2021, Kaohsiung, Taiwan, July 20-22, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1438}, pages = {444--456}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-81635-3\_36}, doi = {10.1007/978-3-030-81635-3\_36}, timestamp = {Wed, 05 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kmo/YehWLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ChangLCRQY21, author = {Jia{-}Ren Chang and Ching{-}Yi Lee and Chi{-}Chung Chen and Joachim Reischl and Talha Qaiser and Chao{-}Yuan Yeh}, editor = {Marleen de Bruijne and Philippe C. Cattin and St{\'{e}}phane Cotin and Nicolas Padoy and Stefanie Speidel and Yefeng Zheng and Caroline Essert}, title = {Hybrid Aggregation Network for Survival Analysis from Whole Slide Histopathological Images}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2021 - 24th International Conference, Strasbourg, France, September 27 - October 1, 2021, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12905}, pages = {731--740}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87240-3\_70}, doi = {10.1007/978-3-030-87240-3\_70}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ChangLCRQY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ChangWYCYLY21, author = {Jia{-}Ren Chang and Min{-}Sheng Wu and Wei{-}Hsiang Yu and Chi{-}Chung Chen and Cheng{-}Kung Yang and Yen{-}Yu Lin and Chao{-}Yuan Yeh}, editor = {Marleen de Bruijne and Philippe C. Cattin and St{\'{e}}phane Cotin and Nicolas Padoy and Stefanie Speidel and Yefeng Zheng and Caroline Essert}, title = {Stain Mix-Up: Unsupervised Domain Generalization for Histopathology Images}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2021 - 24th International Conference, Strasbourg, France, September 27 - October 1, 2021, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12903}, pages = {117--126}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87199-4\_11}, doi = {10.1007/978-3-030-87199-4\_11}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/ChangWYCYLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KuoTCTLCYT21, author = {Pin{-}Cheng Kuo and Yeyu Tong and Chi{-}Wai Chow and Jui{-}Feng Tsai and Yang Liu and You{-}Chia Chang and Chien{-}Hung Yeh and Hon Ki Tsang}, title = {4.36 Tbit/s Silicon Chip-to-Chip Transmission via Few-Mode Fiber {(FMF)} using 2D Sub-wavelength Grating Couplers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489872}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KuoTCTLCYT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SongLCLCLYLWC21, author = {Shao{-}Hua Song and Dong{-}Chang Lin and Yun{-}Han Chang and Yun{-}Shen Lin and Chi{-}Wai Chow and Yang Liu and Chien{-}Hung Yeh and Kun{-}Hsien Lin and Yi{-}Chang Wang and Yi{-}Yuan Chen}, title = {Using DIALux and Regression-based Machine Learning Algorithm for Designing Indoor Visible Light Positioning {(VLP)} and Reducing Training Data Collection}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489923}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/SongLCLCLYLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/ChenC21, author = {YuAng Chen and Yeh{-}Ching Chung}, editor = {Jaejin Lee and Erez Petrank}, title = {Corder: cache-aware reordering for optimizing graph analytics}, booktitle = {PPoPP '21: 26th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, Virtual Event, Republic of Korea, February 27- March 3, 2021}, pages = {472--473}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3437801.3441606}, doi = {10.1145/3437801.3441606}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/ChenC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/ShenYSC21, author = {Ning Shen and Jyh{-}Haw Yeh and Hung{-}Min Sun and Chien{-}Ming Chen}, title = {A Practical and Secure Stateless Order Preserving Encryption for Outsourced Databases}, booktitle = {26th {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2021, Perth, Australia, December 1-4, 2021}, pages = {133--142}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PRDC53464.2021.00025}, doi = {10.1109/PRDC53464.2021.00025}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/ShenYSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChenCCSY21, author = {Yi{-}Chang Chen and Chun{-}Yen Cheng and Chien{-}An Chen and Ming{-}Chieh Sung and Yi{-}Ren Yeh}, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {Integrated Semantic and Phonetic Post-correction for Chinese Speech Recognition}, booktitle = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, pages = {95--102}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/2021.rocling-1.13}, timestamp = {Tue, 26 Oct 2021 14:09:04 +0200}, biburl = {https://dblp.org/rec/conf/rocling/ChenCCSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/LiangCYC21, author = {Yu{-}Chi Liang and Min{-}Chen Chen and Wen{-}Chao Yeh and Yung{-}Chun Chang}, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {Numerical Relation Detection in Financial Tweets using Dependency-aware Deep Neural Network}, booktitle = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, pages = {218--225}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/2021.rocling-1.28}, timestamp = {Tue, 26 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/LiangCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ChenWLYWY21, author = {Huiyuan Chen and Lan Wang and Yusan Lin and Chin{-}Chia Michael Yeh and Fei Wang and Hao Yang}, editor = {Fernando Diaz and Chirag Shah and Torsten Suel and Pablo Castells and Rosie Jones and Tetsuya Sakai}, title = {Structured Graph Convolutional Networks with Stochastic Masks for Recommender Systems}, booktitle = {{SIGIR} '21: The 44th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Virtual Event, Canada, July 11-15, 2021}, pages = {614--623}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3404835.3462868}, doi = {10.1145/3404835.3462868}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/ChenWLYWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/WuLHLYP21, author = {Shang{-}Ya Wu and Hsia{-}Ping Lan and Cho{-}Fan Hsieh and Kao{-}Chi Lin and Pin{-}Yu Yeh and Cheng{-}Yu Peng}, title = {Determination of the Height of 3D Objects by Moire Measurement}, booktitle = {22nd {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2021, Taichung, Taiwan, November 24-26, 2021}, pages = {98--101}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPD51163.2021.9704929}, doi = {10.1109/SNPD51163.2021.9704929}, timestamp = {Wed, 23 Feb 2022 18:55:49 +0100}, biburl = {https://dblp.org/rec/conf/snpd/WuLHLYP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/JuYLTDWT21, author = {Jia{-}Huei Ju and Chih{-}Ting Yeh and Cheng{-}Wei Lin and Chia{-}Ying Tsao and Jun{-}En Ding and Chuan{-}Ju Wang and Ming{-}Feng Tsai}, editor = {Ian Soboroff and Angela Ellis}, title = {An Exploration Study of Multi-stage Conversational Passage Retrieval: Paraphrase Query Expansion and Multi-view Point-wise Ranking}, booktitle = {Proceedings of the Thirtieth Text REtrieval Conference, {TREC} 2021, online, November 15-19, 2021}, series = {{NIST} Special Publication}, volume = {500-335}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2021}, url = {https://trec.nist.gov/pubs/trec30/papers/CFDA\_CLIP-CAsT.pdf}, timestamp = {Mon, 28 Aug 2023 17:23:07 +0200}, biburl = {https://dblp.org/rec/conf/trec/JuYLTDWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ChangTLYYC21, author = {Ruei{-}Che Chang and Chih{-}An Tsao and Fang{-}Ying Liao and Seraphina Yong and Tom Yeh and Bing{-}Yu Chen}, editor = {Jeffrey Nichols and Ranjitha Kumar and Michael Nebeling}, title = {Daedalus in the Dark: Designing for Non-Visual Accessible Construction of Laser-Cut Architecture}, booktitle = {{UIST} '21: The 34th Annual {ACM} Symposium on User Interface Software and Technology, Virtual Event, USA, October 10-14, 2021}, pages = {344--358}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3472749.3474754}, doi = {10.1145/3472749.3474754}, timestamp = {Sun, 26 Nov 2023 00:57:51 +0100}, biburl = {https://dblp.org/rec/conf/uist/ChangTLYYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/AfifahMCLLY21, author = {Shofuro Afifah and Lina Marlina and Chia{-}Chun Chen and Ya{-}Ling Liu and Shien{-}Kuei Liaw and Chien{-}Hung Yeh}, title = {Impact of Artificial Seawater and Turbulence Factors on Underwater Optical Wireless Communication}, booktitle = {30th Wireless and Optical Communications Conference, {WOCC} 2021, Taipei, Taiwan, October 7-8, 2021}, pages = {110--114}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WOCC53213.2021.9603002}, doi = {10.1109/WOCC53213.2021.9603002}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wocc/AfifahMCLLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/TsaiLCHCLYL21, author = {Deng{-}Cheng Tsai and Yun{-}Shen Lin and Yun{-}Han Chang and Li{-}Sheng Hsu and Chi{-}Wai Chow and Yang Liu and Chien{-}Hung Yeh and Kun{-}Hsien Lin}, title = {Using Pixel-per-bit Neural Network for Two Rolling Shutter Patterns Decoding in Optical Camera Communication {(OCC)}}, booktitle = {30th Wireless and Optical Communications Conference, {WOCC} 2021, Taipei, Taiwan, October 7-8, 2021}, pages = {102--105}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WOCC53213.2021.9602893}, doi = {10.1109/WOCC53213.2021.9602893}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wocc/TsaiLCHCLYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cocoon/2021, editor = {Chi{-}Yeh Chen and Wing{-}Kai Hon and Ling{-}Ju Hung and Chia{-}Wei Lee}, title = {Computing and Combinatorics - 27th International Conference, {COCOON} 2021, Tainan, Taiwan, October 24-26, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13025}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89543-3}, doi = {10.1007/978-3-030-89543-3}, isbn = {978-3-030-89542-6}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cocoon/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00568, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {LED2-Net: Monocular 360 Layout Estimation via Differentiable Depth Rendering}, journal = {CoRR}, volume = {abs/2104.00568}, year = {2021}, url = {https://arxiv.org/abs/2104.00568}, eprinttype = {arXiv}, eprint = {2104.00568}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-12369, author = {Wei Zeng and Xiaozhe Ren and Teng Su and Hui Wang and Yi Liao and Zhiwei Wang and Xin Jiang and ZhenZhang Yang and Kaisheng Wang and Xiaoda Zhang and Chen Li and Ziyan Gong and Yifan Yao and Xinjing Huang and Jun Wang and Jianfeng Yu and Qi Guo and Yue Yu and Yan Zhang and Jin Wang and Hengtao Tao and Dasen Yan and Zexuan Yi and Fang Peng and Fangqing Jiang and Han Zhang and Lingfeng Deng and Yehong Zhang and Zhe Lin and Chao Zhang and Shaojie Zhang and Mingyue Guo and Shanzhi Gu and Gaojun Fan and Yaowei Wang and Xuefeng Jin and Qun Liu and Yonghong Tian}, title = {PanGu-{\(\alpha\)}: Large-scale Autoregressive Pretrained Chinese Language Models with Auto-parallel Computation}, journal = {CoRR}, volume = {abs/2104.12369}, year = {2021}, url = {https://arxiv.org/abs/2104.12369}, eprinttype = {arXiv}, eprint = {2104.12369}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-12369.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-07492, author = {Chi{-}Tung Cheng and Jinzheng Cai and Wei Teng and Youjing Zheng and Yuting Huang and Yu{-}Chao Wang and Chien{-}Wei Peng and Youbao Tang and Wei{-}Chen Lee and Ta{-}Sen Yeh and Jing Xiao and Le Lu and Chien{-}Hung Liao and Adam P. Harrison}, title = {A Flexible Three-Dimensional Hetero-phase Computed Tomography Hepatocellular Carcinoma {(HCC)} Detection Algorithm for Generalizable and Practical {HCC} Screening}, journal = {CoRR}, volume = {abs/2108.07492}, year = {2021}, url = {https://arxiv.org/abs/2108.07492}, eprinttype = {arXiv}, eprint = {2108.07492}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-07492.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-02516, author = {Chin{-}Yuan Yeh and Hsi{-}Wen Chen and Hong{-}Han Shuai and De{-}Nian Yang and Ming{-}Syan Chen}, title = {Attack as the Best Defense: Nullifying Image-to-image Translation GANs via Limit-aware Adversarial Attack}, journal = {CoRR}, volume = {abs/2110.02516}, year = {2021}, url = {https://arxiv.org/abs/2110.02516}, eprinttype = {arXiv}, eprint = {2110.02516}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-02516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-06848, author = {Chun{-}Hsiao Yeh and Cheng{-}Yao Hong and Yen{-}Chi Hsu and Tyng{-}Luh Liu and Yubei Chen and Yann LeCun}, title = {Decoupled Contrastive Learning}, journal = {CoRR}, volume = {abs/2110.06848}, year = {2021}, url = {https://arxiv.org/abs/2110.06848}, eprinttype = {arXiv}, eprint = {2110.06848}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-06848.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-08130, author = {Ting{-}Rui Chiang and Yi{-}Pei Chen and Yi{-}Ting Yeh and Graham Neubig}, title = {Breaking Down Multilingual Machine Translation}, journal = {CoRR}, volume = {abs/2110.08130}, year = {2021}, url = {https://arxiv.org/abs/2110.08130}, eprinttype = {arXiv}, eprint = {2110.08130}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-08130.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-15018, author = {Yao{-}Yuan Yang and Moto Hira and Zhaoheng Ni and Anjali Chourdia and Artyom Astafurov and Caroline Chen and Ching{-}Feng Yeh and Christian Puhrsch and David Pollack and Dmitriy Genzel and Donny Greenberg and Edward Z. Yang and Jason Lian and Jay Mahadeokar and Jeff Hwang and Ji Chen and Peter Goldsborough and Prabhat Roy and Sean Narenthiran and Shinji Watanabe and Soumith Chintala and Vincent Quenneville{-}B{\'{e}}lair and Yangyang Shi}, title = {TorchAudio: Building Blocks for Audio and Speech Processing}, journal = {CoRR}, volume = {abs/2110.15018}, year = {2021}, url = {https://arxiv.org/abs/2110.15018}, eprinttype = {arXiv}, eprint = {2110.15018}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-15018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-08400, author = {Yi{-}Chang Chen and Chun{-}Yen Cheng and Chien{-}An Chen and Ming{-}Chieh Sung and Yi{-}Ren Yeh}, title = {Integrated Semantic and Phonetic Post-correction for Chinese Speech Recognition}, journal = {CoRR}, volume = {abs/2111.08400}, year = {2021}, url = {https://arxiv.org/abs/2111.08400}, eprinttype = {arXiv}, eprint = {2111.08400}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-08400.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-13327, author = {Yi{-}Chang Chen and Yu{-}Chuan Chang and Yen{-}Cheng Chang and Yi{-}Ren Yeh}, title = {Traditional Chinese Synthetic Datasets Verified with Labeled Data for Scene Text Recognition}, journal = {CoRR}, volume = {abs/2111.13327}, year = {2021}, url = {https://arxiv.org/abs/2111.13327}, eprinttype = {arXiv}, eprint = {2111.13327}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-13327.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-02278, author = {Jia{-}Fong Yeh and Chi{-}Ming Chung and Hung{-}Ting Su and Yi{-}Ting Chen and Winston H. Hsu}, title = {Stage Conscious Attention Network {(SCAN)} : {A} Demonstration-Conditioned Policy for Few-Shot Imitation}, journal = {CoRR}, volume = {abs/2112.02278}, year = {2021}, url = {https://arxiv.org/abs/2112.02278}, eprinttype = {arXiv}, eprint = {2112.02278}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-02278.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-12965, author = {Chin{-}Chia Michael Yeh and Yan Zheng and Junpeng Wang and Huiyuan Chen and Zhongfang Zhuang and Wei Zhang and Eamonn J. Keogh}, title = {Error-bounded Approximate Time Series Joins using Compact Dictionary Representations of Time Series}, journal = {CoRR}, volume = {abs/2112.12965}, year = {2021}, url = {https://arxiv.org/abs/2112.12965}, eprinttype = {arXiv}, eprint = {2112.12965}, timestamp = {Wed, 03 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-12965.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangLYCR20, author = {Chen{-}Wei Chang and Mengtong Li and Shih{-}Ching Yeh and Yijing Chen and Albert A. Rizzo}, title = {Examining the Effects of HMDs/FSDs and Gender Differences on Cognitive Processing Ability and User Experience of the Stroop Task-Embedded Virtual Reality Driving System {(STEVRDS)}}, journal = {{IEEE} Access}, volume = {8}, pages = {69566--69578}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2966564}, doi = {10.1109/ACCESS.2020.2966564}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChangLYCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCLJY20, author = {Chih{-}Sung Chen and Tsungh{-}Sin Chien and Po{-}Lei Lee and Yih Jeng and Ting{-}Kuang Yeh}, title = {Prefrontal Brain Electrical Activity and Cognitive Load Analysis Using a Non-linear and Non-Stationary Approach}, journal = {{IEEE} Access}, volume = {8}, pages = {211115--211124}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3038807}, doi = {10.1109/ACCESS.2020.3038807}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenCLJY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenTPKCCYLL20, author = {Guan{-}Hong Chen and Jui{-}Feng Tsai and Ching{-}Wei Peng and Pin{-}Cheng Kuo and Chun{-}Jui Chen and Chi{-}Wai Chow and Chien{-}Hung Yeh and Yinchieh Lai and Yang Liu}, title = {Compact Mode Division {MUX/DEMUX} Using Enhanced Evanescent-Wave Coupling on Silicon-on-Insulator {(SOI)} Platform for 11-Tbit/s Broadband Transmission}, journal = {{IEEE} Access}, volume = {8}, pages = {219881--219890}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3041418}, doi = {10.1109/ACCESS.2020.3041418}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenTPKCCYLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaoYHXSH20, author = {Zhifeng Hao and Wei{-}Chang Yeh and Cheng{-}Feng Hu and Neal N. Xiong and Yi{-}Zhu Su and Chia{-}Ling Huang}, title = {A Novel Convolution-Based Algorithm for the Acyclic Network Symbolic Reliability Function Problem}, journal = {{IEEE} Access}, volume = {8}, pages = {99337--99345}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2995771}, doi = {10.1109/ACCESS.2020.2995771}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HaoYHXSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HeHYKXS20, author = {Min{-}fan He and Zhifeng Hao and Wei{-}Chang Yeh and Chia{-}Chen Kuo and Neal N. Xiong and Yeou{-}Ren Shiue}, title = {Quickest Multistate Flow Networks With the Deterioration Effect}, journal = {{IEEE} Access}, volume = {8}, pages = {145535--145541}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3014439}, doi = {10.1109/ACCESS.2020.3014439}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HeHYKXS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehCYC20, author = {Chien{-}Hung Yeh and Jhao{-}Ren Chen and Wei{-}Yao You and Chi{-}Wai Chow}, title = {Hybrid {WDM} {FSO} Fiber Access Network With Rayleigh Backscattering Noise Mitigation}, journal = {{IEEE} Access}, volume = {8}, pages = {96449--96454}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2997820}, doi = {10.1109/ACCESS.2020.2997820}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehCYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehCYLC20, author = {Chien{-}Hung Yeh and Jhao{-}Ren Chen and Wei{-}Yao You and Wen{-}Piao Lin and Chi{-}Wai Chow}, title = {Rayleigh Backscattering Noise Alleviation in Long-Reach Ring-Based {WDM} Access Communication}, journal = {{IEEE} Access}, volume = {8}, pages = {105065--105070}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2999929}, doi = {10.1109/ACCESS.2020.2999929}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehCYLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehCYLC20a, author = {Chien{-}Hung Yeh and Jhao{-}Ren Chen and Wei{-}Yao You and Wen{-}Piao Lin and Chi{-}Wai Chow}, title = {Free Space Optical Communication in Long-Reach Unidirectional Ring-Architecture Fiber Network}, journal = {{IEEE} Access}, volume = {8}, pages = {159574--159580}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020822}, doi = {10.1109/ACCESS.2020.3020822}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehCYLC20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehHWYCCL20, author = {Chien{-}Hung Yeh and Wei{-}Hung Hsu and Bo{-}Yin Wang and Wei{-}Yao You and Jhao{-}Ren Chen and Chi{-}Wai Chow and Shien{-}Kuei Liaw}, title = {Fiber- and FSO-Protected Connections for Long-Reach {TWDM} Access Architecture With Fault Protection}, journal = {{IEEE} Access}, volume = {8}, pages = {189982--189988}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3031609}, doi = {10.1109/ACCESS.2020.3031609}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehHWYCCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehJHXHY20, author = {Wei{-}Chang Yeh and Yunzhi Jiang and Chia{-}Ling Huang and Neal N. Xiong and Cheng{-}Feng Hu and Yuan{-}Hui Yeh}, title = {Improve Energy Consumption and Signal Transmission Quality of Routings in Wireless Sensor Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {198254--198264}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030629}, doi = {10.1109/ACCESS.2020.3030629}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehJHXHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehYCLC20, author = {Chien{-}Hung Yeh and Wei{-}Yao You and Jhao{-}Ren Chen and Wen{-}Piao Lin and Chi{-}Wai Chow}, title = {Feedback-Injected Erbium Fiber Laser With Selectable Tunability and Constant Single-Longitudinal-Mode Characteristic}, journal = {{IEEE} Access}, volume = {8}, pages = {187858--187863}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3030837}, doi = {10.1109/ACCESS.2020.3030837}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YehYCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/YouSYCC20, author = {Gui{-}Rong You and Yeou{-}Ren Shiue and Wei{-}Chang Yeh and Xi{-}Li Chen and Chih{-}Ming Chen}, title = {A Weighted Ensemble Learning Algorithm Based on Diversity Using a Novel Particle Swarm Optimization Approach}, journal = {Algorithms}, volume = {13}, number = {10}, pages = {255}, year = {2020}, url = {https://doi.org/10.3390/a13100255}, doi = {10.3390/A13100255}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/YouSYCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/HuYC20, author = {Chih{-}Chien Hu and Hui{-}Chin Yeh and Nian{-}Shing Chen}, title = {Enhancing {STEM} competence by making electronic musical pencil for non-engineering students}, journal = {Comput. Educ.}, volume = {150}, pages = {103840}, year = {2020}, url = {https://doi.org/10.1016/j.compedu.2020.103840}, doi = {10.1016/J.COMPEDU.2020.103840}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/HuYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/HungYYC20, author = {Kuo{-}Ming Hung and Chi{-}Hsiao Yih and Cheng{-}Hsiang Yeh and Li{-}Ming Chen}, title = {A high capacity reversible data hiding through multi-directional gradient prediction, non-linear regression analysis and embedding selection}, journal = {{EURASIP} J. Image Video Process.}, volume = {2020}, number = {1}, pages = {8}, year = {2020}, url = {https://doi.org/10.1186/s13640-020-0495-7}, doi = {10.1186/S13640-020-0495-7}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/HungYYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/JaoYWLTCHWL20, author = {Chi{-}Wen Jao and Jiann{-}Horng Yeh and Yu{-}Te Wu and Li{-}Ming Lien and Yuh{-}Feng Tsai and Kuang{-}En Chu and Chen{-}Yu Hsiao and Po{-}Shan Wang and Chi Ieong Lau}, title = {Alteration of the Intra- and Inter-Lobe Connectivity of the Brain Structural Network in Normal Aging}, journal = {Entropy}, volume = {22}, number = {8}, pages = {826}, year = {2020}, url = {https://doi.org/10.3390/e22080826}, doi = {10.3390/E22080826}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/JaoYWLTCHWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/YehCLH20, author = {Jung{-}Yao Yeh and Chih{-}Cheng Chen and Po{-}Liang Liu and Ying{-}Hsuan Huang}, title = {High-Payload Data-Hiding Method for {AMBTC} Decompressed Images}, journal = {Entropy}, volume = {22}, number = {2}, pages = {145}, year = {2020}, url = {https://doi.org/10.3390/e22020145}, doi = {10.3390/E22020145}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/YehCLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ShenCC20, author = {Chih{-}Chun Shen and Wei{-}Cheng Chen and Hong{-}Yeh Chang}, title = {Design and analysis of monolithic triple-stacked power amplifiers using GaAs {HBT-HEMT} process}, journal = {{IEICE} Electron. Express}, volume = {17}, number = {13}, pages = {20200172}, year = {2020}, url = {https://doi.org/10.1587/elex.17.20200172}, doi = {10.1587/ELEX.17.20200172}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ShenCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhac/LinCWY20, author = {Nung{-}yao Lin and Shih{-}Pei Chen and Sean Wang and Calvin Yeh}, title = {Displaying Spatial Epistemologies on Web {GIS:} Using Visual Materials from the Chinese Local Gazetteers as an Example}, journal = {Int. J. Humanit. Arts Comput.}, volume = {14}, number = {1-2}, pages = {81--97}, year = {2020}, url = {https://doi.org/10.3366/ijhac.2020.0246}, doi = {10.3366/IJHAC.2020.0246}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhac/LinCWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/DamteZLYJ20, author = {Jemal Yimer Damte and Zhan{-}Jun Zhu and Pin{-}Jun Lin and Chen{-}Hao Yeh and Jyh{-}Chiang Jiang}, title = {B, N-co-doped graphene-supported Ir and Pt clusters for methane activation and C{\unicode{9472}}C coupling: {A} density functional theory study}, journal = {J. Comput. Chem.}, volume = {41}, number = {3}, pages = {194--202}, year = {2020}, url = {https://doi.org/10.1002/jcc.26088}, doi = {10.1002/JCC.26088}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/DamteZLYJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/YehLLCHH20, author = {Wen{-}Hao Yeh and Chi{-}Yen Lin and Jann{-}Yenq Liu and Shih{-}Ping Chen and Tung{-}Yuan Hsiao and Cheng{-}Yung Huang}, title = {Superposition Property of the Ionospheric Scintillation {S4} Index}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {17}, number = {4}, pages = {597--600}, year = {2020}, url = {https://doi.org/10.1109/LGRS.2019.2928588}, doi = {10.1109/LGRS.2019.2928588}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/YehLLCHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lht/SuLCL20, author = {Yu{-}Sheng Su and Chien{-}Liang Lin and Shih{-}Yeh Chen and Chin{-}Feng Lai}, title = {Bibliometric study of social network analysis literature}, journal = {Libr. Hi Tech}, volume = {38}, number = {2}, pages = {420--433}, year = {2020}, url = {https://doi.org/10.1108/LHT-01-2019-0028}, doi = {10.1108/LHT-01-2019-0028}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lht/SuLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeCYW20, author = {Ming{-}Che Lee and Shu{-}Yin Chiang and Sheng{-}Cheng Yeh and Ting{-}Feng Wen}, title = {Study on emotion recognition and companion Chatbot using deep neural network}, journal = {Multim. Tools Appl.}, volume = {79}, number = {27-28}, pages = {19629--19657}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-08841-6}, doi = {10.1007/S11042-020-08841-6}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeCYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenLYWYYGHSLLT20, author = {Chieh{-}Hung Chen and Li{-}Ching Lin and Ta{-}Kang Yeh and Strong Wen and Huaizhong Yu and Chen Yu and Yongxin Gao and Peng Han and Yang{-}Yi Sun and Jann{-}Yenq Liu and Cheng{-}Horng Lin and Chi{-}Chia Tang and Che{-}Min Lin and Hung{-}Hao Hsieh and Pin{-}Ji Lu}, title = {Determination of Epicenters before Earthquakes Utilizing Far Seismic and {GNSS} Data: Insights from Ground Vibrations}, journal = {Remote. Sens.}, volume = {12}, number = {19}, pages = {3252}, year = {2020}, url = {https://doi.org/10.3390/rs12193252}, doi = {10.3390/RS12193252}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenLYWYYGHSLLT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenYWMHTLW20, author = {Chieh{-}Hung Chen and Ta{-}Kang Yeh and Strong Wen and Guojie Meng and Peng Han and Chi{-}Chia Tang and Jann{-}Yenq Liu and Chung{-}Ho Wang}, title = {Unique Pre-Earthquake Deformation Patterns in the Spatial Domains from {GPS} in Taiwan}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {366}, year = {2020}, url = {https://doi.org/10.3390/rs12030366}, doi = {10.3390/RS12030366}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenYWMHTLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenSY20, author = {Chi{-}Feng Chen and Chih{-}Hsiung Shen and Yun{-}Ying Yeh}, title = {Enhanced Infrared Absorbance of the {CMOS} Compatible Thermopile by the Subwavelength Rectangular-Hole Arrays}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3218}, year = {2020}, url = {https://doi.org/10.3390/s20113218}, doi = {10.3390/S20113218}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChuSYCLL20, author = {Shao{-}Yu Chu and Meng{-}Xian Shen and Tsung{-}Han Yeh and Chia{-}Hsun Chen and Ching{-}Ting Lee and Hsin{-}Ying Lee}, title = {Investigation of Ga2O3-Based Deep Ultraviolet Photodetectors Using Plasma-Enhanced Atomic Layer Deposition System}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6159}, year = {2020}, url = {https://doi.org/10.3390/s20216159}, doi = {10.3390/S20216159}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChuSYCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HsiehHLCHC20, author = {Chia{-}Yeh Hsieh and Hsiang{-}Yun Huang and Kai{-}Chun Liu and Kun{-}Hui Chen and Steen Jun{-}Ping Hsu and Chia{-}Tai Chan}, title = {Subtask Segmentation of Timed Up and Go Test for Mobility Assessment of Perioperative Total Knee Arthroplasty}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6302}, year = {2020}, url = {https://doi.org/10.3390/s20216302}, doi = {10.3390/S20216302}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HsiehHLCHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangCHCSYHL20, author = {Hung Ji Huang and Yu{-}Cheng Chiang and Chia{-}Hsien Hsu and Jyh{-}Jian Chen and Ming{-}Hua Shiao and Chih{-}Chieh Yeh and Shu{-}Ling Huang and Yung{-}Sheng Lin}, title = {Light Energy Conversion Surface with Gold Dendritic Nanoforests/Si Chip for Plasmonic Polymerase Chain Reaction}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1293}, year = {2020}, url = {https://doi.org/10.3390/s20051293}, doi = {10.3390/S20051293}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuangCHCSYHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShihWCCLCWCYCLS20, author = {De{-}Fen Shih and Jyh{-}Liang Wang and Sou{-}Chih Chao and Yin{-}Fa Chen and Kuo{-}Sheng Liu and Yi{-}Shan Chiang and Chi Wang and Min{-}Yu Chang and Shu{-}Ling Yeh and Pao{-}Hsien Chu and Chao{-}Sung Lai and Der{-}Chi Shye and Lun{-}Hui Ho and Chia{-}Ming Yang}, title = {Flexible Textile-Based Pressure Sensing System Applied in the Operating Room for Pressure Injury Monitoring of Cardiac Operation Patients}, journal = {Sensors}, volume = {20}, number = {16}, pages = {4619}, year = {2020}, url = {https://doi.org/10.3390/s20164619}, doi = {10.3390/S20164619}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ShihWCCLCWCYCLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WenHLCYC20, author = {Hsin{-}Yi Wen and Chun{-}Wei Huang and Yu{-}Le Li and Jing{-}Luen Chen and Yao{-}Tsung Yeh and Chia{-}Chin Chiang}, title = {A Lamping U-Shaped Fiber Biosensor Detector for MicroRNA}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1509}, year = {2020}, url = {https://doi.org/10.3390/s20051509}, doi = {10.3390/S20051509}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WenHLCYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/Chen20, author = {Chi{-}Yeh Chen}, title = {Divisible Nonlinear Load Distribution on Complete b-Ary Trees}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {56}, number = {2}, pages = {998--1013}, year = {2020}, url = {https://doi.org/10.1109/TAES.2019.2923300}, doi = {10.1109/TAES.2019.2923300}, timestamp = {Mon, 04 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/Chen20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YuCYL20, author = {Oscar Yu and Cheng{-}Wei Chen and Chih{-}Shen Yeh and Jih{-}Sheng Lai}, title = {Sequential Parallel Switching for Drain-Source Synchronous Rectification Efficiency Boost in Parallel Switch Rectifiers}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-II}, number = {11}, pages = {2637--2641}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2020.2964166}, doi = {10.1109/TCSII.2020.2964166}, timestamp = {Tue, 06 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YuCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/HuangHCCHCCLTSL20, author = {Yu{-}Wen Huang and Chih{-}Wei Hsu and Ching{-}Yeh Chen and Tzu{-}Der Chuang and Shih{-}Ta Hsiang and Chun{-}Chia Chen and Man{-}Shu Chiang and Chen{-}Yen Lai and Chia{-}Ming Tsai and Yu{-}Chi Su and Zhi{-}Yi Lin and Yu{-}Ling Hsiao and Olena Chubach and Yu{-}Cheng Lin and Shawmin Lei}, title = {A {VVC} Proposal With Quaternary Tree Plus Binary-Ternary Tree Coding Block Structure and Advanced Coding Techniques}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {30}, number = {5}, pages = {1311--1325}, year = {2020}, url = {https://doi.org/10.1109/TCSVT.2019.2945048}, doi = {10.1109/TCSVT.2019.2945048}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/HuangHCCHCCLTSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/YehLCW20, author = {Yu{-}Ying Yeh and Yen{-}Cheng Liu and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, title = {Static2Dynamic: Video Inference From a Deep Glimpse}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {4}, number = {4}, pages = {440--449}, year = {2020}, url = {https://doi.org/10.1109/TETCI.2020.2968599}, doi = {10.1109/TETCI.2020.2968599}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetci/YehLCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/HwangC20, author = {Chih{-}Lyang Hwang and Ye{-}Hwa Chen}, title = {Fuzzy Fixed-Time Learning Control With Saturated Input, Nonlinear Switching Surface, and Switching Gain to Achieve Null Tracking Error}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {28}, number = {7}, pages = {1464--1476}, year = {2020}, url = {https://doi.org/10.1109/TFUZZ.2019.2917121}, doi = {10.1109/TFUZZ.2019.2917121}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/HwangC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/KumarVAZOTCHLHW20, author = {Neeraj Kumar and Ruchika Verma and Deepak Anand and Yanning Zhou and Omer Fahri Onder and Efstratios Tsougenis and Hao Chen and Pheng{-}Ann Heng and Jiahui Li and Zhiqiang Hu and Yunzhi Wang and Navid Alemi Koohbanani and Mostafa Jahanifar and Neda Zamani Tajeddin and Ali Gooya and Nasir M. Rajpoot and Xuhua Ren and Sihang Zhou and Qian Wang and Dinggang Shen and Cheng{-}Kun Yang and Chi{-}Hung Weng and Wei{-}Hsiang Yu and Chao{-}Yuan Yeh and Shuang Yang and Shuoyu Xu and Pak{-}Hei Yeung and Peng Sun and Amirreza Mahbod and Gerald Schaefer and Isabella Ellinger and Rupert Ecker and {\"{O}}rjan Smedby and Chunliang Wang and Benjamin Chidester and That{-}Vinh Ton and Minh{-}Triet Tran and Jian Ma and Minh N. Do and Simon Graham and Quoc Dang Vu and Jin Tae Kwak and Akshaykumar Gunda and Raviteja Chunduri and Corey Hu and Xiaoyang Zhou and Dariush Lotfi and Reza Safdari and Antanas Kascenas and Alison O'Neil and Dennis Eschweiler and Johannes Stegmaier and Yanping Cui and Baocai Yin and Kailin Chen and Xinmei Tian and Philipp Gr{\"{u}}ning and Erhardt Barth and Elad Arbel and Itay Remer and Amir Ben{-}Dor and Ekaterina Sirazitdinova and Matthias Kohl and Stefan Braunewell and Yuexiang Li and Xinpeng Xie and Linlin Shen and Jun Ma and Krishanu Das Baksi and Mohammad Azam Khan and Jaegul Choo and Adri{\'{a}}n Colomer and Valery Naranjo and Linmin Pei and Khan M. Iftekharuddin and Kaushiki Roy and Debotosh Bhattacharjee and An{\'{\i}}bal Pedraza and Maria Gloria Bueno and Sabarinathan Devanathan and Saravanan Radhakrishnan and Praveen Koduganty and Zihan Wu and Guanyu Cai and Xiaojie Liu and Yuqin Wang and Amit Sethi}, title = {A Multi-Organ Nucleus Segmentation Challenge}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {5}, pages = {1380--1391}, year = {2020}, url = {https://doi.org/10.1109/TMI.2019.2947628}, doi = {10.1109/TMI.2019.2947628}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/KumarVAZOTCHLHW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangHLYLC20, author = {Chua{-}Chin Wang and Chia{-}Yi Huang and Chu{-}Han Lin and Chia{-}Hung Yeh and Guan{-}Xian Liu and Yu{-}Cheng Chou}, title = {3D-Modeling Dataset Augmentation for Underwater {AUV} Real-time Manipulations}, booktitle = {2020 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2020, Ha Long, Vietnam, December 8-10, 2020}, pages = {145--148}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/APCCAS50809.2020.9301679}, doi = {10.1109/APCCAS50809.2020.9301679}, timestamp = {Wed, 27 Jan 2021 14:35:03 +0100}, biburl = {https://dblp.org/rec/conf/apccas/WangHLYLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/Ali0YC20, author = {Khurshed Ali and Chih{-}Yu Wang and Mi{-}Yen Yeh and Yi{-}Shin Chen}, editor = {Martin Atzm{\"{u}}ller and Michele Coscia and Rokia Missaoui}, title = {Addressing Competitive Influence Maximization on Unknown Social Network with Deep Reinforcement Learning}, booktitle = {{IEEE/ACM} International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2020, The Hague, Netherlands, December 7-10, 2020}, pages = {196--203}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASONAM49781.2020.9381471}, doi = {10.1109/ASONAM49781.2020.9381471}, timestamp = {Wed, 07 Apr 2021 18:33:30 +0200}, biburl = {https://dblp.org/rec/conf/asunam/Ali0YC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/birthday/ChenH20, author = {Chi{-}Yeh Chen and Sun{-}Yuan Hsieh}, editor = {Ding{-}Zhu Du and Jie Wang}, title = {An Efficient Approximation Algorithm for the Steiner Tree Problem}, booktitle = {Complexity and Approximation - In Memory of Ker-I Ko}, series = {Lecture Notes in Computer Science}, volume = {12000}, pages = {238--251}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-41672-0\_15}, doi = {10.1007/978-3-030-41672-0\_15}, timestamp = {Mon, 03 Aug 2020 14:08:02 +0200}, biburl = {https://dblp.org/rec/conf/birthday/ChenH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiYCTCC20, author = {Chi{-}Hsun Li and Su{-}Fang Yeh and Tang{-}Jie Chang and Meng{-}Hsuan Tsai and Ken Chen and Yung{-}Ju Chang}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {A Conversation Analysis of Non-Progress and Coping Strategies with a Banking Task-Oriented Chatbot}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376209}, doi = {10.1145/3313831.3376209}, timestamp = {Wed, 12 Jun 2024 07:39:18 +0200}, biburl = {https://dblp.org/rec/conf/chi/LiYCTCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ZuckermanWGMLLW20, author = {Oren Zuckerman and Dina Walker and Andrey Grishko and Tal Moran and Chen Levy and Barak Lisak and Iddo Yehoshua Wald and Hadas Erel}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Companionship Is Not a Function: The Effect of a Novel Robotic Object on Healthy Older Adults' Feelings of "Being-Seen"}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--14}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376411}, doi = {10.1145/3313831.3376411}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ZuckermanWGMLLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangLWCHY20, author = {Chien{-}Yao Wang and Hong{-}Yuan Mark Liao and Yueh{-}Hua Wu and Ping{-}Yang Chen and Jun{-}Wei Hsieh and I{-}Hau Yeh}, title = {CSPNet: {A} New Backbone that can Enhance Learning Capability of {CNN}}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {1571--1580}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w28/Wang\_CSPNet\_A\_New\_Backbone\_That\_Can\_Enhance\_Learning\_Capability\_of\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00203}, timestamp = {Tue, 31 Aug 2021 14:00:09 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangLWCHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangYSCT20, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {BiFuse: Monocular 360 Depth Estimation via Bi-Projection Fusion}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {459--468}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Wang\_BiFuse\_Monocular\_360\_Depth\_Estimation\_via\_Bi-Projection\_Fusion\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00054}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangYSCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/YehWC20, author = {Calvin Yeh and Sean Wang and Shih{-}Pei Chen}, editor = {Laura Estill and Jennifer Guiliano}, title = {Information extraction across textual corpora: semi-automatic text-tagging workflow with Chinese local gazetteers}, booktitle = {15th Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2020, Ottawa, Canada, July 20-25, 2020, Conference Abstracts}, year = {2020}, url = {https://dh2020.adho.org/wp-content/uploads/2020/07/500\_InformationextractionacrosstextualcorporasemiautomatictexttaggingworkflowwithChineselocalgazetteers.html}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dihu/YehWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChengYKWLKCPL20, author = {Hsien{-}Tzu Cheng and Chun{-}Fu Yeh and Po{-}Chen Kuo and Andy Wei and Keng{-}Chi Liu and Mong{-}Chi Ko and Kuan{-}Hua Chao and Yu{-}Ching Peng and Tyng{-}Luh Liu}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Self-similarity Student for Partial Label Histopathology Image Segmentation}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXV}}, series = {Lecture Notes in Computer Science}, volume = {12370}, pages = {117--132}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58595-2\_8}, doi = {10.1007/978-3-030-58595-2\_8}, timestamp = {Mon, 23 Nov 2020 15:09:46 +0100}, biburl = {https://dblp.org/rec/conf/eccv/ChengYKWLKCPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChienYLLFY20, author = {Sung{-}En Chien and Ching{-}Ju Yu and Yueh{-}Yi Lai and Jen{-}Chi Liu and Li{-}Chen Fu and Su{-}Ling Yeh}, editor = {Pei{-}Luen Patrick Rau}, title = {Can Older Adults' Acceptance Toward Robots Be Enhanced by Observational Learning?}, booktitle = {Cross-Cultural Design. User Experience of Products, Services, and Intelligent Environments - 12th International Conference, {CCD} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12192}, pages = {564--576}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49788-0\_43}, doi = {10.1007/978-3-030-49788-0\_43}, timestamp = {Tue, 14 Jul 2020 11:08:13 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChienYLLFY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/FengLHYCYL20, author = {Chia{-}Hui Feng and Yu{-}Chun Lin and Yu{-}Hsiu Hung and Chao{-}Kuang Yang and Liang{-}Chi Chen and Shih{-}Wei Yeh and Shih{-}Hao Lin}, editor = {Constantine Stephanidis and Margherita Antona}, title = {Research on Aesthetic Perception of Artificial Intelligence Style Transfer}, booktitle = {{HCI} International 2020 - Posters - 22nd International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1224}, pages = {641--649}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50726-8\_83}, doi = {10.1007/978-3-030-50726-8\_83}, timestamp = {Thu, 16 Jul 2020 15:56:07 +0200}, biburl = {https://dblp.org/rec/conf/hci/FengLHYCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/YehCC20, author = {Ting{-}An Yeh and Hung{-}Hsin Chen and Jerry Chou}, editor = {Manish Parashar and Vladimir Vlassov and David E. Irwin and Kathryn M. Mohror}, title = {KubeShare: {A} Framework to Manage GPUs as First-Class and Shared Resources in Container Cloud}, booktitle = {{HPDC} '20: The 29th International Symposium on High-Performance Parallel and Distributed Computing, Stockholm, Sweden, June 23-26, 2020}, pages = {173--184}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3369583.3392679}, doi = {10.1145/3369583.3392679}, timestamp = {Tue, 25 Jul 2023 13:44:09 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/YehCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenYYJS20, author = {Yi{-}Chen Chen and Zhaojun Yang and Ching{-}Feng Yeh and Mahaveer Jain and Michael L. Seltzer}, title = {Aipnet: Generative Adversarial Pre-Training of Accent-Invariant Networks for End-To-End Speech Recognition}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {6979--6983}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053098}, doi = {10.1109/ICASSP40776.2020.9053098}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenYYJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbdt/YehCJLL20, author = {Tzu{-}Chen Yeh and Hsiu{-}Chun Chen and Jung{-}Sing Jwo and Ching{-}Sheng Lin and Cheng{-}Hsiung Lee}, title = {A Data Concept Map for the Data Driven Enterprise Using Smart Technologies}, booktitle = {{ICBDT} 2020: 3rd International Conference on Big Data Technologies, Qingdao, China, September, 2020}, pages = {32--35}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3422713.3422756}, doi = {10.1145/3422713.3422756}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbdt/YehCJLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HsuCLWCCYL20, author = {Yi{-}Huai Hsu and Jen{-}Hao Cheng and Keh{-}Yeun Liao and Yong{-}Siang Wang and Te{-}Hsin Chen and Hung{-}Yeh Chen and Chih{-}Kuan Yen and Wanjiun Liao}, title = {{NTU} Smart Edge for Wireless Virtual Reality}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258121}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258121}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HsuCLWCCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/KangLY20, author = {Li{-}Wei Kang and Chen Lo and Chia{-}Hung Yeh}, title = {Deep Learning-based Moir{\'{e}} Pattern Removal from a Single Image: {A} Survey and Comparative Study}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258241}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258241}, timestamp = {Wed, 24 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/KangLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WuCYY20, author = {Eric Hsiao{-}Kuang Wu and Chia{-}Sheng Chen and Ting{-}Kai Yeh and Shih{-}Ching Yeh}, title = {Interactive Medical {VR} Streaming Service based on Software-Defined Network: Design and Implementation}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258002}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258002}, timestamp = {Wed, 24 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/WuCYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChiangNAZSG20, author = {Ping{-}yeh Chiang and Renkun Ni and Ahmed Abdelkader and Chen Zhu and Christoph Studer and Tom Goldstein}, title = {Certified Defenses for Adversarial Patches}, booktitle = {8th International Conference on Learning Representations, {ICLR} 2020, Addis Ababa, Ethiopia, April 26-30, 2020}, publisher = {OpenReview.net}, year = {2020}, url = {https://openreview.net/forum?id=HyeaSkrYPH}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChiangNAZSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifuzzy/HsiehCWCYT20, author = {Ya{-}Chu Hsieh and Chiun{-}Li Chin and Chia{-}Shin Wei and I{-}Miao Chen and Pin{-}Yu Yeh and Ru{-}Jiun Tseng}, title = {Combining VGG16, Mask {R-CNN} and Inception {V3} to identify the benign and malignant of breast microcalcification clusters}, booktitle = {2020 International Conference on Fuzzy Theory and Its Applications, iFUZZY 2020, Hsinchu, Taiwan, November 4-7, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/iFUZZY50310.2020.9297809}, doi = {10.1109/IFUZZY50310.2020.9297809}, timestamp = {Mon, 08 Feb 2021 11:28:40 +0100}, biburl = {https://dblp.org/rec/conf/ifuzzy/HsiehCWCYT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/LiHYCCCXH20, author = {Cheng{-}Tai Li and Yu{-}Ju Huang and Chia{-}Ming Yeh and Wen{-}Lin Chen and Guan{-}Yu Chen and He{-}Xun Cai and Wan{-}Qin Xu and Huei{-}Tse Hou}, editor = {Tokuro Matsuo and Kunihiko Takamatsu and Yuichi Ono and Sachio Hirokawa}, title = {Designing an Escape Room Educational Game for Cardiopulmonary Resuscitation Training: The Evaluation of Learning Achievement and Flow State}, booktitle = {9th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2020, Kitakyushu, Japan, September 1-15, 2020}, pages = {816--817}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IIAI-AAI50415.2020.00165}, doi = {10.1109/IIAI-AAI50415.2020.00165}, timestamp = {Thu, 20 May 2021 15:42:15 +0200}, biburl = {https://dblp.org/rec/conf/iiaiaai/LiHYCCCXH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChenLLCCSTYHCLC20, author = {Kuan{-}Ting Chen and C. Lo and Y.{-}Y. Lin and C.{-}Y. Chueh and C. Chang and G.{-}Y. Siang and Y.{-}J. Tseng and Y.{-}J. Yang and F.{-}C. Hsieh and S.{-}H. Chang and H. Liang and S.{-}H. Chiang and J.{-}H. Liu and Y.{-}D. Lin and P.{-}C. Yeh and C.{-}Y. Wang and H.{-}Y. Yang and P.{-}J. Tzeng and M.{-}H. Liao and Shu{-}Tong Chang and Y.{-}Y. Tseng and Min{-}Hung Lee}, title = {Double Layers Omega FETs with Ferroelectric HfZrO2 for One-Transistor Memory}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129088}, doi = {10.1109/IRPS45951.2020.9129088}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChenLLCCSTYHCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LoYCLWLCCLL20, author = {Chieh Roger Lo and Teng{-}Hao Yeh and Wei{-}Chen Chen and Hang{-}Ting Lue and Keh{-}Chung Wang and Chih{-}Yuan Lu and Yao{-}Wen Chang and Yung{-}Hsiang Chen and Chu{-}Yung Liu}, title = {Study of the Walk-Out Effect of Junction Breakdown Instability of the High-Voltage Depletion-Mode N-Channel {MOSFET} for {NAND} Flash Peripheral Device and an Efficient Layout Solution}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129216}, doi = {10.1109/IRPS45951.2020.9129216}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/LoYCLWLCCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiYLTH20, author = {Jung{-}Chun Chi and Yu{-}Cheng Yeh and I{-}Wei Lai and Pei{-}Yun Tsai and Yuan{-}Hao Huang}, title = {A 538Mbps 2{\texttimes}64 Spatial Permutation Modulation Detector for {MIMO} Systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180887}, doi = {10.1109/ISCAS45731.2020.9180887}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiYLTH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsuCWTWYSCLLTCH20, author = {Tzu{-}Hsiang Hsu and Yen{-}Kai Chen and Jun{-}Shen Wu and Wen{-}Chien Ting and Cheng{-}Te Wang and Chen{-}Fu Yeh and Syuan{-}Hao Sie and Yi{-}Ren Chen and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {5.9 {A} 0.8V Multimode Vision Sensor for Motion and Saliency Detection with Ping-Pong {PWM} Pixel}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {110--112}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062926}, doi = {10.1109/ISSCC19947.2020.9062926}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsuCWTWYSCLLTCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/ChiuHYYS20, author = {Ching{-}Yu Chiu and Wen{-}Yi Hsiao and Yin{-}Cheng Yeh and Yi{-}Hsuan Yang and Alvin Wen{-}Yu Su}, title = {Mixing-Specific Data Augmentation Techniques for Improved Blind Violin/Piano Source Separation}, booktitle = {22nd {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2020, Tampere, Finland, September 21-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MMSP48831.2020.9287146}, doi = {10.1109/MMSP48831.2020.9287146}, timestamp = {Wed, 13 Jan 2021 17:58:36 +0100}, biburl = {https://dblp.org/rec/conf/mmsp/ChiuHYYS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChowYLLWHCLL30, author = {Chi{-}Wai Chow and Chien{-}Hung Yeh and Yang Liu and Yinchieh Lai and Liang{-}Yu Wei and Chin{-}Wei Hsu and Guan{-}Hong Chen and Xin{-}Lan Liao and Kun{-}Hsien Lin}, title = {Enabling Techniques for Optical Wireless Communication Systems}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083268}, timestamp = {Tue, 22 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/ChowYLLWHCLL30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssci/ChiaYC20, author = {Hao{-}Cheng Chia and Tsung{-}Su Yeh and Tsung{-}Che Chiang}, title = {Designing Card Game Strategies with Genetic Programming and Monte-Carlo Tree Search: {A} Case Study of Hearthstone}, booktitle = {2020 {IEEE} Symposium Series on Computational Intelligence, {SSCI} 2020, Canberra, Australia, December 1-4, 2020}, pages = {2351--2358}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SSCI47803.2020.9308459}, doi = {10.1109/SSCI47803.2020.9308459}, timestamp = {Thu, 14 Jan 2021 15:14:22 +0100}, biburl = {https://dblp.org/rec/conf/ssci/ChiaYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/LiouCHL20, author = {Cheng{-}Yeh Liou and Cheng{-}Yen Chuang and Chia{-}Han Huang and Yi{-}Chang Lu}, title = {{HDR} Deghosting Using Motion-Registration-Free Fusion in the Luminance Gradient Domain}, booktitle = {2020 {IEEE} International Conference on Visual Communications and Image Processing, {VCIP} 2020, Macau, China, December 1-4, 2020}, pages = {499--502}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VCIP49819.2020.9301844}, doi = {10.1109/VCIP49819.2020.9301844}, timestamp = {Wed, 27 Jan 2021 14:35:05 +0100}, biburl = {https://dblp.org/rec/conf/vcip/LiouCHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/OhLKZSAVFGCWMBB20, author = {Jinwook Oh and Sae Kyu Lee and Mingu Kang and Matthew M. Ziegler and Joel Silberman and Ankur Agrawal and Swagath Venkataramani and Bruce M. Fleischer and Michael Guillorn and Jungwook Choi and Wei Wang and Silvia M. Mueller and Shimon Ben{-}Yehuda and James Bonanno and Nianzheng Cao and Robert Casatuta and Chia{-}Yu Chen and Matt Cohen and Ophir Erez and Thomas W. Fox and George Gristede and Howard Haynie and Vicktoria Ivanov and Siyu Koswatta and Shih{-}Hsien Lo and Martin Lutz and Gary W. Maier and Alex Mesh and Yevgeny Nustov and Scot Rider and Marcel Schaal and Michael Scheuermann and Xiao Sun and Naigang Wang and Fanchieh Yee and Ching Zhou and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 3.0 {TFLOPS} 0.62V Scalable Processor Core for High Compute Utilization {AI} Training and Inference}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162917}, doi = {10.1109/VLSICIRCUITS18222.2020.9162917}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/OhLKZSAVFGCWMBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/YehCTW20, author = {Chin{-}Yuan Yeh and Hsi{-}Wen Chen and Shang{-}Lun Tsai and Shang{-}De Wang}, title = {Disrupting Image-Translation-Based DeepFake Algorithms with Adversarial Attacks}, booktitle = {{IEEE} Winter Applications of Computer Vision Workshops, {WACV} Workshops 2020, Snowmass Village, CO, USA, March 1-5, 2020}, pages = {53--62}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WACVW50321.2020.9096939}, doi = {10.1109/WACVW50321.2020.9096939}, timestamp = {Wed, 27 May 2020 11:22:04 +0200}, biburl = {https://dblp.org/rec/conf/wacv/YehCTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenYLI20, author = {Guan{-}Wen Chen and Tzu{-}Chuan Yeh and Ching{-}Yu Liu and Ts{\`{\i}}{-}U{\'{\i}} Ik}, title = {Microscopic Traffic Monitoring and Data Collection Cloud Platform Based on Aerial Video}, booktitle = {2020 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2020, Seoul, Korea (South), May 25-28, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WCNC45663.2020.9120623}, doi = {10.1109/WCNC45663.2020.9120623}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenYLI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-09766, author = {Chen Zhu and Renkun Ni and Ping{-}Yeh Chiang and Hengduo Li and Furong Huang and Tom Goldstein}, title = {Improving the Tightness of Convex Relaxation Bounds for Training Certifiably Robust Classifiers}, journal = {CoRR}, volume = {abs/2002.09766}, year = {2020}, url = {https://arxiv.org/abs/2002.09766}, eprinttype = {arXiv}, eprint = {2002.09766}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-09766.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-06693, author = {Ping{-}yeh Chiang and Renkun Ni and Ahmed Abdelkader and Chen Zhu and Christoph Studer and Tom Goldstein}, title = {Certified Defenses for Adversarial Patches}, journal = {CoRR}, volume = {abs/2003.06693}, year = {2020}, url = {https://arxiv.org/abs/2003.06693}, eprinttype = {arXiv}, eprint = {2003.06693}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-06693.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-13516, author = {Fu{-}En Wang and Yu{-}Hsuan Yeh and Min Sun and Wei{-}Chen Chiu and Yi{-}Hsuan Tsai}, title = {LayoutMP3D: Layout Annotation of Matterport3D}, journal = {CoRR}, volume = {abs/2003.13516}, year = {2020}, url = {https://arxiv.org/abs/2003.13516}, eprinttype = {arXiv}, eprint = {2003.13516}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-13516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-12786, author = {Chun{-}Fu Yeh and Hsien{-}Tzu Cheng and Andy Wei and Keng{-}Chi Liu and Mong{-}Chi Ko and Po{-}Chen Kuo and Ray{-}Jade Chen and Po{-}Chang Lee and Jen{-}Hsiang Chuang and Chi{-}Mai Chen and Nai{-}Kuan Chou and Yeun{-}Chung Chang and Kuan{-}Hua Chao and Yi{-}Chin Tu and Tyng{-}Luh Liu}, title = {A Cascaded Learning Strategy for Robust {COVID-19} Pneumonia Chest X-Ray Screening}, journal = {CoRR}, volume = {abs/2004.12786}, year = {2020}, url = {https://arxiv.org/abs/2004.12786}, eprinttype = {arXiv}, eprint = {2004.12786}, timestamp = {Wed, 29 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-12786.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-09218, author = {Jia{-}Fong Yeh and Hsin{-}Ying Lee and Bing{-}Chen Tsai and Yi{-}Rong Chen and Ping{-}Chia Huang and Winston H. Hsu}, title = {Large Margin Mechanism and Pseudo Query Set on Cross-Domain Few-Shot Learning}, journal = {CoRR}, volume = {abs/2005.09218}, year = {2020}, url = {https://arxiv.org/abs/2005.09218}, eprinttype = {arXiv}, eprint = {2005.09218}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-09218.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-00442, author = {Cheng{-}Yu Hsieh and Chih{-}Kuan Yeh and Xuanqing Liu and Pradeep Ravikumar and Seungyeon Kim and Sanjiv Kumar and Cho{-}Jui Hsieh}, title = {Evaluations and Methods for Explanation through Robustness Analysis}, journal = {CoRR}, volume = {abs/2006.00442}, year = {2020}, url = {https://arxiv.org/abs/2006.00442}, eprinttype = {arXiv}, eprint = {2006.00442}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-00442.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-09610, author = {Hsien{-}Tzu Cheng and Chun{-}Fu Yeh and Po{-}Chen Kuo and Andy Wei and Keng{-}Chi Liu and Mong{-}Chi Ko and Kuan{-}Hua Chao and Yu{-}Ching Peng and Tyng{-}Luh Liu}, title = {Self-similarity Student for Partial Label Histopathology Image Segmentation}, journal = {CoRR}, volume = {abs/2007.09610}, year = {2020}, url = {https://arxiv.org/abs/2007.09610}, eprinttype = {arXiv}, eprint = {2007.09610}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-09610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-02480, author = {Ching{-}Yu Chiu and Wen{-}Yi Hsiao and Yin{-}Cheng Yeh and Yi{-}Hsuan Yang and Alvin Wen{-}Yu Su}, title = {Mixing-Specific Data Augmentation Techniques for Improved Blind Violin/Piano Source Separation}, journal = {CoRR}, volume = {abs/2008.02480}, year = {2020}, url = {https://arxiv.org/abs/2008.02480}, eprinttype = {arXiv}, eprint = {2008.02480}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-02480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangYLY19, author = {Chen{-}Wei Chang and Shih{-}Ching Yeh and Mengtong Li and Eason Yao}, title = {The Introduction of a Novel Virtual Reality Training System for Gynecology Learning and Its User Experience Research}, journal = {{IEEE} Access}, volume = {7}, pages = {43637--43653}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2905143}, doi = {10.1109/ACCESS.2019.2905143}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChangYLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCYPGTCTT19, author = {Guan{-}Hong Chen and Chi{-}Wai Chow and Chien{-}Hung Yeh and Ching{-}Wei Peng and Pin{-}Cheng Guo and Jui{-}Feng Tsai and Ming{-}Wei Cheng and Yeyu Tong and Hon Ki Tsang}, title = {Mode-Division-Multiplexing {(MDM)} of 9.4-Tbit/s {OFDM} Signals on Silicon-on-Insulator {(SOI)} Platform}, journal = {{IEEE} Access}, volume = {7}, pages = {129104--129111}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2939715}, doi = {10.1109/ACCESS.2019.2939715}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCYPGTCTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLC19a, author = {Shih{-}Yeh Chen and Chin{-}Feng Lai and Chi{-}Cheng Chang}, title = {A Hybrid Biological Data Analysis Approach for Students' Learning Creative Characteristics Recognition}, journal = {{IEEE} Access}, volume = {7}, pages = {134411--134421}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2940378}, doi = {10.1109/ACCESS.2019.2940378}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLC19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GuoCYCCZZ19, author = {Jian{-}Lan Guo and Yu{-}Qiang Chen and Huai{-}De Yang and Chien{-}Ming Chen and Yeh{-}Cheng Chen and Huiyu Zhang and Zhiyu Zhang}, title = {Study on Secrecy Capacity of Wireless Sensor Networks in Internet of Things Based on the Amplify-and-Forward Compressed Sensing Scheme}, journal = {{IEEE} Access}, volume = {7}, pages = {185580--185589}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2960603}, doi = {10.1109/ACCESS.2019.2960603}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/GuoCYCCZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangCXCHC19, author = {Lanlan Kang and Ruey{-}Shun Chen and Naixue Xiong and Yeh{-}Cheng Chen and Yu{-}Xi Hu and Chien{-}Ming Chen}, title = {Selecting Hyper-Parameters of Gaussian Process Regression Based on Non-Inertial Particle Swarm Optimization in Internet of Things}, journal = {{IEEE} Access}, volume = {7}, pages = {59504--59513}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2913757}, doi = {10.1109/ACCESS.2019.2913757}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangCXCHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PengLCSST19, author = {Bo Peng and Ching{-}Hsing Luo and Wai Yeh Chan and Meng{-}Dar Shieh and Chun{-}Jen Su and Cheng{-}Chi Tai}, title = {Development and Testing of a Prototype for 3D Radial Pulse Image Measurement and Compatible With 1D Pulse Wave Analysis}, journal = {{IEEE} Access}, volume = {7}, pages = {182846--182859}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2960338}, doi = {10.1109/ACCESS.2019.2960338}, timestamp = {Thu, 16 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/PengLCSST19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TaiCLHYCLWC19, author = {Kuang{-}Han Tai and Mei{-}Juan Chen and Jie{-}Ru Lin and Ren{-}Yuan Huang and Chia{-}Hung Yeh and Chia{-}Yen Chen and Shinfeng D. Lin and Ro{-}Min Weng and Chuan{-}Yu Chang}, title = {Acceleration for {HEVC} Encoder by Bimodal Segmentation of Rate-Distortion Cost and Accurate Determination of Early Termination and Early Split}, journal = {{IEEE} Access}, volume = {7}, pages = {45259--45273}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2900517}, doi = {10.1109/ACCESS.2019.2900517}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TaiCLHYCLWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/XiaoCZCLCXC19, author = {Fengjun Xiao and Ruey{-}Shun Chen and Wenyuan Zhang and Yeh{-}Cheng Chen and S.{-}Y. Lu and Yu{-}Qiang Chen and Naixue Xiong and Chien{-}Ming Chen}, title = {Design and Analysis of a Strengthen Internal Control Scheme for Smart Trust Financial Service}, journal = {{IEEE} Access}, volume = {7}, pages = {163202--163218}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2945056}, doi = {10.1109/ACCESS.2019.2945056}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/XiaoCZCLCXC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehLXCCH19, author = {Chien{-}Hung Yeh and Chien{-}Ming Luo and Yue{-}Ru Xie and Chi{-}Wai Chow and Yu{-}Wen Chen and Tzu{-}An Hsu}, title = {Survivable and Reliable {WDM-PON} System With Self-Protected Mechanism Against Fiber Fault}, journal = {{IEEE} Access}, volume = {7}, pages = {165088--165092}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2952944}, doi = {10.1109/ACCESS.2019.2952944}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YehLXCCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangCCLXC19, author = {Wenyuan Zhang and Ruey{-}Shun Chen and Yeh{-}Cheng Chen and S.{-}Y. Lu and Naixue Xiong and Chien{-}Ming Chen}, title = {An Effective Digital System for Intelligent Financial Environments}, journal = {{IEEE} Access}, volume = {7}, pages = {155965--155976}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2943907}, doi = {10.1109/ACCESS.2019.2943907}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangCCLXC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeHYCCCT19, author = {Chi{-}Ching Lee and Po{-}Jung Huang and Yuan{-}Ming Yeh and Sin{-}You Chen and Cheng{-}Hsun Chiu and Wei{-}Hung Cheng and Petrus Tang}, title = {Pathogenic Protist Transmembranome database (PPTdb): a web-based platform for searching and analysis of protist transmembrane proteins}, journal = {{BMC} Bioinform.}, volume = {20-S}, number = {13}, pages = {382:1--382:10}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-2857-7}, doi = {10.1186/S12859-019-2857-7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeHYCCCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/LeeCCATSH19, author = {Hao{-}Yeh Lee and Chien{-}Ying Chen and Jun{-}Lin Chen and Jes{\'{u}}s Rafael Alc{\'{a}}ntara{-}{\'{A}}vila and Masataka Terasaki and Ken{-}Ichiro Sotowa and Toshihide Horikawa}, title = {Design and control of diphenyl carbonate reactive distillation process with thermally coupled and heat-integrated stages configuration}, journal = {Comput. Chem. Eng.}, volume = {121}, pages = {130--147}, year = {2019}, url = {https://doi.org/10.1016/j.compchemeng.2018.10.009}, doi = {10.1016/J.COMPCHEMENG.2018.10.009}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/LeeCCATSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/HuLFHHLYRLCHT19, author = {Min{-}Chun Hu and Kun{-}Chan Lan and Wen{-}Chieh Fang and Yu{-}Chia Huang and Tsung{-}Jung Ho and Chun{-}Pang Lin and Ming{-}Hsien Yeh and Paweeya Raknim and Ying{-}Hsiu Lin and Ming{-}Hsun Cheng and Yi{-}Ting He and Kuo{-}Chih Tseng}, title = {Automated tongue diagnosis on the smartphone and its applications}, journal = {Comput. Methods Programs Biomed.}, volume = {174}, pages = {51--64}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2017.12.029}, doi = {10.1016/J.CMPB.2017.12.029}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/HuLFHHLYRLCHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/WuYHINPWYL19, author = {Chieh{-}Chen Wu and Wen{-}Chun Yeh and Wen{-}Ding Hsu and Md. Mohaimenul Islam and Phung{-}Anh (Alex) Nguyen and Tahmina Nasrin Poly and Yao{-}Chin Wang and Hsuan{-}Chia Yang and Yu{-}Chuan (Jack) Li}, title = {Prediction of fatty liver disease using machine learning algorithms}, journal = {Comput. Methods Programs Biomed.}, volume = {170}, pages = {23--29}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2018.12.032}, doi = {10.1016/J.CMPB.2018.12.032}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/WuYHINPWYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/datamine/LaiHCYL19, author = {Yi{-}An Lai and Chin{-}Chi Hsu and Wen{-}Hao Chen and Mi{-}Yen Yeh and Shou{-}De Lin}, title = {DeepRank: improving unsupervised node ranking via link discovery}, journal = {Data Min. Knowl. Discov.}, volume = {33}, number = {2}, pages = {474--498}, year = {2019}, url = {https://doi.org/10.1007/s10618-018-0601-y}, doi = {10.1007/S10618-018-0601-Y}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/datamine/LaiHCYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasmp/ChiangHYLP19, author = {Chen{-}Yu Chiang and Yu{-}Ping Hung and Han{-}Yun Yeh and I{-}Bin Liao and Chen{-}Ming Pan}, title = {Punctuation-generation-inspired linguistic features for Mandarin prosody generation}, journal = {{EURASIP} J. Audio Speech Music. Process.}, volume = {2019}, pages = {4}, year = {2019}, url = {https://doi.org/10.1186/s13636-019-0147-y}, doi = {10.1186/S13636-019-0147-Y}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasmp/ChiangHYLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/TsengJTCTY19, author = {Ko{-}Kai Tseng and Chien{-}Chang Juan and Shuen Tso and Hsuan{-}Chu Chen and Che{-}Wei Tsai and Jien{-}Wei Yeh}, title = {Effects of Mo, Nb, Ta, Ti, and Zr on Mechanical Properties of Equiatomic Hf-Mo-Nb-Ta-Ti-Zr Alloys}, journal = {Entropy}, volume = {21}, number = {1}, pages = {15}, year = {2019}, url = {https://doi.org/10.3390/e21010015}, doi = {10.3390/E21010015}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/TsengJTCTY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/YehTC19, author = {Hui{-}Chin Yeh and Sheng{-}Shiang Tseng and Yu{-}Sheng Chen}, title = {Using Online Peer Feedback through Blogs to Promote Speaking Performance}, journal = {J. Educ. Technol. Soc.}, volume = {22}, number = {1}, pages = {1--14}, year = {2019}, url = {https://drive.google.com/file/d/1EwH\_IzTM7GJfcrvxDa4D0TSKqHfDe4HP/view}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ets/YehTC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fdata/ChenHLLYL19, author = {Wen{-}Hao Chen and Chin{-}Chi Hsu and Yi{-}An Lai and Vincent Liu and Mi{-}Yen Yeh and Shou{-}De Lin}, title = {Attribute-Aware Recommender System Based on Collaborative Filtering: Survey and Classification}, journal = {Frontiers Big Data}, volume = {2}, pages = {49}, year = {2019}, url = {https://doi.org/10.3389/fdata.2019.00049}, doi = {10.3389/FDATA.2019.00049}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fdata/ChenHLLYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LoLCY19, author = {Yun{-}Feng Lo and Chia{-}Han Lee and Po{-}Chun Chou and Ping{-}Cheng Yeh}, title = {Modeling Molecular Communications in Tubes With Poiseuille Flow and Robin Boundary Condition}, journal = {{IEEE} Commun. Lett.}, volume = {23}, number = {8}, pages = {1314--1318}, year = {2019}, url = {https://doi.org/10.1109/LCOMM.2019.2920830}, doi = {10.1109/LCOMM.2019.2920830}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LoLCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ChangYKCYLYHHW19, author = {Yung{-}Ju Chang and Chu{-}Yuan Yang and Ying{-}Hsuan Kuo and Wen{-}Hao Cheng and Chun{-}Liang Yang and Fang{-}Yu Lin and I{-}Hui Yeh and Chih{-}Kuan Hsieh and Ching{-}Yu Hsieh and Yu{-}Shuen Wang}, title = {Tourgether: Exploring Tourists' Real-time Sharing of Experiences as a Means of Encouraging Point-of-Interest Exploration}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {3}, number = {4}, pages = {128:1--128:25}, year = {2019}, url = {https://doi.org/10.1145/3369832}, doi = {10.1145/3369832}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ChangYKCYLYHHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/ChenKY19, author = {Chun{-}Der Chen and Edward C. S. Ku and Chien Chi Yeh}, title = {Increasing rates of impulsive online shopping on tourism websites}, journal = {Internet Res.}, volume = {29}, number = {4}, pages = {900--920}, year = {2019}, url = {https://doi.org/10.1108/INTR-03-2017-0102}, doi = {10.1108/INTR-03-2017-0102}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/intr/ChenKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/ChenWYXW19, author = {Chien{-}Ming Chen and King{-}Hang Wang and Kuo{-}Hui Yeh and Bin Xiang and Tsu{-}Yang Wu}, title = {Attacks and solutions on a three-party password-based authenticated key exchange protocol for wireless communications}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {10}, number = {8}, pages = {3133--3142}, year = {2019}, url = {https://doi.org/10.1007/s12652-018-1029-3}, doi = {10.1007/S12652-018-1029-3}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/ChenWYXW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcm/PanLLDCKPW19, author = {Zijin Pan and Tian Lang and Cheng Li and Mengfu Di and Gang Chen and Yehuda E. Kalay and Ramdas Pai and Albert Z. Wang}, title = {Visible Light Communication Cyber-Physical Systems-on- Chip for Smart Cities}, journal = {J. Commun.}, volume = {14}, number = {12}, pages = {1141--1146}, year = {2019}, url = {https://doi.org/10.12720/jcm.14.12.1141-1146}, doi = {10.12720/JCM.14.12.1141-1146}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcm/PanLLDCKPW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShihLCLLCLYYCCC19, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40-nm 16-Mb, Embedded Perpendicular-MRAM With Hybrid-Resistance Reference, Sub- {\textdollar}{\textbackslash}mu{\textdollar} {A} Sensing Resolution, and 17.5-nS Read Access Time}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {4}, pages = {1029--1038}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2889106}, doi = {10.1109/JSSC.2018.2889106}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShihLCLLCLYYCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/YehLCYLT19, author = {Chih{-}Hsuan Yeh and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Cheng{-}An Lee and Kuang{-}Han Tai}, title = {Fast prediction for quality scalability of High Efficiency Video Coding Scalable Extension}, journal = {J. Vis. Commun. Image Represent.}, volume = {58}, pages = {462--476}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2018.12.021}, doi = {10.1016/J.JVCIR.2018.12.021}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/YehLCYLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/LiYCC19, author = {Yung{-}Hui Li and Nai{-}Ning Yeh and Shih{-}Jen Chen and Yu{-}Chien Chung}, title = {Computer-Assisted Diagnosis for Diabetic Retinopathy Based on Fundus Images Using Deep Convolutional Neural Network}, journal = {Mob. Inf. Syst.}, volume = {2019}, pages = {6142839:1--6142839:14}, year = {2019}, url = {https://doi.org/10.1155/2019/6142839}, doi = {10.1155/2019/6142839}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/LiYCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LiaoCYLC19, author = {Yi{-}Wen Liao and Mei{-}Juan Chen and Chia{-}Hung Yeh and Jie{-}Ru Lin and Chih{-}Wei Chen}, title = {Efficient inter-prediction depth coding algorithm based on depth map segmentation for 3D-HEVC}, journal = {Multim. Tools Appl.}, volume = {78}, number = {8}, pages = {10181--10205}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6547-7}, doi = {10.1007/S11042-018-6547-7}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LiaoCYLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PailYFHPWZSCLZL19, author = {Roland Pail and Hsien{-}Chi Yeh and Wei Feng and Markus Hauk and Anna Purkhauser and Changqing Wang and Ming Zhong and Yunzhong Shen and Qiujie Chen and Zhicai Luo and Hao Zhou and Bingshi Liu and Yongqi Zhao and Xiancai Zou and Xinyu Xu and Bo Zhong and Roger Haagmans and Houze Xu}, title = {Next-Generation Gravity Missions: Sino-European Numerical Simulation Comparison Exercise}, journal = {Remote. Sens.}, volume = {11}, number = {22}, pages = {2654}, year = {2019}, url = {https://doi.org/10.3390/rs11222654}, doi = {10.3390/RS11222654}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/PailYFHPWZSCLZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangYCJL19, author = {Ci{-}Jian Yang and Li{-}Wei Yeh and Yeuan{-}Chang Cheng and Chia{-}Hung Jen and Jiun{-}Chuan Lin}, title = {Badland Erosion and Its Morphometric Features in the Tropical Monsoon Area}, journal = {Remote. Sens.}, volume = {11}, number = {24}, pages = {3051}, year = {2019}, url = {https://doi.org/10.3390/rs11243051}, doi = {10.3390/RS11243051}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YangYCJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuHCY19, author = {Teng{-}Pao Chiu and Wei{-}Shiang Huang and Ting{-}Chien Chen and Yi{-}Lung Yeh}, title = {Fluorescence Characteristics of Dissolved Organic Matter {(DOM)} in Percolation Water and Lateral Seepage Affected by Soil Solution {(S-S)} in a Lysimeter Test}, journal = {Sensors}, volume = {19}, number = {18}, pages = {4016}, year = {2019}, url = {https://doi.org/10.3390/s19184016}, doi = {10.3390/S19184016}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChiuHCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiuYWCWZ19, author = {Yu{-}Chieh Chiu and Pinghui Sophia Yeh and Tzu{-}Hsun Wang and Tzu{-}Chieh Chou and Cheng{-}You Wu and Jia{-}Jun Zhang}, title = {An Ultraviolet Sensor and Indicator Module Based on p-i-n Photodiodes}, journal = {Sensors}, volume = {19}, number = {22}, pages = {4938}, year = {2019}, url = {https://doi.org/10.3390/s19224938}, doi = {10.3390/S19224938}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChiuYWCWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangHLCHC19, author = {Hsiang{-}Yun Huang and Chia{-}Yeh Hsieh and Kai{-}Chun Liu and Hui{-}Chun Cheng and Steen Jun{-}Ping Hsu and Chia{-}Tai Chan}, title = {Multi-Sensor Fusion Approach for Improving Map-Based Indoor Pedestrian Localization}, journal = {Sensors}, volume = {19}, number = {17}, pages = {3786}, year = {2019}, url = {https://doi.org/10.3390/s19173786}, doi = {10.3390/S19173786}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuangHLCHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KoCWTCTYC19, author = {Li{-}Wei Ko and Yang Chang and Peilun Wu and Heng{-}An Tzou and Sheng{-}Fu Chen and Shih{-}Chien Tang and Chia{-}Lung Yeh and Yun{-}Ju Chen}, title = {Development of a Smart Helmet for Strategical {BCI} Applications}, journal = {Sensors}, volume = {19}, number = {8}, pages = {1867}, year = {2019}, url = {https://doi.org/10.3390/s19081867}, doi = {10.3390/S19081867}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KoCWTCTYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TuanLWYCLCK19, author = {Chiu{-}Ching Tuan and Chi{-}Heng Lu and Yi{-}Chao Wu and Wen{-}Ling Yeh and Mei{-}Chuan Chen and Tsair{-}Fwu Lee and Yu{-}Jing Chen and Hsuan{-}Kai Kao}, title = {Development of a System for Real-Time Monitoring of Pressure, Temperature, and Humidity in Casts}, journal = {Sensors}, volume = {19}, number = {10}, pages = {2417}, year = {2019}, url = {https://doi.org/10.3390/s19102417}, doi = {10.3390/S19102417}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TuanLWYCLCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehLLYC19, author = {Chia{-}Hung Yeh and Min{-}Hui Lin and Chien{-}Hung Lin and Cheng{-}En Yu and Mei{-}Juan Chen}, title = {Machine Learning for Long Cycle Maintenance Prediction of Wind Turbine}, journal = {Sensors}, volume = {19}, number = {7}, pages = {1671}, year = {2019}, url = {https://doi.org/10.3390/s19071671}, doi = {10.3390/S19071671}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YehLLYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/TsaiY19, author = {Cheng{-}An Tsai and Ching{-}Chiang Yeh}, title = {Understanding the decision rules for 3D printing adoption}, journal = {Technol. Anal. Strateg. Manag.}, volume = {31}, number = {9}, pages = {1104--1117}, year = {2019}, url = {https://doi.org/10.1080/09537325.2019.1584287}, doi = {10.1080/09537325.2019.1584287}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taasm/TsaiY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LinYLYWSSWJYL19, author = {Chen Lin and Hui{-}Ming Yeh and Men{-}Tzung Lo and Chien{-}Hung Yeh and Cheng{-}Yen Wang and Wenbin Shi and Bess Ma F. Serafico and Chen{-}Hsu Wang and Chung{-}Hau Juan and Hsu{-}Wen Vincent Young and Yenn{-}Jiang Lin}, title = {Robust Fetal Heart Beat Detection via R-Peak Intervals Distribution}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {12}, pages = {3310--3319}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2904014}, doi = {10.1109/TBME.2019.2904014}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LinYLYWSSWJYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ChenCPYCC19, author = {Yu{-}Chieh Chen and Chin{-}Chen Chang and Ramesh Perumal and Shih{-}Rung Yeh and Yen{-}Chung Chang and Hsin Chen}, title = {Optimization and Implementation of Wavelet-based Algorithms for Detecting High-voltage Spindles in Neuron Signals}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {18}, number = {5}, pages = {39:1--39:16}, year = {2019}, url = {https://doi.org/10.1145/3329864}, doi = {10.1145/3329864}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/ChenCPYCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZhangCHYC19, author = {Rui Zhang and Wenguang Chen and Tse{-}Chuan Hsu and Hongji Yang and Yeh{-}Ching Chung}, title = {{ANG:} a combination of Apriori and graph computing techniques for frequent itemsets mining}, journal = {J. Supercomput.}, volume = {75}, number = {2}, pages = {646--661}, year = {2019}, url = {https://doi.org/10.1007/s11227-017-2049-z}, doi = {10.1007/S11227-017-2049-Z}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ZhangCHYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acg/GuoHYCCH19, author = {Yan{-}Rong Guo and Wei{-}Chiao Huang and Jia{-}Jun Yeh and Hsi{-}Ya Chang and Lung{-}Pin Chen and Kuo{-}Chan Huang}, editor = {Tristan Cazenave and H. Jaap van den Herik and Abdallah Saffidine and I{-}Chen Wu}, title = {On Efficiency of Fully Probing Mechanisms in Nonogram Solving Algorithm}, booktitle = {Advances in Computer Games - 16th International Conference, {ACG} 2019, Macao, China, August 11-13, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12516}, pages = {119--130}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-65883-0\_10}, doi = {10.1007/978-3-030-65883-0\_10}, timestamp = {Mon, 21 Dec 2020 11:19:17 +0100}, biburl = {https://dblp.org/rec/conf/acg/GuoHYCCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/WangCFCH19, author = {Chiapin Wang and Jen{-}Hau Chan and Shih{-}Hau Fang and Ho{-}Ti Cheng and Yeh{-}Liang Hsu}, title = {Novel Sleep Apnea Detection Based on {UWB} Artificial Intelligence Mattress}, booktitle = {{IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2019, Hsinchu, Taiwan, March 18-20, 2019}, pages = {158--159}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AICAS.2019.8771598}, doi = {10.1109/AICAS.2019.8771598}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aicas/WangCFCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apwcs2/HsuCCSYCLL19, author = {Yung{-}Tsao Hsu and Bei{-}Hao Chang and Chia{-}Fu Chang and Pin{-}Wen Su and I{-}Hsien Yeh and Kai{-}Chuan Cheng and Ying{-}Chen Lin and Mao{-}Chao Lin}, title = {Gain Division Multiple Access for Transmissions Over Independent Fading Channels}, booktitle = {{IEEE} {VTS} Asia Pacific Wireless Communications Symposium, {APWCS} 2019, Singapore, August 28-30, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTS-APWCS.2019.8851630}, doi = {10.1109/VTS-APWCS.2019.8851630}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/apwcs2/HsuCCSYCLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/HsiehHLCHC19, author = {Chia{-}Yeh Hsieh and Hsiang{-}Yun Huang and Kai{-}Chun Liu and Kun{-}Hui Chen and Steen Jun{-}Ping Hsu and Chia{-}Tai Chan}, title = {Automatic Subtask Segmentation Approach of the Timed Up and Go Test for Mobility Assessment System Using Wearable Sensors}, booktitle = {2019 {IEEE} {EMBS} International Conference on Biomedical {\&} Health Informatics, {BHI} 2019, Chicago, IL, USA, May 19-22, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BHI.2019.8834646}, doi = {10.1109/BHI.2019.8834646}, timestamp = {Sun, 13 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bhi/HsiehHLCHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/HuangJZYCWYJ19, author = {Chia{-}Ling Huang and Chen{-}Wei Jao and Xianyong Zhang and Wei{-}Chang Yeh and Vera Yuk Ying Chung and Jinhai Wang and Jun Yang and Yun{-}Zhi Jiang}, title = {A new Method for Dynamic Economic Emission Dispatch Problem}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2019, Wellington, New Zealand, June 10-13, 2019}, pages = {2355--2361}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CEC.2019.8790238}, doi = {10.1109/CEC.2019.8790238}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/HuangJZYCWYJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/YehCC19, author = {Jia{-}Fong Yeh and Ting{-}Yu Chen and Tsung{-}Che Chiang}, title = {Modified {L-SHADE} for Single Objective Real-Parameter Optimization}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2019, Wellington, New Zealand, June 10-13, 2019}, pages = {381--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CEC.2019.8789991}, doi = {10.1109/CEC.2019.8789991}, timestamp = {Tue, 13 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/YehCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChenYHJZL19, author = {Ko{-}Chiang Chen and Hsiu{-}Wei Yeh and Ji{-}Yan Hang and Sin{-}Hua Jhang and Wei{-}Zhong Zheng and Ying{-}Hui Lai}, title = {A joint-feature learning-based voice conversion system for dysarthric user based on deep learning technology}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {1838--1841}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8856560}, doi = {10.1109/EMBC.2019.8856560}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChenYHJZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/YehTCH19, author = {Cheng{-}Wei Yeh and Nan{-}Chyuan Tsai and Hsin{-}Lin Chiu and Ping{-}Hsueh Hsieh}, title = {Active Vibration Suppression Platform for Milling Machine}, booktitle = {17th European Control Conference, {ECC} 2019, Naples, Italy, June 25-28, 2019}, pages = {3450--3455}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ECC.2019.8795682}, doi = {10.23919/ECC.2019.8795682}, timestamp = {Thu, 11 Feb 2021 14:14:57 +0100}, biburl = {https://dblp.org/rec/conf/eucc/YehTCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/ChenLYYH19, author = {Liang{-}Yu Chen and Jia{-}Hua Lee and Ya{-}Liang Yang and Ming{-}Tsung Yeh and Tzu{-}Chien Hsiao}, editor = {Manuel L{\'{o}}pez{-}Ib{\'{a}}{\~{n}}ez and Anne Auger and Thomas St{\"{u}}tzle}, title = {Predicting the remaining useful life of plasma equipment through {XCSR}}, booktitle = {Proceedings of the Genetic and Evolutionary Computation Conference Companion, {GECCO} 2019, Prague, Czech Republic, July 13-17, 2019}, pages = {1263--1270}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3319619.3326879}, doi = {10.1145/3319619.3326879}, timestamp = {Thu, 11 Jul 2019 09:46:27 +0200}, biburl = {https://dblp.org/rec/conf/gecco/ChenLYYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenZYWWH19, author = {Chia{-}Ping Chen and Su{-}Yu Zhang and Chih{-}Ting Yeh and Jia{-}Ching Wang and Tenghui Wang and Chien{-}Lin Huang}, title = {Speaker Characterization Using {TDNN-LSTM} Based Speaker Embedding}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {6211--6215}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683185}, doi = {10.1109/ICASSP.2019.8683185}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenZYWWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HuangLLWNWCCJL19, author = {Shao{-}Chang Huang and Chih{-}Cherng Liao and Hsien{-}Feng Liao and Shou{-}Peng Weng and Karuna Nidhi and Yu{-}Kai Wang and Yi{-}Jen Chen and Hwa{-}Chyi Chiou and Yeh{-}Ning Jou and Jian{-}Hsing Lee}, title = {Analyzing Gate-Driven Circuit Parameters for Adding {ESD} Performances}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991697}, doi = {10.1109/ICCE-TW46550.2019.8991697}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/HuangLLWNWCCJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LaiTYLY19, author = {Hao{-}Min Lai and Chih{-}Cheng Tseng and Shih{-}Tseng Yeh and Ying{-}Jen Liu and Cheng{-}Feng Yu}, title = {The Design of LabVIEW-based Audio and Speech Testing System}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991791}, doi = {10.1109/ICCE-TW46550.2019.8991791}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LaiTYLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WuLH0C19, author = {Tsu{-}Yang Wu and Zhiyuan Lee and Yanyu Huang and Chien{-}Ming Chen and Yeh{-}Cheng Chen}, title = {Security Analysis of Wu et al.'s Authentication Protocol for Distributed Cloud Computing}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991710}, doi = {10.1109/ICCE-TW46550.2019.8991710}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/WuLH0C19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/YehFP19, author = {Cheng{-}Han Yeh and Yao{-}Chung Fan and Wen{-}Chih Peng}, title = {Interpretable Multi-task Learning for Product Quality Prediction with Attention Mechanism}, booktitle = {35th {IEEE} International Conference on Data Engineering, {ICDE} 2019, Macao, China, April 8-11, 2019}, pages = {1910--1921}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICDE.2019.00207}, doi = {10.1109/ICDE.2019.00207}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/YehFP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LeeWYCWPCC19, author = {Zhiyuan Lee and Tsu{-}Yang Wu and Lei Yang and Chien{-}Ming Chen and King{-}Hang Wang and Jeng{-}Shyang Pan and Shu{-}Chuan Chu and Yeh{-}Cheng Chen}, editor = {Jeng{-}Shyang Pan and Jerry Chun{-}Wei Lin and Yongquan Liang and Shu{-}Chuan Chu}, title = {A Lightweight Anonymous Mutual Authentication Scheme in Mobile Networks}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Thirteenth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2019, November 1-3, 2019, Qingdao, China}, series = {Advances in Intelligent Systems and Computing}, volume = {1107}, pages = {468--473}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-3308-2\_51}, doi = {10.1007/978-981-15-3308-2\_51}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/LeeWYCWPCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LiangWLWCC19, author = {Xiao{-}Cong Liang and Tsu{-}Yang Wu and Yu{-}Qi Lee and Tao Wang and Chien{-}Ming Chen and Yeh{-}Cheng Chen}, editor = {Jeng{-}Shyang Pan and Jerry Chun{-}Wei Lin and Yongquan Liang and Shu{-}Chuan Chu}, title = {Cryptanalysis of an Anonymous Message Authentication Scheme for Smart Grid}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Thirteenth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2019, November 1-3, 2019, Qingdao, China}, series = {Advances in Intelligent Systems and Computing}, volume = {1107}, pages = {455--461}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-3308-2\_49}, doi = {10.1007/978-981-15-3308-2\_49}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/LiangWLWCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/TieWYC19, author = {Zhuoyu Tie and Eric Ke Wang and Jyh{-}Haw Yeh and Chien{-}Ming Chen}, editor = {Jeng{-}Shyang Pan and Jerry Chun{-}Wei Lin and Yongquan Liang and Shu{-}Chuan Chu}, title = {Forward Privacy Analysis of a Dynamic Searchable Encryption Scheme}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Thirteenth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2019, November 1-3, 2019, Qingdao, China}, series = {Advances in Intelligent Systems and Computing}, volume = {1107}, pages = {474--480}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-3308-2\_52}, doi = {10.1007/978-981-15-3308-2\_52}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/TieWYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/ChuCLCS19, author = {Chia{-}Wen Chu and Wei{-}Che Chien and Chin{-}Feng Lai and Shih{-}Yeh Chen and Kathiravan Srinivasan}, editor = {Lisbet R{\o}nningsbakk and Ting{-}Ting Wu and Frode Eika Sandnes and Yueh{-}Min Huang}, title = {Foreseen More than a Century{\unicode{9472}}Grand Vision Regarding Science and Technology from the Dream of Red Chamber}, booktitle = {Innovative Technologies and Learning - Second International Conference, {ICITL} 2019, Troms{\o}, Norway, December 2-5, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11937}, pages = {589--595}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35343-8\_62}, doi = {10.1007/978-3-030-35343-8\_62}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitl/ChuCLCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/YehCYY19, author = {Chih{-}Kuan Yeh and Jianshu Chen and Chengzhu Yu and Dong Yu}, title = {Unsupervised Speech Recognition via Segmental Empirical Output Distribution Matching}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=Bylmkh05KX}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/YehCYY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/YehLC19, author = {Ming{-}Feng Yeh and Hung{-}Ching Lu and Ti{-}Hung Chen}, title = {Time Series Forecasting Using Optimized Rolling Grey Model}, booktitle = {2019 International Conference on Machine Learning and Cybernetics, {ICMLC} 2019, Kobe, Japan, July 7-10, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLC48188.2019.8949310}, doi = {10.1109/ICMLC48188.2019.8949310}, timestamp = {Tue, 14 Jan 2020 10:49:23 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/YehLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/XiaYC19, author = {Qi Xia and Chung{-}Hsing Yeh and Xiang{-}Yu Chen}, title = {A Deep Bidirectional Highway Long Short-Term Memory Network Approach to Chinese Semantic Role Labeling}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest, Hungary, July 14-19, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IJCNN.2019.8852323}, doi = {10.1109/IJCNN.2019.8852323}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/XiaYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChenTYL19, author = {Yuan{-}Jui Chen and Tao Tu and Cheng{-}chieh Yeh and Hung{-}yi Lee}, editor = {Gernot Kubin and Zdravko Kacic}, title = {End-to-End Text-to-Speech for Low-Resource Languages by Cross-Lingual Transfer Learning}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {2075--2079}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2730}, doi = {10.21437/INTERSPEECH.2019-2730}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChenTYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YehCSHLTTLCTTLL19, author = {Sung{-}Lin Yeh and Gao{-}Yi Chao and Bo{-}Hao Su and Yu{-}Lin Huang and Meng{-}Han Lin and Yin{-}Chun Tsai and Yu{-}Wen Tai and Zheng{-}Chi Lu and Chieh{-}Yu Chen and Tsung{-}Ming Tai and Chiu{-}Wang Tseng and Cheng{-}Kuang Lee and Chi{-}Chun Lee}, editor = {Gernot Kubin and Zdravko Kacic}, title = {Using Attention Networks and Adversarial Augmentation for Styrian Dialect Continuous Sleepiness and Baby Sound Recognition}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {2398--2402}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2110}, doi = {10.21437/INTERSPEECH.2019-2110}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/YehCSHLTTLCTTLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/AhnCYC19, author = {Jae{-}Gyung Ahn and I{-}Ru Chen and Ping{-}Chin Yeh and Jonathan Chang}, title = {Design-For-Reliability Flow in 7nm Products with Data Center and Automotive Applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2019, Monterey, CA, USA, March 31 - April 4, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IRPS.2019.8720594}, doi = {10.1109/IRPS.2019.8720594}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/AhnCYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YehK19, author = {Po{-}Chen Yeh and Chien{-}Nan Kuo}, title = {A W-Band 6.8 mW Low-Noise Amplifier in 90 nm {CMOS} Technology using Noise Measure}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702809}, doi = {10.1109/ISCAS.2019.8702809}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YehK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/ChenY19, author = {Hung{-}Chi Chen and Chun{-}Ting Yeh}, title = {Three-Phase Scalar {PWM} with Zero Averaged Common-Mode Voltage}, booktitle = {28th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2019, Vancouver, BC, Canada, June 12-14, 2019}, pages = {1008--1013}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISIE.2019.8781489}, doi = {10.1109/ISIE.2019.8781489}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isie/ChenY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ShenYCCZ19, author = {Ning Shen and Jyh{-}haw Yeh and Chien{-}Ming Chen and Yeh{-}Cheng Chen and Yunpeng Zhang}, title = {Ensuring Query Completeness in Outsourced Database Using Order-Preserving Encryption}, booktitle = {2019 {IEEE} Intl Conf on Parallel {\&} Distributed Processing with Applications, Big Data {\&} Cloud Computing, Sustainable Computing {\&} Communications, Social Computing {\&} Networking, ISPA/BDCloud/SocialCom/SustainCom 2019, Xiamen, China, December 16-18, 2019}, pages = {776--783}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPA-BDCloud-SustainCom-SocialCom48970.2019.00116}, doi = {10.1109/ISPA-BDCLOUD-SUSTAINCOM-SOCIALCOM48970.2019.00116}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/ShenYCCZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/JhuoHWCYY19, author = {Sing{-}Ling Jhuo and Mi{-}Tren Hsieh and Ting{-}Chien Weng and Mei{-}Juan Chen and Chieh{-}Ming Yang and Chia{-}Hung Yeh}, title = {Trend Prediction of Influenza and the Associated Pneumonia in Taiwan Using Machine Learning}, booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2019, Taipei, Taiwan, December 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPACS48206.2019.8986244}, doi = {10.1109/ISPACS48206.2019.8986244}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/JhuoHWCYY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/YehCW19, author = {Chih{-}Chen Yeh and Wen{-}Ju Chen and Chun{-}Long Wang}, title = {Bended Differential Stripline Using Timing-Offset Differential Signal}, booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2019, Taipei, Taiwan, December 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPACS48206.2019.8986283}, doi = {10.1109/ISPACS48206.2019.8986283}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/YehCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YehHSIR19, author = {Chih{-}Kuan Yeh and Cheng{-}Yu Hsieh and Arun Sai Suggala and David I. Inouye and Pradeep Ravikumar}, editor = {Hanna M. Wallach and Hugo Larochelle and Alina Beygelzimer and Florence d'Alch{\'{e}}{-}Buc and Emily B. Fox and Roman Garnett}, title = {On the (In)fidelity and Sensitivity of Explanations}, booktitle = {Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, NeurIPS 2019, December 8-14, 2019, Vancouver, BC, Canada}, pages = {10965--10976}, year = {2019}, url = {https://proceedings.neurips.cc/paper/2019/hash/a7471fdc77b3435276507cc8f2dc2569-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/YehHSIR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/ChenCYHTL19, author = {Jing{-}Heng Chen and Kun{-}Huang Chen and Chien{-}Hung Yeh and Yung Hsu and Yih{-}Suan Tseng and Wan{-}Yu Lin}, title = {Design of Six-port Optical Circulator with a Small Aperture of Faraday Rotator}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8817892}, doi = {10.23919/PS.2019.8817892}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ps/ChenCYHTL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/HsuCTCCLYCT19, author = {Yung Hsu and Chun{-}Yen Chuang and Yeyu Tong and Chi{-}Wai Chow and Jyehong Chen and Yin{-}Chieh Lai and Chien{-}Hung Yeh and Young{-}Kai Chen and Hon Ki Tsang}, title = {Implementing Deep Neural Network for Signal Transmission Distortion Mitigation of {PAM-4} Generated by Silicon Mach-Zehnder Modulator}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8818125}, doi = {10.23919/PS.2019.8818125}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ps/HsuCTCCLYCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/XieLCYCCC19, author = {Yue{-}Ru Xie and Chien{-}Ming Luo and Jen{-}Hao Chen and Chien{-}Hung Yeh and Chi{-}Wai Chow and Yen{-}Chang Chang and K. H. Chen}, title = {Uses of Silicon Microring Resonator and Saturable Absorber for Tunable Single-Mode Erbium Fiber Laser}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8817651}, doi = {10.23919/PS.2019.8817651}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ps/XieLCYCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/GamborinoYLYF19, author = {Edwinn Gamborino and Hsiu{-}Ping Yueh and Weijane Lin and Su{-}Ling Yeh and Li{-}Chen Fu}, title = {Mood Estimation as a Social Profile Predictor in an Autonomous, Multi-Session, Emotional Support Robot for Children}, booktitle = {28th {IEEE} International Conference on Robot and Human Interactive Communication, {RO-MAN} 2019, New Delhi, India, October 14-18, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/RO-MAN46459.2019.8956460}, doi = {10.1109/RO-MAN46459.2019.8956460}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/GamborinoYLYF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/YehWZCHCL19, author = {Chih{-}Ting Yeh and Po{-}Chin Wang and Su{-}Yu Zhang and Chia{-}Ping Chen and Shan{-}Wen Hsiao and Bo{-}Cheng Chan and Chung{-}Li Lu}, editor = {Chen{-}Yu Chiag and Min{-}Yuh Day and Jen{-}Tzung Chien}, title = {{\unicode{20197}}{\unicode{19977}}{\unicode{20803}}{\unicode{32068}}{\unicode{25613}}{\unicode{22833}}{\unicode{24494}}{\unicode{35519}}{\unicode{26178}}{\unicode{24310}}{\unicode{31070}}{\unicode{32147}}{\unicode{32178}}{\unicode{36335}}{\unicode{35486}}{\unicode{32773}}{\unicode{23884}}{\unicode{20837}}{\unicode{20989}}{\unicode{25976}}{\unicode{20043}}{\unicode{35486}}{\unicode{32773}}{\unicode{36776}}{\unicode{35672}}{\unicode{31995}}{\unicode{32113}}(Time Delay Neural Network-based Speaker Embedding Function Fine-tuned with Triplet Loss for Distance-based Speaker Recognition)}, booktitle = {Proceedings of the 31st Conference on Computational Linguistics and Speech Processing, {ROCLING} 2019, New Taipei City, Taiwan, October 3-5, 2019}, pages = {310--324}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2019}, url = {https://aclanthology.org/2019.rocling-1.29}, timestamp = {Thu, 27 Oct 2022 16:33:44 +0200}, biburl = {https://dblp.org/rec/conf/rocling/YehWZCHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChenYYC19, author = {Ting{-}Yu Chen and Jia{-}Fong Yeh and Tsung{-}Su Yeh and Tsung{-}Che Chiang}, title = {Parameter Setting of {CMA-ES:} {A} Numerical Study on {CEC2019} 100-Digit Challenge}, booktitle = {2019 International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2019, Kaohsiung, Taiwan, November 21-23, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TAAI48200.2019.8959900}, doi = {10.1109/TAAI48200.2019.8959900}, timestamp = {Tue, 04 Feb 2020 16:17:56 +0100}, biburl = {https://dblp.org/rec/conf/taai/ChenYYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/KuoYLHTYT19, author = {Chia{-}Wei Kuo and Hai{-}Ling Yang and Hsien{-}Cheng Liao and Shih{-}Hsin Hu and Hsiao{-}Chien Tseng and Chung{-}Han Yeh and I{-}Chang Tsai}, title = {{AIGO:} {A} comprehensive platform for cultivating {AI} talent using real-world industrial problems}, booktitle = {{IEEE} International Conference on Engineering, Technology and Education, {TALE} 2019, Yogyakarta, Indonesia, December 10-13, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TALE48000.2019.9225955}, doi = {10.1109/TALE48000.2019.9225955}, timestamp = {Tue, 10 Nov 2020 09:31:22 +0100}, biburl = {https://dblp.org/rec/conf/tale/KuoYLHTYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/TangWYHCXKWHLLH19, author = {Kea{-}Tiong Tang and Wei{-}Chen Wei and Zuo{-}Wei Yeh and Tzu{-}Hsiang Hsu and Yen{-}Cheng Chiu and Cheng{-}Xin Xue and Yu{-}Chun Kuo and Tai{-}Hsing Wen and Mon{-}Shu Ho and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang}, title = {Considerations Of Integrating Computing-In-Memory And Processing-In-Sensor Into Convolutional Neural Network Accelerators For Low-Power Edge Devices}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {166}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778074}, doi = {10.23919/VLSIC.2019.8778074}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/TangWYHCXKWHLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/Kawamae19, author = {Ming{-}Han Feng and Chin{-}Chi Hsu and Cheng{-}Te Li and Mi{-}Yen Yeh and Shou{-}De Lin}, editor = {Ling Liu and Ryen W. White and Amin Mantrach and Fabrizio Silvestri and Julian J. McAuley and Ricardo Baeza{-}Yates and Leila Zia}, title = {{MARINE:} Multi-relational Network Embeddings with Relational Proximity and Node Attributes}, booktitle = {The World Wide Web Conference, {WWW} 2019, San Francisco, CA, USA, May 13-17, 2019}, pages = {470--479}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3308558.3313715}, doi = {10.1145/3308558.3313715}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/Kawamae19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-09392, author = {Chih{-}Kuan Yeh and Cheng{-}Yu Hsieh and Arun Sai Suggala and David I. Inouye and Pradeep Ravikumar}, title = {How Sensitive are Sensitivity-Based Explanations?}, journal = {CoRR}, volume = {abs/1901.09392}, year = {2019}, url = {http://arxiv.org/abs/1901.09392}, eprinttype = {arXiv}, eprint = {1901.09392}, timestamp = {Sat, 02 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-09392.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-05742, author = {Ju{-}Chieh Chou and Cheng{-}chieh Yeh and Hung{-}yi Lee}, title = {One-shot Voice Conversion by Separating Speaker and Content Representations with Instance Normalization}, journal = {CoRR}, volume = {abs/1904.05742}, year = {2019}, url = {http://arxiv.org/abs/1904.05742}, eprinttype = {arXiv}, eprint = {1904.05742}, timestamp = {Thu, 25 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-05742.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-06508, author = {Tao Tu and Yuan{-}Jui Chen and Cheng{-}chieh Yeh and Hung{-}yi Lee}, title = {End-to-end Text-to-speech for Low-resource Languages by Cross-Lingual Transfer Learning}, journal = {CoRR}, volume = {abs/1904.06508}, year = {2019}, url = {http://arxiv.org/abs/1904.06508}, eprinttype = {arXiv}, eprint = {1904.06508}, timestamp = {Thu, 25 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-06508.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-11929, author = {Chien{-}Yao Wang and Hong{-}Yuan Mark Liao and I{-}Hau Yeh and Yueh{-}Hua Wu and Ping{-}Yang Chen and Jun{-}Wei Hsieh}, title = {CSPNet: {A} New Backbone that can Enhance Learning Capability of {CNN}}, journal = {CoRR}, volume = {abs/1911.11929}, year = {2019}, url = {http://arxiv.org/abs/1911.11929}, eprinttype = {arXiv}, eprint = {1911.11929}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-11929.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-11935, author = {Yi{-}Chen Chen and Zhaojun Yang and Ching{-}Feng Yeh and Mahaveer Jain and Michael L. Seltzer}, title = {AIPNet: Generative Adversarial Pre-training of Accent-invariant Networks for End-to-end Speech Recognition}, journal = {CoRR}, volume = {abs/1911.11935}, year = {2019}, url = {http://arxiv.org/abs/1911.11935}, eprinttype = {arXiv}, eprint = {1911.11935}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-11935.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangHYHL18, author = {Chen{-}Wei Chang and Jun Heo and Shih{-}Ching Yeh and Hui{-}Ya Han and Mengtong Li}, title = {The Effects of Immersion and Interactivity on College Students' Acceptance of a Novel VR-Supported Educational Technology for Mental Rotation}, journal = {{IEEE} Access}, volume = {6}, pages = {66590--66599}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2878270}, doi = {10.1109/ACCESS.2018.2878270}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChangHYHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChowWCZYM18, author = {Chi{-}Wai Chow and Hao{-}Yu Wang and Chao{-}Hsuan Chen and Hsiao{-}Wen Zan and Chien{-}Hung Yeh and Hsin{-}Fei Meng}, title = {Pre-Distortion Scheme to Enhance the Transmission Performance of Organic Photo-Detector {(OPD)} Based Visible Light Communication {(VLC)}}, journal = {{IEEE} Access}, volume = {6}, pages = {7625--7630}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2805226}, doi = {10.1109/ACCESS.2018.2805226}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChowWCZYM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehSHCC18, author = {Kuo{-}Hui Yeh and Chunhua Su and Jia{-}Li Hou and Wayne Chiu and Chien{-}Ming Chen}, title = {A Robust Mobile Payment Scheme With Smart Contract-Based Transaction Repository}, journal = {{IEEE} Access}, volume = {6}, pages = {59394--59404}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2874021}, doi = {10.1109/ACCESS.2018.2874021}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehSHCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YehZCL18, author = {Chia{-}Hung Yeh and Zheng{-}Teng Zhang and Mei{-}Juan Chen and Chih{-}Yang Lin}, title = {{HEVC} Intra Frame Coding Based on Convolutional Neural Network}, journal = {{IEEE} Access}, volume = {6}, pages = {50087--50095}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2867342}, doi = {10.1109/ACCESS.2018.2867342}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YehZCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/HuangHHY18, author = {Hsieh{-}Chang Huang and Ching{-}Tang Hsieh and Min{-}Nan Hsiao and Cheng{-}Hsiang Yeh}, title = {A study of automatic separation and recognition for overlapped fingerprints}, journal = {Appl. Soft Comput.}, volume = {71}, pages = {127--140}, year = {2018}, url = {https://doi.org/10.1016/j.asoc.2018.06.008}, doi = {10.1016/J.ASOC.2018.06.008}, timestamp = {Mon, 24 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/HuangHHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/HuangLCHYYCT18, author = {Po{-}Jung Huang and Chi{-}Ching Lee and Ling{-}Ya Chiu and Kuo{-}Yang Huang and Yuan{-}Ming Yeh and Chia{-}Yu Yang and Cheng{-}Hsun Chiu and Petrus Tang}, title = {VAReporter: variant reporter for cancer research of massive parallel sequencing}, journal = {{BMC} Genom.}, volume = {19}, number = {{S2}}, year = {2018}, url = {https://doi.org/10.1186/s12864-018-4468-5}, doi = {10.1186/S12864-018-4468-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/HuangLCHYYCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KaoLWYH18, author = {E{-}Fong Kao and Chiao{-}Yi Lu and Chi{-}Yuan Wang and Wei{-}Chen Yeh and Pang{-}Kai Hsia}, title = {Fully automated determination of arch angle on weight-bearing foot radiograph}, journal = {Comput. Methods Programs Biomed.}, volume = {154}, pages = {79--88}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2017.11.009}, doi = {10.1016/J.CMPB.2017.11.009}, timestamp = {Wed, 04 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KaoLWYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/LinLCYL18, author = {Kao{-}Min Lin and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Cheng{-}An Lee}, title = {Fast inter-prediction algorithm based on motion vector information for high efficiency video coding}, journal = {{EURASIP} J. Image Video Process.}, volume = {2018}, pages = {99}, year = {2018}, url = {https://doi.org/10.1186/s13640-018-0340-4}, doi = {10.1186/S13640-018-0340-4}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/LinLCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/el/YehLCC18, author = {Hsi{-}Yin Yeh and Chi{-}Wei Lo and Kai{-}Shing Chang and Ssu{-}Han Chen}, title = {Using hot patents to explore technological evolution: a case from the orthopaedic field}, journal = {Electron. Libr.}, volume = {36}, number = {1}, pages = {159--171}, year = {2018}, url = {https://doi.org/10.1108/EL-02-2017-0042}, doi = {10.1108/EL-02-2017-0042}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/el/YehLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/SunCYCL18, author = {Jerry Chih{-}Yuan Sun and Ariel Yu{-}Zhen Chen and Katherine Pin{-}Chen Yeh and Yu{-}Ting Cheng and Yu{-}Yan Lin}, title = {Is Group Polling Better? An Investigation of the Effect of Individual and Group Polling Strategies on Students' Academic Performance, Anxiety, and Attention}, journal = {J. Educ. Technol. Soc.}, volume = {21}, number = {1}, pages = {12--23}, year = {2018}, url = {https://www.j-ets.net/ETS/journals/21\_1/2.pdf}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/SunCYCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/ChenYL18, author = {Yen{-}Chi Chen and Jia{-}Fong Yeh and Shun{-}Shii Lin}, title = {Design and implementation aspects of a Surakarta program}, journal = {J. Int. Comput. Games Assoc.}, volume = {40}, number = {4}, pages = {438--449}, year = {2018}, url = {https://doi.org/10.3233/ICG-180071}, doi = {10.3233/ICG-180071}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/ChenYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/HuangYHGC18, author = {Kuo{-}Chan Huang and Jia{-}Jun Yeh and Wei{-}Chiao Huang and Yan{-}Rong Guo and Lung{-}Pin Chen}, title = {Exploring effects of fully probing sequence on solving nonogram puzzles}, journal = {J. Int. Comput. Games Assoc.}, volume = {40}, number = {4}, pages = {397--405}, year = {2018}, url = {https://doi.org/10.3233/ICG-180069}, doi = {10.3233/ICG-180069}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/HuangYHGC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijabim/YehCCL18, author = {Shao{-}Wei Yeh and Mei{-}Jung Chen and Cheng{-}Tien Chuang and Wen{-}Bin Lin}, title = {Performance Evaluation of Teams in Chinese Professional Baseball League}, journal = {Int. J. Asian Bus. Inf. Manag.}, volume = {9}, number = {1}, pages = {39--51}, year = {2018}, url = {https://doi.org/10.4018/IJABIM.2018010104}, doi = {10.4018/IJABIM.2018010104}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijabim/YehCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijshc/ChuangY18, author = {Cheng{-}Min Chuang and Chih{-}Chuan Yeh}, title = {A simultaneous evolution for analysing the interactions between CO\({}_{\mbox{2}}\) emissions and national income}, journal = {Int. J. Soc. Humanist. Comput.}, volume = {3}, number = {1}, pages = {61--75}, year = {2018}, url = {https://doi.org/10.1504/IJSHC.2018.10016418}, doi = {10.1504/IJSHC.2018.10016418}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijshc/ChuangY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/ChenCWJ18, author = {Gwo{-}Dong Chen and Chih{-}Kai Chang and Chin{-}Yeh Wang and Xiao{-}Lun Jian}, title = {Development and evaluation of a novel e-book interface for scaffolding thinking context to learn from writing examples}, journal = {Interact. Learn. Environ.}, volume = {26}, number = {7}, pages = {970--988}, year = {2018}, url = {https://doi.org/10.1080/10494820.2018.1427113}, doi = {10.1080/10494820.2018.1427113}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/ChenCWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/YangCCL18, author = {Cheng{-}Hong Yang and Yi{-}Cheng Chiang and Li{-}Yeh Chuang and Yu{-}Da Lin}, title = {A CpGCluster-Teaching-Learning-Based Optimization for Prediction of CpG Islands in the Human Genome}, journal = {J. Comput. Biol.}, volume = {25}, number = {2}, pages = {158--169}, year = {2018}, url = {https://doi.org/10.1089/cmb.2016.0178}, doi = {10.1089/CMB.2016.0178}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/YangCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/YehHPWHKLL18, author = {Shih{-}Ching Yeh and Chung{-}Lin Hou and Wei{-}Hao Peng and Zhen{-}Zhan Wei and Shiuan Huang and Edward Yu{-}Chen Kung and Longsong Lin and Yi{-}Hung Liu}, title = {A multiplayer online car racing virtual-reality game based on internet of brains}, journal = {J. Syst. Archit.}, volume = {89}, pages = {30--40}, year = {2018}, url = {https://doi.org/10.1016/j.sysarc.2018.06.004}, doi = {10.1016/J.SYSARC.2018.06.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/YehHPWHKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/YehTKLMC18, author = {Chia{-}Hung Yeh and Wen{-}Yu Tseng and Li{-}Wei Kang and Cheng{-}Wei Lee and Kahlil Muchtar and Mei{-}Juan Chen}, title = {Coding unit complexity-based predictions of coding unit depth and prediction unit mode for efficient HEVC-to-SHVC transcoding with quality scalability}, journal = {J. Vis. Commun. Image Represent.}, volume = {55}, pages = {342--351}, year = {2018}, url = {https://doi.org/10.1016/j.jvcir.2018.06.008}, doi = {10.1016/J.JVCIR.2018.06.008}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/YehTKLMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChiuY18, author = {Tz{-}Cheng Chiu and En{-}Yu Yeh}, title = {Warpage simulation for the reconstituted wafer used in fan-out wafer level packaging}, journal = {Microelectron. Reliab.}, volume = {80}, pages = {14--23}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2017.11.008}, doi = {10.1016/J.MICROREL.2017.11.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChiuY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChenY18, author = {Chien{-}Chang Chen and Hsin{-}Cheng Yeh}, title = {Using dynamic pixel value mapping method to construct visible and reversible image watermarking scheme}, journal = {Multim. Tools Appl.}, volume = {77}, number = {15}, pages = {19327--19346}, year = {2018}, url = {https://doi.org/10.1007/s11042-017-5370-x}, doi = {10.1007/S11042-017-5370-X}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChenY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangCLYHCT18, author = {Po{-}Jung Huang and Ling{-}Ya Chiu and Chi{-}Ching Lee and Yuan{-}Ming Yeh and Kuo{-}Yang Huang and Cheng{-}Hsun Chiu and Petrus Tang}, title = {mSignatureDB: a database for deciphering mutational signatures in human cancers}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D964--D970}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1133}, doi = {10.1093/NAR/GKX1133}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangCLYHCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ChiuSFCY18, author = {Wayne Chiu and Chunhua Su and Chuan{-}Yen Fan and Chien{-}Ming Chen and Kuo{-}Hui Yeh}, title = {Authentication with What You See and Remember in the Internet of Things}, journal = {Symmetry}, volume = {10}, number = {11}, pages = {537}, year = {2018}, url = {https://doi.org/10.3390/sym10110537}, doi = {10.3390/SYM10110537}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ChiuSFCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ChenC18, author = {Chi{-}Yeh Chen and Chih{-}Ping Chu}, title = {Divisible Nonlinear Load Distribution on Heterogeneous Single-Level Trees}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {54}, number = {4}, pages = {1664--1678}, year = {2018}, url = {https://doi.org/10.1109/TAES.2018.2798378}, doi = {10.1109/TAES.2018.2798378}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/ChenC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YehCCY18, author = {Chun{-}Yu Yeh and Ting{-}Chung Chu and Chiao{-}En Chen and Chia{-}Hsiang Yang}, title = {A Hardware-Scalable {DSP} Architecture for Beam Selection in mm-Wave {MU-MIMO} Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {65-I}, number = {11}, pages = {3918--3928}, year = {2018}, url = {https://doi.org/10.1109/TCSI.2018.2856124}, doi = {10.1109/TCSI.2018.2856124}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YehCCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tciaig/YehHL18, author = {Chih{-}Kuan Yeh and Cheng{-}Yu Hsieh and Hsuan{-}Tien Lin}, title = {Automatic Bridge Bidding Using Deep Reinforcement Learning}, journal = {{IEEE} Trans. Games}, volume = {10}, number = {4}, pages = {365--377}, year = {2018}, url = {https://doi.org/10.1109/TG.2018.2866036}, doi = {10.1109/TG.2018.2866036}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tciaig/YehHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcyb/HuaWYCL18, author = {Kai{-}Lung Hua and Hong{-}Cyuan Wang and Chih{-}Hsiang Yeh and Wen{-}Huang Cheng and Yu{-}Chi Lai}, title = {Background Extraction Using Random Walk Image Fusion}, journal = {{IEEE} Trans. Cybern.}, volume = {48}, number = {1}, pages = {423--435}, year = {2018}, url = {https://doi.org/10.1109/TCYB.2016.2640288}, doi = {10.1109/TCYB.2016.2640288}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcyb/HuaWYCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/SunCYC18, author = {Hung{-}Min Sun and Shiuan{-}Tung Chen and Jyh{-}Haw Yeh and Chia{-}Yun Cheng}, title = {A Shoulder Surfing Resistant Graphical Authentication System}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {15}, number = {2}, pages = {180--193}, year = {2018}, url = {https://doi.org/10.1109/TDSC.2016.2539942}, doi = {10.1109/TDSC.2016.2539942}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/SunCYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ChenWYLL18, author = {Mei{-}Juan Chen and Yu{-}De Wu and Chia{-}Hung Yeh and Kao{-}Min Lin and Shinfeng D. Lin}, title = {Efficient {CU} and {PU} Decision Based on Motion Information for Interprediction of {HEVC}}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {14}, number = {11}, pages = {4735--4745}, year = {2018}, url = {https://doi.org/10.1109/TII.2018.2801852}, doi = {10.1109/TII.2018.2801852}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ChenWYLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LaiCH18, author = {Chin{-}Feng Lai and Shih{-}Yeh Chen and Ren{-}Hung Hwang}, title = {A Resilient Power Fingerprinting Selection Mechanism of Device Load Recognition for Trusted Industrial Internet of Things}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {14}, number = {8}, pages = {3581--3589}, year = {2018}, url = {https://doi.org/10.1109/TII.2017.2766885}, doi = {10.1109/TII.2017.2766885}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LaiCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/NiNOLZS18, author = {Dejing Ni and Andrew Y. C. Nee and Soh{-}Khim Ong and Huijun Li and Chengcheng Zhu and Aiguo Song}, title = {Point cloud augmented virtual reality environment with haptic constraints for teleoperation}, journal = {Trans. Inst. Meas. Control}, volume = {40}, number = {15}, pages = {4091--4104}, year = {2018}, url = {https://doi.org/10.1177/0142331217739953}, doi = {10.1177/0142331217739953}, timestamp = {Tue, 03 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tinstmc/NiNOLZS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Chen18, author = {Chi{-}Yeh Chen}, title = {An Improved Approximation for Scheduling Malleable Tasks with Precedence Constraints via Iterative Method}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {9}, pages = {1937--1946}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2813387}, doi = {10.1109/TPDS.2018.2813387}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Chen18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/HuangLYL18, author = {Yi{-}Jheng Huang and Wen{-}Chieh Lin and I{-}Cheng Yeh and Tong{-}Yee Lee}, title = {Geometric and Textural Blending for 3D Model Stylization}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {24}, number = {2}, pages = {1114--1126}, year = {2018}, url = {https://doi.org/10.1109/TVCG.2017.2657751}, doi = {10.1109/TVCG.2017.2657751}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/HuangLYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/JaoWYTLCPS18, author = {Chin{-}Kuo Jao and Chun{-}Yen Wang and Ting{-}Yu Yeh and Chun{-}Chia Tsai and Li{-}Chung Lo and Jen{-}Hsien Chen and Wei{-}Chen Pao and Wern{-}Ho Sheen}, title = {Industry Perspective}, journal = {{IEEE} Wirel. Commun.}, volume = {25}, number = {2}, pages = {4--7}, year = {2018}, url = {https://doi.org/10.1109/MWC.2018.8352614}, doi = {10.1109/MWC.2018.8352614}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/JaoWYTLCPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/ChenTL18, author = {Yi{-}Chung Chen and Ming{-}Yeh Tsai and Chiang Lee}, title = {Recommending topics in dialogue}, journal = {World Wide Web}, volume = {21}, number = {5}, pages = {1165--1185}, year = {2018}, url = {https://doi.org/10.1007/s11280-017-0499-0}, doi = {10.1007/S11280-017-0499-0}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/www/ChenTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChenCYW18, author = {Shang{-}Fu Chen and Yi{-}Chen Chen and Chih{-}Kuan Yeh and Yu{-}Chiang Frank Wang}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {Order-Free {RNN} With Visual Attention for Multi-Label Classification}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {6714--6721}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.12230}, doi = {10.1609/AAAI.V32I1.12230}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChenCYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsuLCYLL18, author = {Yu{-}Chih Hsu and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Min{-}Hui Lin and Wei{-}Chieh Lu}, title = {Acceleration of Depth Intra Coding for 3D-HEVC by Efficient Early Termination Algorithm}, booktitle = {2018 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2018, Chengdu, China, October 26-30, 2018}, pages = {127--130}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/APCCAS.2018.8605601}, doi = {10.1109/APCCAS.2018.8605601}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsuLCYLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChenC18, author = {Cheng{-}Yeh Chen and Chen{-}Kuo Chiang}, title = {Auxiliary Structure for Convolutional Neural Network Training}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2018, Honolulu, HI, USA, November 12-15, 2018}, pages = {1995--1999}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/APSIPA.2018.8659562}, doi = {10.23919/APSIPA.2018.8659562}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ChenC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiYLYDW18, author = {Yu{-}Jhe Li and Fu{-}En Yang and Yen{-}Cheng Liu and Yu{-}Ying Yeh and Xiaofei Du and Yu{-}Chiang Frank Wang}, title = {Adaptation and Re-Identification Network: An Unsupervised Deep Transfer Learning Approach to Person Re-Identification}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {172--178}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018\_workshops/w6/html/Li\_Adaptation\_and\_Re-Identification\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPRW.2018.00054}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiYLYDW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiuYFWCW18, author = {Yen{-}Cheng Liu and Yu{-}Ying Yeh and Tzu{-}Chien Fu and Sheng{-}De Wang and Wei{-}Chen Chiu and Yu{-}Chiang Frank Wang}, title = {Detach and Adapt: Learning Cross-Domain Disentangled Deep Representation}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {8867--8876}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Liu\_Detach\_and\_Adapt\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00924}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LiuYFWCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceeg/LinYC18, author = {Yao{-}Chin Lin and Ching{-}Chuan Yeh and Wei{-}Hung Chen}, editor = {Vincent Ng and Cheol Park and Young{-}Chang Hou and Kun{-}Huang Huarng and Alexander Wollenberg}, title = {The impact of information system implement on innovation value chain: a case study of solen electric company's product integration services}, booktitle = {Proceedings of the 2nd International Conference on E-commerce, E-Business and E-Government, {ICEEG} 2018, Hong Kong, SAR, China, June 13-15, 2018}, pages = {11--15}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3234781.3234797}, doi = {10.1145/3234781.3234797}, timestamp = {Thu, 03 Aug 2023 14:45:42 +0200}, biburl = {https://dblp.org/rec/conf/iceeg/LinYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/YehC18, author = {Chi{-}Tsai Yeh and Ming{-}Chih Chen}, editor = {Jeng{-}Shyang Pan and Jerry Chun{-}Wei Lin and Bixia Sui and Shih{-}Pang Tseng}, title = {A Uniform Methodology for Mobile and Desktop Web Applications}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Twelfth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2018, Changzhou, China, December 14-17, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {834}, pages = {145--152}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-5841-8\_16}, doi = {10.1007/978-981-13-5841-8\_16}, timestamp = {Tue, 07 Apr 2020 12:12:38 +0200}, biburl = {https://dblp.org/rec/conf/icgec/YehC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/HsiehYYHL18, author = {Shih{-}Wei Hsieh and Yao{-}Cheng Yang and Chi{-}Ming Yeh and Sheng{-}Jui Huang and Yi{-}Chang Lu}, title = {Subpixel-Level-Accurate Algorithm for Removing Double-Layered Reflections from a Single Image}, booktitle = {2018 {IEEE} International Conference on Image Processing, {ICIP} 2018, Athens, Greece, October 7-10, 2018}, pages = {395--399}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIP.2018.8451707}, doi = {10.1109/ICIP.2018.8451707}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/HsiehYYHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChenLCC18, author = {Yun{-}Ju Chen and Ping{-}Yeh Li and Ruey{-}Sen Chiu and Ya{-}Kuan Chou}, title = {Interdisciplinary praxis in Interactive Visual and Dance: {A} Case Study of Nu Shu {GPS}}, booktitle = {1st {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2018, Jeju Island, Korea (South), July 23-27, 2018}, pages = {207--209}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICKII.2018.8569086}, doi = {10.1109/ICKII.2018.8569086}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/ChenLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/ChiuCLCY18, author = {Ruey{-}Sen Chiu and Ya{-}Kuan Chou and Ping{-}Yeh Li and Yun{-}Ju Chen and Chao{-}Wei Yang}, title = {Experiment and Analysis of {TRIZ} Application to Heat Insulation of Paint on the Steel Deck Roofs}, booktitle = {1st {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2018, Jeju Island, Korea (South), July 23-27, 2018}, pages = {254--257}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICKII.2018.8569074}, doi = {10.1109/ICKII.2018.8569074}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ickii/ChiuCLCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/LiCCC18, author = {Ping{-}Yeh Li and Ya{-}Kuan Chou and Yun{-}Ju Chen and Ruey{-}Sen Chiu}, title = {Problem-based Learning {(PBL)} in Interactive Design: {A} Case Study of Escape the Room Puzzle Design}, booktitle = {1st {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2018, Jeju Island, Korea (South), July 23-27, 2018}, pages = {250--253}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICKII.2018.8569131}, doi = {10.1109/ICKII.2018.8569131}, timestamp = {Fri, 10 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ickii/LiCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/YehCL18, author = {Ming{-}Feng Yeh and Ti{-}Hung Chen and Hung{-}Ching Lu}, title = {A Modified Neural-Network-Based GM(1, 1)}, booktitle = {2018 International Conference on Machine Learning and Cybernetics, {ICMLC} 2018, Chengdu, China, July 15-18, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICMLC.2018.8527024}, doi = {10.1109/ICMLC.2018.8527024}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/YehCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/WengLYHL18, author = {Wei{-}Chien Weng and Shen{-}Yang Lin and Chia{-}Hsien Yeh and I{-}Yu Huang and Yu{-}Cheng Lin}, title = {Generation of Ca-Alginate Microcapsules with Different Concentrations in a Microfluidic Fusion Chip}, booktitle = {2018 {IEEE} SENSORS, New Delhi, India, October 28-31, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSENS.2018.8589831}, doi = {10.1109/ICSENS.2018.8589831}, timestamp = {Mon, 19 Dec 2022 11:25:47 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/WengLYHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YehCHH18, author = {Chia{-}Cheng Yeh and Yang{-}Lang Chang and Pai{-}Hui Hsu and Cheng{-}Huan Hsien}, title = {{GPU} Acceleration of {UAV} Image Splicing Using Oriented Fast and Rotated Brief Combined with {PCA}}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {5700--5703}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8519046}, doi = {10.1109/IGARSS.2018.8519046}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YehCHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/YehHC18, author = {Chia{-}Hung Yeh and Yao{-}Pao Huang and Mei{-}Juan Chen}, editor = {Chuan{-}Yu Chang and Chien{-}Chou Lin and Horng{-}Horng Lin}, title = {Scale Invariant Multi-view Depth Estimation Network with cGAN Refinement}, booktitle = {New Trends in Computer Technologies and Applications - 23rd International Computer Symposium, {ICS} 2018, Yunlin, Taiwan, December 20-22, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1013}, pages = {681--687}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-9190-3\_75}, doi = {10.1007/978-981-13-9190-3\_75}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/YehHC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChouYLL18, author = {Ju{-}Chieh Chou and Cheng{-}chieh Yeh and Hung{-}yi Lee and Lin{-}Shan Lee}, editor = {B. Yegnanarayana}, title = {Multi-target Voice Conversion without Parallel Data by Adversarially Learning Disentangled Audio Representations}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {501--505}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-1830}, doi = {10.21437/INTERSPEECH.2018-1830}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChouYLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SuYKCZLL18, author = {Bo{-}Hao Su and Sung{-}Lin Yeh and Ming{-}Ya Ko and Huan{-}Yu Chen and Shun{-}Chang Zhong and Jeng{-}Lin Li and Chi{-}Chun Lee}, editor = {B. Yegnanarayana}, title = {Self-Assessed Affect Recognition Using Fusion of Attentional {BLSTM} and Static Acoustic Features}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {536--540}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-2261}, doi = {10.21437/INTERSPEECH.2018-2261}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/SuYKCZLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YehLKHXLYCLL18, author = {Che{-}Hao Yeh and Yen{-}Ting Lin and Chun{-}Chieh Kuo and Chao{-}Jen Huang and Cheng{-}Yu Xie and Shen{-}Fu Lu and Wen{-}Hau Yang and Ke{-}Horng Chen and Kuo{-}Chi Liu and Ying{-}Hsi Lin}, title = {A 70W and 90{\%} GaN-based class-E wireless-power-transfer system with automatic-matching-point-search control for zero-voltage switching and zero-voltage-derivative switching}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {138--140}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310222}, doi = {10.1109/ISSCC.2018.8310222}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YehLKHXLYCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/LeeLC18, author = {Chia{-}Peng Lee and Phone Lin and Hsuan{-}Yeh Chen}, title = {A Protocol to Protocol Switching Mechanism for Energy Saving of Power-Constrained in {LTE} and NB-IoT Interworking Networks}, booktitle = {{IEEE} International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), iThings/GreenCom/CPSCom/SmartData 2018, Halifax, NS, Canada, July 30 - August 3, 2018}, pages = {483--489}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/Cybermatics\_2018.2018.00105}, doi = {10.1109/CYBERMATICS\_2018.2018.00105}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ithings/LeeLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/HubbardECCCY18, author = {Layne Jackson Hubbard and Boskin Erkocevic and Dylan Cassady and Chen Hao Cheng and Andrea Chamorro and Tom Yeh}, title = {MindScribe: Toward Intelligently Augmented Interactions in Highly Variable Early Childhood Environments}, booktitle = {Proceedings of the 23rd International Conference on Intelligent User Interfaces Companion, Tokyo, Japan, March 07-11, 2018}, pages = {11:1--11:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3180308.3180319}, doi = {10.1145/3180308.3180319}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iui/HubbardECCCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/WuYC18, author = {Wush Chi{-}Hsuan Wu and Mi{-}Yen Yeh and Ming{-}Syan Chen}, editor = {Yike Guo and Faisal Farooq}, title = {Deep Censored Learning of the Winning Price in the Real Time Bidding}, booktitle = {Proceedings of the 24th {ACM} {SIGKDD} International Conference on Knowledge Discovery {\&} Data Mining, {KDD} 2018, London, UK, August 19-23, 2018}, pages = {2526--2535}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3219819.3220066}, doi = {10.1145/3219819.3220066}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/WuYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latice/HuangHW18, author = {Wei{-}Yeh Huang and Chiu{-}Fan Hu and Cheng{-}Chih Wu}, title = {The Use of Different Kinds of Robots to Spark Student Interest in Learning Computational Thinking}, booktitle = {International Conference on Learning and Teaching in Computing and Engineering, LaTICE 2018, Auckland, New Zealand, April 19-22, 2018}, pages = {11--16}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LaTICE.2018.00-13}, doi = {10.1109/LATICE.2018.00-13}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/latice/HuangHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeTJHLH18, author = {Chia{-}Tung Lee and Hsueh{-}Yang Tseng and Yi{-}Ting Jiang and Cheng{-}Yeh Huang and Meng{-}Shiue Lee and Wensyang Hsu}, title = {Detection of Multiple Embryo Growth Factors by Bead-Based Digital Microfluidic Chip in Embryo Culture Medium}, booktitle = {13th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2018, Singapore, Singapore, April 22-26, 2018}, pages = {119--122}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NEMS.2018.8556863}, doi = {10.1109/NEMS.2018.8556863}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/nems/LeeTJHLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ChuangYCK18, author = {Shu{-}Hsia Chuang and Huy{-}Pzu Yeh and Kun{-}Hung Chi and Hsueh{-}Chen Ku}, editor = {Ann Kristin Roteg{\aa}rd and Diane J. Skiba and Sayonara F. F. Barbosa and Angelica G. Davalos Alc{\'{a}}zar}, title = {Use the Bar Code System to Improve Accuracy of the Patient and Sample Identification}, booktitle = {Nursing Informatics 2018 - {ICT} to Improve Quality and Safety at the Point of Care, Proceedings of the 14th International Congress on Nursing Informatics, Guadalajara, Mexico, June 6-8, 2018}, series = {Studies in Health Technology and Informatics}, volume = {250}, pages = {233}, publisher = {{IOS} Press}, year = {2018}, url = {https://doi.org/10.3233/978-1-61499-872-3-233}, doi = {10.3233/978-1-61499-872-3-233}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/ChuangYCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LiuLYW18, author = {Alexander H. Liu and Yen{-}Cheng Liu and Yu{-}Ying Yeh and Yu{-}Chiang Frank Wang}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {A Unified Feature Disentangler for Multi-Domain Image Translation and Manipulation}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {2595--2604}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/84438b7aae55a0638073ef798e50b4ef-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/LiuLYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/YehLC18, author = {Ron Chuen Yeh and Yi{-}Chien Lin and Yi{-}Cheng Chen}, editor = {Masaaki Hirano and Michael D. Myers and Kyoichi Kijima and Motonari Tanabu and Dai Senoo}, title = {An Empirical Study on Value Creation and User Stickiness in a Social Media Ecosystem}, booktitle = {22nd Pacific Asia Conference on Information Systems, {PACIS} 2018, Yokohama, Japan, June 26-30, 2018}, pages = {81}, year = {2018}, url = {https://aisel.aisnet.org/pacis2018/81}, timestamp = {Wed, 07 Nov 2018 09:42:06 +0100}, biburl = {https://dblp.org/rec/conf/pacis/YehLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/HungHYLL18, author = {Shih{-}Hao Hung and Yi{-}Mo Ho and Chih Wei Yeh and Cheng{-}Yueh Liu and Chen{-}Pang Lee}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Hardware-accelerated cache simulation for multicore by {FPGA}}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {231--236}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264766}, doi = {10.1145/3264746.3264766}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/HungHYLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/YehC18, author = {Chih{-}Ting Yeh and Chia{-}Ping Chen}, editor = {Chi{-}Chun Jeremy Lee and Cheng{-}Zen Yang and Jen{-}Tzung Chien}, title = {{\unicode{32080}}{\unicode{21512}}{\unicode{21367}}{\unicode{31309}}{\unicode{31070}}{\unicode{32147}}{\unicode{32178}}{\unicode{36335}}{\unicode{33287}}{\unicode{36958}}{\unicode{36852}}{\unicode{31070}}{\unicode{32147}}{\unicode{32178}}{\unicode{36335}}{\unicode{26044}}{\unicode{25512}}{\unicode{25991}}{\unicode{26997}}{\unicode{24615}}{\unicode{20998}}{\unicode{39006}} (Combining Convolutional Neural Network and Recurrent Neural Network for Tweet Polarity Classification) [In Chinese]}, booktitle = {Proceedings of the 30th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2018, Hsinchu, Taiwan, October 4-5, 2018}, pages = {236--245}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2018}, url = {https://aclanthology.org/O18-1023/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/YehC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/MoraceWYCYL18, author = {Charles C. Morace and Feng{-}Wei Wu and Chih{-}Kuo Yeh and Chia{-}Hsiang Chen and I{-}Cheng Yeh and Tong{-}Yee Lee}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {Hair modeling from a single anime-style image}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {31:1--31:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283347}, doi = {10.1145/3283289.3283347}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/MoraceWYCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/YehHCLL18, author = {Cheng{-}chieh Yeh and Po{-}Chun Hsu and Ju{-}Chieh Chou and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Rhythm-Flexible Voice Conversion Without Parallel Data Using Cycle-GAN Over Phoneme Posteriorgram Sequences}, booktitle = {2018 {IEEE} Spoken Language Technology Workshop, {SLT} 2018, Athens, Greece, December 18-21, 2018}, pages = {274--281}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SLT.2018.8639647}, doi = {10.1109/SLT.2018.8639647}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slt/YehHCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smacd/HsuCYL18, author = {Hsin{-}Ju Hsu and Wan{-}Chun Chen and Long{-}Ching Yeh and Chien{-}Nan Jimmy Liu}, title = {Spec-to-Layout Automation Flow for Buck Converters with Current-Mode Control in {SOC} Applications}, booktitle = {15th International Conference on Synthesis, Modeling, Analysis and Simulation Methods and Applications to Circuit Design, {SMACD} 2018, Prague, Czech Republic, July 2-5, 2018}, pages = {169--172}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMACD.2018.8434911}, doi = {10.1109/SMACD.2018.8434911}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/smacd/HsuCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeYWLC18, author = {Kuan{-}Ru Lee and Yi{-}Xian Yeh and Chao{-}Cheng Wu and Jiannher Lin and Yung{-}Hsiao Chiang}, title = {Unsupervised Classification of Cerebrospinal Fluid by Statistical Indicators}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {3827--3832}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00648}, doi = {10.1109/SMC.2018.00648}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeYWLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/YehHCCL18, author = {Kun{-}Ying Yeh and Yu{-}Jie Huang and Tung{-}Chien Chen and Liang{-}Gee Chen and Shey{-}Shi Lu}, title = {A 473 {\(\mu\)}W wireless 16-channel neural recording SoC with {RF} energy harvester}, booktitle = {2018 International Symposium on {VLSI} Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, April 16-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSI-DAT.2018.8373250}, doi = {10.1109/VLSI-DAT.2018.8373250}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/YehHCCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShihLCLLCLYYCCC18, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40NM 16MB, Embedded Perpendicular-MRAM with Hybrid-Resistance Reference, Sub-{\(\mu\)}A Sensing Resolution, and 17.5NS Read Access Time}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {79--80}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502260}, doi = {10.1109/VLSIC.2018.8502260}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShihLCLLCLYYCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/airs/2018, editor = {Yuen{-}Hsien Tseng and Tetsuya Sakai and Jing Jiang and Lun{-}Wei Ku and Dae Hoon Park and Jui{-}Feng Yeh and Liang{-}Chih Yu and Lung{-}Hao Lee and Zhi{-}Hong Chen}, title = {Information Retrieval Technology - 14th Asia Information Retrieval Societies Conference, {AIRS} 2018, Taipei, Taiwan, November 28-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11292}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03520-4}, doi = {10.1007/978-3-030-03520-4}, isbn = {978-3-030-03519-8}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/airs/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-02812, author = {Ju{-}Chieh Chou and Cheng{-}chieh Yeh and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Multi-target Voice Conversion without Parallel Data by Adversarially Learning Disentangled Audio Representations}, journal = {CoRR}, volume = {abs/1804.02812}, year = {2018}, url = {http://arxiv.org/abs/1804.02812}, eprinttype = {arXiv}, eprint = {1804.02812}, timestamp = {Sat, 15 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-02812.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-09347, author = {Yu{-}Jhe Li and Fu{-}En Yang and Yen{-}Cheng Liu and Yu{-}Ying Yeh and Xiaofei Du and Yu{-}Chiang Frank Wang}, title = {Adaptation and Re-Identification Network: An Unsupervised Deep Transfer Learning Approach to Person Re-Identification}, journal = {CoRR}, volume = {abs/1804.09347}, year = {2018}, url = {http://arxiv.org/abs/1804.09347}, eprinttype = {arXiv}, eprint = {1804.09347}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-09347.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-03113, author = {Cheng{-}chieh Yeh and Po{-}Chun Hsu and Ju{-}Chieh Chou and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Rhythm-Flexible Voice Conversion without Parallel Data Using Cycle-GAN over Phoneme Posteriorgram Sequences}, journal = {CoRR}, volume = {abs/1808.03113}, year = {2018}, url = {http://arxiv.org/abs/1808.03113}, eprinttype = {arXiv}, eprint = {1808.03113}, timestamp = {Thu, 08 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-03113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-01361, author = {Alexander H. Liu and Yen{-}Cheng Liu and Yu{-}Ying Yeh and Yu{-}Chiang Frank Wang}, title = {A Unified Feature Disentangler for Multi-Domain Image Translation and Manipulation}, journal = {CoRR}, volume = {abs/1809.01361}, year = {2018}, url = {http://arxiv.org/abs/1809.01361}, eprinttype = {arXiv}, eprint = {1809.01361}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-01361.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-08765, author = {Wen{-}Hao Chen and Chin{-}Chi Hsu and Yi{-}An Lai and Vincent Liu and Mi{-}Yen Yeh and Shou{-}De Lin}, title = {Attribute-aware Collaborative Filtering: Survey and Classification}, journal = {CoRR}, volume = {abs/1810.08765}, year = {2018}, url = {http://arxiv.org/abs/1810.08765}, eprinttype = {arXiv}, eprint = {1810.08765}, timestamp = {Wed, 31 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-08765.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-09323, author = {Chih{-}Kuan Yeh and Jianshu Chen and Chengzhu Yu and Dong Yu}, title = {Unsupervised Speech Recognition via Segmental Empirical Output Distribution Matching}, journal = {CoRR}, volume = {abs/1812.09323}, year = {2018}, url = {http://arxiv.org/abs/1812.09323}, eprinttype = {arXiv}, eprint = {1812.09323}, timestamp = {Thu, 02 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-09323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/ChenY17, author = {Kai{-}Ying Chen and Chih{-}Feng Yeh}, title = {Factors affecting adoption of smart meters in the post-Fukushima era in Taiwan: an extended protection motivation theory perspective}, journal = {Behav. Inf. Technol.}, volume = {36}, number = {9}, pages = {955--969}, year = {2017}, url = {https://doi.org/10.1080/0144929X.2017.1317363}, doi = {10.1080/0144929X.2017.1317363}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/ChenY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biosystems/ChenY17, author = {Bor{-}Sen Chen and Chin{-}Hsun Yeh}, title = {Stochastic noncooperative and cooperative evolutionary game strategies of a population of biological networks under natural selection}, journal = {Biosyst.}, volume = {162}, pages = {90--118}, year = {2017}, url = {https://doi.org/10.1016/j.biosystems.2017.08.001}, doi = {10.1016/J.BIOSYSTEMS.2017.08.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biosystems/ChenY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/SunY17, author = {Jerry Chih{-}Yuan Sun and Katherine Pin{-}Chen Yeh}, title = {The effects of attention monitoring with {EEG} biofeedback on university students' attention and self-efficacy: The case of anti-phishing instructional materials}, journal = {Comput. Educ.}, volume = {106}, pages = {73--82}, year = {2017}, url = {https://doi.org/10.1016/j.compedu.2016.12.003}, doi = {10.1016/J.COMPEDU.2016.12.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/SunY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/LiaoCCCYCJ17, author = {Min{-}Sheng Liao and Shih{-}Fang Chen and Cheng{-}Ying Chou and Hsun{-}Yi Chen and Shih{-}Hao Yeh and Yu{-}Chi Chang and Joe{-}Air Jiang}, title = {On precisely relating the growth of Phalaenopsis leaves to greenhouse environmental factors by using an IoT-based monitoring system}, journal = {Comput. Electron. Agric.}, volume = {136}, pages = {125--139}, year = {2017}, url = {https://doi.org/10.1016/j.compag.2017.03.003}, doi = {10.1016/J.COMPAG.2017.03.003}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/LiaoCCCYCJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/ChenCY17, author = {Yen{-}Liang Chen and Chia{-}Ling Chang and Chin{-}Sheng Yeh}, title = {Emotion classification of YouTube videos}, journal = {Decis. Support Syst.}, volume = {101}, pages = {40--50}, year = {2017}, url = {https://doi.org/10.1016/j.dss.2017.05.014}, doi = {10.1016/J.DSS.2017.05.014}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/ChenCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/TsaiCYL17, author = {Chia Hui Tsai and Ching{-}Hsue Cheng and Duen{-}Yian Yeh and Shih{-}Yun Lin}, title = {Can learning motivation predict learning achievement? {A} case study of a mobile game-based English learning approach}, journal = {Educ. Inf. Technol.}, volume = {22}, number = {5}, pages = {2159--2173}, year = {2017}, url = {https://doi.org/10.1007/s10639-016-9542-5}, doi = {10.1007/S10639-016-9542-5}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/TsaiCYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/YehCCHW17, author = {Hui{-}Chung Yeh and Yen{-}Chang Chen and Che{-}Hao Chang and Cheng{-}Hsuan Ho and Chiang Wei}, title = {Rainfall Network Optimization Using Radar and Entropy}, journal = {Entropy}, volume = {19}, number = {10}, pages = {553}, year = {2017}, url = {https://doi.org/10.3390/e19100553}, doi = {10.3390/E19100553}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/YehCCHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/ChenY17, author = {Sherry Y. Chen and Chia{-}Chi Yeh}, title = {The Effects of Cognitive Styles on the Use of Hints in Academic English: {A} Learning Analytics Approach}, journal = {J. Educ. Technol. Soc.}, volume = {20}, number = {2}, pages = {251--264}, year = {2017}, url = {https://www.j-ets.net/ETS/journals/20\_2/21.pdf}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/ChenY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/LinTCCWY17, author = {Kuang{-}Hsun Lin and Cho{-}Hsin Tsai and Jen{-}Wei Chang and Yu{-}Chieh Chen and Hung{-}Yu Wei and Fu{-}Ming Yeh}, title = {Max-throughput interference avoidance mechanism for indoor self-organizing small cell networks}, journal = {{ICT} Express}, volume = {3}, number = {3}, pages = {132--136}, year = {2017}, url = {https://doi.org/10.1016/j.icte.2017.04.005}, doi = {10.1016/J.ICTE.2017.04.005}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/LinTCCWY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChenHLYL17, author = {Liang{-}Chun Chen and Chien{-}Lung Hsu and Nai{-}Wei Lo and Kuo{-}Hui Yeh and Ping{-}Hsien Lin}, title = {Fraud Analysis and Detection for Real-Time Messaging Communications on Social Networks}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {10}, pages = {2267--2274}, year = {2017}, url = {https://doi.org/10.1587/transinf.2016INI0003}, doi = {10.1587/TRANSINF.2016INI0003}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChenHLYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/ChenCTLWY17, author = {Yu{-}Chieh Chen and Jen{-}Wei Chang and Cho{-}Hsin Tsai and Guang{-}Xun Lin and Hung{-}Yu Wei and Fu{-}Ming Yeh}, title = {Max-utility resource allocation for indoor small cell networks}, journal = {{IET} Commun.}, volume = {11}, number = {2}, pages = {267--272}, year = {2017}, url = {https://doi.org/10.1049/iet-com.2016.0886}, doi = {10.1049/IET-COM.2016.0886}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iet-com/ChenCTLWY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijautcomp/Al-JananCCL17, author = {Dony Hidayat Al{-}Janan and Hao{-}Chin Chang and Yeh{-}Peng Chen and Tung{-}Kuan Liu}, title = {Optimizing the double inverted pendulum's performance via the uniform neuro multiobjective genetic algorithm}, journal = {Int. J. Autom. Comput.}, volume = {14}, number = {6}, pages = {686--695}, year = {2017}, url = {https://doi.org/10.1007/s11633-017-1069-8}, doi = {10.1007/S11633-017-1069-8}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijautcomp/Al-JananCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/KaoYCCC17, author = {Hsuan{-}Ling Kao and Chih{-}Sheng Yeh and Hsien{-}Chin Chiu and Cheng{-}Lin Cho and Chun{-}Hu Cheng}, title = {A high output power and low phase noise GaN {HEMT} {VCO} with array of switchable inductors}, journal = {Int. J. Circuit Theory Appl.}, volume = {45}, number = {11}, pages = {1621--1636}, year = {2017}, url = {https://doi.org/10.1002/cta.2343}, doi = {10.1002/CTA.2343}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/KaoYCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmlo/TsaiCYL17, author = {Chia Hui Tsai and Ching{-}Hsue Cheng and Duen{-}Yian Yeh and Shih{-}Yun Lin}, title = {Satisfaction of high school students with a mobile game-based English learning system}, journal = {Int. J. Mob. Learn. Organisation}, volume = {11}, number = {2}, pages = {131--154}, year = {2017}, url = {https://doi.org/10.1504/IJMLO.2017.10005260}, doi = {10.1504/IJMLO.2017.10005260}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmlo/TsaiCYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/LinHLY17, author = {Yi{-}Kuei Lin and Cheng{-}Fu Huang and Yi{-}Chieh Liao and Chih{-}Ching Yeh}, title = {System reliability for a multistate intermodal logistics network with time windows}, journal = {Int. J. Prod. Res.}, volume = {55}, number = {7}, pages = {1957--1969}, year = {2017}, url = {https://doi.org/10.1080/00207543.2016.1247997}, doi = {10.1080/00207543.2016.1247997}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/LinHLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/TaoCZN17, author = {Fei Tao and Ying Cheng and Lin Zhang and Andrew Y. C. Nee}, title = {Advanced manufacturing systems: socialization characteristics and trends}, journal = {J. Intell. Manuf.}, volume = {28}, number = {5}, pages = {1079--1094}, year = {2017}, url = {https://doi.org/10.1007/s10845-015-1042-8}, doi = {10.1007/S10845-015-1042-8}, timestamp = {Tue, 08 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jim/TaoCZN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/LaiYTH17, author = {Cheng{-}Kung Lai and Chih Wei Yeh and Chia{-}Heng Tu and Shih{-}Hao Hung}, title = {Fast profiling framework and race detection for heterogeneous system}, journal = {J. Syst. Archit.}, volume = {81}, pages = {83--91}, year = {2017}, url = {https://doi.org/10.1016/j.sysarc.2017.10.010}, doi = {10.1016/J.SYSARC.2017.10.010}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/LaiYTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/ChungTY17, author = {Yung{-}Hui Chung and Cheng{-}Hsun Tsai and Hsuan{-}Chih Yeh}, title = {A 5-bit 1-GS/s binary-search {ADC} in 90-nm {CMOS}}, journal = {Microelectron. J.}, volume = {63}, pages = {131--137}, year = {2017}, url = {https://doi.org/10.1016/j.mejo.2017.03.008}, doi = {10.1016/J.MEJO.2017.03.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/ChungTY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChenTY17, author = {Chien{-}Chang Chen and Yao{-}Hong Tsai and Hsin{-}Cheng Yeh}, title = {Difference-expansion based reversible and visible image watermarking scheme}, journal = {Multim. Tools Appl.}, volume = {76}, number = {6}, pages = {8497--8516}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3452-9}, doi = {10.1007/S11042-016-3452-9}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChenTY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiouHHYLK17, author = {Jin{-}Chern Chiou and Shun{-}Hsi Hsu and Yu{-}Chieh Huang and Guan{-}Ting Yeh and Wei{-}Ting Liou and Cheng{-}Kai Kuei}, title = {A Wirelessly Powered Smart Contact Lens with Reconfigurable Wide Range and Tunable Sensitivity Sensor Readout Circuitry}, journal = {Sensors}, volume = {17}, number = {1}, pages = {108}, year = {2017}, url = {https://doi.org/10.3390/s17010108}, doi = {10.3390/S17010108}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChiouHHYLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HungYC17, author = {Chiao{-}Fang Hung and Po{-}Chen Yeh and Tien{-}Kan Chung}, title = {A Miniature Magnetic-Force-Based Three-Axis {AC} Magnetic Sensor with Piezoelectric/Vibrational Energy-Harvesting Functions}, journal = {Sensors}, volume = {17}, number = {2}, pages = {308}, year = {2017}, url = {https://doi.org/10.3390/s17020308}, doi = {10.3390/S17020308}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HungYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehYWTWCXCYL17, author = {Kun{-}Ying Yeh and Chao{-}Chi Yeh and Chun{-}Chang Wu and Kuan Tang and Jyun{-}Yi Wu and Yun{-}Ting Chen and Ming{-}Xin Xu and Yunn{-}Jy Chen and Yao{-}Joe Yang and Shey{-}Shi Lu}, title = {A Wireless Monitoring System Using a Tunneling Sensor Array in a Smart Oral Appliance for Sleep Apnea Treatment}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2358}, year = {2017}, url = {https://doi.org/10.3390/s17102358}, doi = {10.3390/S17102358}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YehYWTWCXCYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/TaiHCCY17, author = {Kuang{-}Han Tai and Min{-}Yuan Hsieh and Mei{-}Juan Chen and Chia{-}Yen Chen and Chia{-}Hung Yeh}, title = {A Fast {HEVC} Encoding Method Using Depth Information of Collocated CUs and {RD} Cost Characteristics of {PU} Modes}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {4}, pages = {680--692}, year = {2017}, url = {https://doi.org/10.1109/TBC.2017.2722239}, doi = {10.1109/TBC.2017.2722239}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/TaiHCCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChangCCYECY17, author = {Che{-}Wei Chang and Geng{-}You Chen and Yi{-}Jung Chen and Chia{-}Wei Yeh and Pei Yin Eng and Ana Cheung and Chia{-}Lin Yang}, title = {Exploiting Write Heterogeneity of Morphable {MLC/SLC} SSDs in Datacenters with Service-Level Objectives}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {8}, pages = {1457--1463}, year = {2017}, url = {https://doi.org/10.1109/TC.2017.2677425}, doi = {10.1109/TC.2017.2677425}, timestamp = {Tue, 18 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChangCCYECY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tciaig/YehWHCLC17, author = {Kun{-}Hao Yeh and I{-}Chen Wu and Chu{-}Hsuan Hsueh and Chia{-}Chuan Chang and Chao{-}Chin Liang and Han Chiang}, title = {Multistage Temporal Difference Learning for 2048-Like Games}, journal = {{IEEE} Trans. Comput. Intell. {AI} Games}, volume = {9}, number = {4}, pages = {369--380}, year = {2017}, url = {https://doi.org/10.1109/TCIAIG.2016.2593710}, doi = {10.1109/TCIAIG.2016.2593710}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tciaig/YehWHCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChenPHLLY17, author = {Chi{-}Jane Chen and Tun{-}Wen Pai and Jhen{-}Li Huang and Ying{-}Tsang Lo and Shih{-}Syun Lin and Chun{-}Chao Yeh}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu and Chi{-}Yi Lin}, title = {Construction of a Metadata Schema for Medical Data in Networking Applications}, booktitle = {31st International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2017 Workshops, Taipei, Taiwan, March 27-29, 2017}, pages = {597--600}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/WAINA.2017.60}, doi = {10.1109/WAINA.2017.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChenPHLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HungSLHHCCLC17, author = {Meng{-}Hsiung Hung and Yi{-}Shing Shih and Chin{-}Fu Li and Wei{-}Kai Hong and Ming{-}Yeh Hsu and Chih{-}Hao Chen and Yu{-}Lun Chen and Chun{-}Wei Lin and Yuan{-}Hung Chung}, title = {A reconfigurable dual-band WiFi/BT combo transceiver with integrated 2G/BT SP3T, {LNA/PA} achieving concurrent receiving and wide dynamic range transmitting in 40nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {177--180}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240245}, doi = {10.1109/ASSCC.2017.8240245}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HungSLHHCCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/TuYK17, author = {Cheng{-}Chin Tu and Mi{-}Yen Yeh and Tei{-}Wei Kuo}, editor = {Jian{-}Yun Nie and Zoran Obradovic and Toyotaro Suzumura and Rumi Ghosh and Raghunath Nambiar and Chonggang Wang and Hui Zang and Ricardo Baeza{-}Yates and Xiaohua Hu and Jeremy Kepner and Alfredo Cuzzocrea and Jian Tang and Masashi Toyoda}, title = {A fast non-volatile memory aware algorithm for generating random scale-free networks}, booktitle = {2017 {IEEE} International Conference on Big Data {(IEEE} BigData 2017), Boston, MA, USA, December 11-14, 2017}, pages = {787--796}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BigData.2017.8257994}, doi = {10.1109/BIGDATA.2017.8257994}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/TuYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/GuoKCYHMB17, author = {Anhong Guo and Jeeeun Kim and Xiang 'Anthony' Chen and Tom Yeh and Scott E. Hudson and Jennifer Mankoff and Jeffrey P. Bigham}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {Facade: Auto-generating Tactile Interfaces to Appliances}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017}, pages = {5826--5838}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3025453.3025845}, doi = {10.1145/3025453.3025845}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/GuoKCYHMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenWW17, author = {Ye{-}Hong Chen and Sheng{-}He Wang and Ting{-}Chi Wang}, editor = {David Atienza and Giorgio Di Natale}, title = {On refining standard cell placement for self-aligned double patterning}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1492--1497}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927227}, doi = {10.23919/DATE.2017.7927227}, timestamp = {Mon, 30 Sep 2024 15:20:52 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChangYYLJ17, author = {Chia{-}Chen Chang and Shun{-}Ren Yang and En{-}Hau Yeh and Phone Lin and Jeu{-}Yih Jeng}, title = {A Kubernetes-Based Monitoring Platform for Dynamic Cloud Resource Provisioning}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254046}, doi = {10.1109/GLOCOM.2017.8254046}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChangYYLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChiYLH17, author = {Jung{-}Chun Chi and Yu{-}Cheng Yeh and I{-}Wei Lai and Yuan{-}Hao Huang}, title = {Sphere decoding for spatial permutation modulation {MIMO} systems}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7997167}, doi = {10.1109/ICC.2017.7997167}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChiYLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HsuCLY17, author = {Bo{-}Kai Hsu and Po{-}Chun Chou and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {Training-based synchronization for quantity-based modulation in inverse Gaussian channels}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7996905}, doi = {10.1109/ICC.2017.7996905}, timestamp = {Fri, 04 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/HsuCLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LeeYC17, author = {Chen{-}Yu Lee and Jia{-}Fong Yeh and Tsung{-}Che Chiang}, editor = {Jerry Chun{-}Wei Lin and Jeng{-}Shyang Pan and Shu{-}Chuan Chu and Chien{-}Ming Chen}, title = {A Many-Objective Evolutionary Algorithm with Reference Point-Based and Vector Angle-Based Selection}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Eleventh International Conference on Genetic and Evolutionary Computing, {ICGEC} 2017, November 6-8, 2017, Kaohsiung, Taiwan}, series = {Advances in Intelligent Systems and Computing}, volume = {579}, pages = {3--11}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-6487-6\_1}, doi = {10.1007/978-981-10-6487-6\_1}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/LeeYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/LiLCYW17, author = {Yao{-}Yu Li and Chi{-}Yu Li and Wei{-}Han Chen and Chia{-}Jui Yeh and Kuochen Wang}, title = {Enabling seamless WiGig/WiFi handovers in tri-band wireless systems}, booktitle = {25th {IEEE} International Conference on Network Protocols, {ICNP} 2017, Toronto, ON, Canada, October 10-13, 2017}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICNP.2017.8117571}, doi = {10.1109/ICNP.2017.8117571}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnp/LiLCYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/ChenLHYW17, author = {Shih{-}Yeh Chen and Chin{-}Feng Lai and Ren{-}Hung Hwang and Chu{-}Sing Yang and Ming{-}Shi Wang}, editor = {Tien{-}Chi Huang and Rynson W. H. Lau and Yueh{-}Min Huang and Marc Spaniol and Chun{-}Hung Yuen}, title = {Inference of Learning Creative Characteristics by Analysis of {EEG} Signal}, booktitle = {Emerging Technologies for Education - Second International Symposium, {SETE} 2017, Held in Conjunction with {ICWL} 2017, Cape Town, South Africa, September 20-22, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10676}, pages = {425--432}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-71084-6\_49}, doi = {10.1007/978-3-319-71084-6\_49}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icwl/ChenLHYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/SyuYCLHCHY17, author = {Fu{-}Ciao Syu and Shang{-}Che Yeh and Yu{-}Chen Chang and Jing{-}Yuan Lin and Yao{-}Ching Hsieh and Huang{-}Jen Chiu and Masahide Hojo and Kenji Yamanaka}, title = {Design and implementation of 1 MHz active-clamped resonant flyback converter}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {4438--4442}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IECON.2017.8216764}, doi = {10.1109/IECON.2017.8216764}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/SyuYCLHCHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifsa/Chen17, author = {Chi{-}Yeh Chen}, title = {Scheduling divisible loads on heterogeneous linear networks using pipelined communications}, booktitle = {Joint 17th World Congress of International Fuzzy Systems Association and 9th International Conference on Soft Computing and Intelligent Systems, {IFSA-SCIS} 2017, Otsu, Japan, June 27-30, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IFSA-SCIS.2017.8023321}, doi = {10.1109/IFSA-SCIS.2017.8023321}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ifsa/Chen17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/HsiehCHYCH17, author = {Yu{-}Lun Hsieh and Yung{-}Chun Chang and Yi{-}Jie Huang and Shu{-}Hao Yeh and Chun{-}Hung Chen and Wen{-}Lian Hsu}, editor = {Greg Kondrak and Taro Watanabe}, title = {{MONPA:} Multi-objective Named-entity and Part-of-speech Annotator for Chinese using Recurrent Neural Network}, booktitle = {Proceedings of the Eighth International Joint Conference on Natural Language Processing, {IJCNLP} 2017, Taipei, Taiwan, November 27 - December 1, 2017, Volume 2: Short Papers}, pages = {80--85}, publisher = {Asian Federation of Natural Language Processing}, year = {2017}, url = {https://aclanthology.org/I17-2014/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/HsiehCHYCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnlp/YehTWK17, author = {Jui{-}Feng Yeh and Jian{-}Cheng Tsai and Bo{-}Wei Wu and Tai{-}You Kuang}, editor = {Chao{-}Hong Liu and Preslav Nakov and Nianwen Xue}, title = {{NCYU} at {IJCNLP-2017} Task 2: Dimensional Sentiment Analysis for Chinese Phrases using Vector Representations}, booktitle = {Proceedings of the {IJCNLP} 2017, Shared Tasks, Taipei, Taiwan, November 27 - December 1, 2017, Shared Tasks}, pages = {112--117}, publisher = {Asian Federation of Natural Language Processing}, year = {2017}, url = {https://aclanthology.org/I17-4018/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnlp/YehTWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/YehPH17, author = {Ting{-}Chi Yeh and Min{-}Chun Pan and Cheng{-}Yi Ho}, title = {DSP-base adaptive angular-velocity {VKF} order tracking for online real-time monitoring system}, booktitle = {26th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2017, Edinburgh, United Kingdom, June 19-21, 2017}, pages = {1813--1819}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISIE.2017.8001524}, doi = {10.1109/ISIE.2017.8001524}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isie/YehPH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/WangJLYC17, author = {Chen{-}Shu Wang and Chun{-}Jung Juan and Tung{-}Yao Lin and Chun{-}Chang Yeh and Shang{-}Yu Chiang}, title = {Prediction Model of Cervical Spine Disease Established by Genetic Programming}, booktitle = {Proceedings of the 4th Multidisciplinary International Social Networks Conference, {MISNC} '17, Bangkok, Thailand, July 17-19, 2017}, pages = {38:1--38:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3092090.3092097}, doi = {10.1145/3092090.3092097}, timestamp = {Mon, 21 Mar 2022 12:00:07 +0100}, biburl = {https://dblp.org/rec/conf/misnc/WangJLYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/LeeYCC17, author = {Ming{-}Che Lee and Sheng{-}Cheng Yeh and Sheng Yu Chiu and Jia{-}Wei Chang}, title = {A Deep Convolutional Neural Network Based Virtual Elderly Companion Agent}, booktitle = {Proceedings of the 8th {ACM} on Multimedia Systems Conference, MMSys 2017, Taipei, Taiwan, June 20-23, 2017}, pages = {235--238}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3083187.3083220}, doi = {10.1145/3083187.3083220}, timestamp = {Sat, 28 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsys/LeeYCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ngcas/TingLCHYW17, author = {Yi{-}Hsuan Ting and Tay{-}Jyi Lin and Cheng{-}Chun Chang and Chih{-}Chien Hu and Chingwei Yeh and Jinn{-}Shyan Wang}, title = {Approximate Distributed Arithmetic for Variable-Latency Table Lookup}, booktitle = {New Generation of CAS, {NGCAS} 2017, Genova, Italy, September 6-9, 2017}, pages = {137--140}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NGCAS.2017.39}, doi = {10.1109/NGCAS.2017.39}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/ngcas/TingLCHYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LaiHCYL17, author = {Yi{-}An Lai and Chin{-}Chi Hsu and Wen{-}Hao Chen and Mi{-}Yen Yeh and Shou{-}De Lin}, editor = {Isabelle Guyon and Ulrike von Luxburg and Samy Bengio and Hanna M. Wallach and Rob Fergus and S. V. N. Vishwanathan and Roman Garnett}, title = {{PRUNE:} Preserving Proximity and Global Ranking for Network Embedding}, booktitle = {Advances in Neural Information Processing Systems 30: Annual Conference on Neural Information Processing Systems 2017, December 4-9, 2017, Long Beach, CA, {USA}}, pages = {5257--5266}, year = {2017}, url = {https://proceedings.neurips.cc/paper/2017/hash/cdd96eedd7f695f4d61802f8105ba2b0-Abstract.html}, timestamp = {Thu, 21 Jan 2021 13:58:27 +0100}, biburl = {https://dblp.org/rec/conf/nips/LaiHCYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HsuTLCWCYTC17, author = {Yung Hsu and Ta{-}Ching Tzu and Tien{-}Chien Lin and Chun{-}Yen Chuang and Xinru Wu and Jyehong Chen and Chien{-}Hung Yeh and Hon{-}Ki Tsang and Chi{-}Wai Chow}, title = {64-Gbit/s {PAM-4} 20-km transmission using silicon micro-ring modulator for optical access networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/document/7936869}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HsuTLCWCYTC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LuLYC17, author = {I{-}Cheng Lu and Chih{-}Han Lai and Chien{-}Hung Yeh and Jyehong Chen}, title = {6.36 Gbit/s {RGB} LED-based {WDM} {MIMO} visible light communication system employing {OFDM} modulation}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/document/7937382}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LuLYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/ChenC17, author = {Yeh{-}Cheng Chen and Jerry Chou}, editor = {Shi{-}Jinn Horng}, title = {Strike the Balance between System Utilization and Data Locality under Deadline Constraint for MapReduce Clusters}, booktitle = {18th International Conference on Parallel and Distributed Computing, Applications and Technologies, {PDCAT} 2017, Taipei, Taiwan, December 18-20, 2017}, pages = {328--335}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/PDCAT.2017.00061}, doi = {10.1109/PDCAT.2017.00061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/ChenC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChiangLYTH17, author = {Tsung{-}Han Chiang and Cheng{-}Yueh Liu and Chih Wei Yeh and Chia{-}Heng Tu and Shih{-}Hao Hung}, title = {Program Analysis with a Loop-Function-based Tracing Tool on Virtual Platforms}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2017, Krakow, Poland, September 20-23, 2017}, pages = {255--260}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3129676.3129683}, doi = {10.1145/3129676.3129683}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/ChiangLYTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rfid/HungCLTLWCCCHYL17, author = {Ming{-}Hao Hung and Chung{-}Hung Chen and Yi{-}Cheng Lai and Kuan{-}Wen Tung and Wei{-}Ting Lin and Hsiu{-}Hua Wang and Feng{-}Jui Chan and Chun{-}Cheng Cheng and Chin{-}Tang Chuang and Yu{-}Sheng Huang and Cheng{-}Nan Yeh and Chu{-}Yu Liu and Jen{-}Pei Tseng and Min{-}Feng Chiang and Yu{-}Chieh Lin}, title = {Ultra low voltage 1-V {RFID} tag implement in a-IGZO {TFT} technology on plastic}, booktitle = {2017 {IEEE} International Conference on RFID, {RFID} 2017, Phoenix, AZ, USA, May 9-11, 2017}, pages = {193--197}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RFID.2017.7945608}, doi = {10.1109/RFID.2017.7945608}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/rfid/HungCLTLWCCCHYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/LinTYH17, author = {Wei{-}Cheng Lin and Chia{-}Heng Tu and Chih Wei Yeh and Shih{-}Hao Hung}, title = {{GPU} acceleration for Kernel Samepage Merging}, booktitle = {23rd {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2017, Hsinchu, Taiwan, August 16-18, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/RTCSA.2017.8046334}, doi = {10.1109/RTCSA.2017.8046334}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/LinTYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc2/ChenHLCC17, author = {Bing{-}Liang Chen and Shih{-}Chun Huang and Yu{-}Cing Luo and Yeh{-}Ching Chung and Jerry Chou}, title = {A Dynamic Module Deployment Framework for {M2M} Platforms}, booktitle = {2017 {IEEE} 7th International Symposium on Cloud and Service Computing, SC{\({^2}\)} 2017, Kanazawa, Japan, November 22-25, 2017}, pages = {194--200}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SC2.2017.37}, doi = {10.1109/SC2.2017.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc2/ChenHLCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc2/HuangLCCC17, author = {Shih{-}Chun Huang and Yu{-}Cing Luo and Bing{-}Liang Chen and Yeh{-}Ching Chung and Jerry Chou}, title = {Application-Aware Traffic Redirection: {A} Mobile Edge Computing Implementation Toward Future 5G Networks}, booktitle = {2017 {IEEE} 7th International Symposium on Cloud and Service Computing, SC{\({^2}\)} 2017, Kanazawa, Japan, November 22-25, 2017}, pages = {17--23}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SC2.2017.11}, doi = {10.1109/SC2.2017.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc2/HuangLCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LinLL17, author = {Yeh{-}Cheng Lin and Chia{-}Peng Lee and Phone Lin}, title = {A Study on Networking Functionalities and Challenges for Machine-to-Machine Mobile Networks}, booktitle = {86th {IEEE} Vehicular Technology Conference, {VTC} Fall 2017, Toronto, ON, Canada, September 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCFall.2017.8288379}, doi = {10.1109/VTCFALL.2017.8288379}, timestamp = {Mon, 20 Dec 2021 11:29:16 +0100}, biburl = {https://dblp.org/rec/conf/vtc/LinLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChenCYW17, author = {Shang{-}Fu Chen and Yi{-}Chen Chen and Chih{-}Kuan Yeh and Yu{-}Chiang Frank Wang}, title = {Order-Free {RNN} with Visual Attention for Multi-Label Classification}, journal = {CoRR}, volume = {abs/1707.05495}, year = {2017}, url = {http://arxiv.org/abs/1707.05495}, eprinttype = {arXiv}, eprint = {1707.05495}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChenCYW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-03867, author = {Chi{-}Yeh Chen}, title = {An Efficient Approximation Algorithm for the Steiner Tree Problem}, journal = {CoRR}, volume = {abs/1709.03867}, year = {2017}, url = {http://arxiv.org/abs/1709.03867}, eprinttype = {arXiv}, eprint = {1709.03867}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-03867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/GanCWHLYCHT16, author = {Richie Ruei{-}Chi Gan and Ting{-}Wen Chen and Timothy H. Wu and Po{-}Jung Huang and Chi{-}Ching Lee and Yuan{-}Ming Yeh and Cheng{-}Hsun Chiu and Hsien{-}Da Huang and Petrus Tang}, title = {PARRoT- a homology-based strategy to quantify and compare RNA-sequencing from non-model organisms}, journal = {{BMC} Bioinform.}, volume = {17}, number = {{S-19}}, pages = {149--158}, year = {2016}, url = {https://doi.org/10.1186/s12859-016-1366-1}, doi = {10.1186/S12859-016-1366-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/GanCWHLYCHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/ChenHYC16, author = {Chin{-}Sheng Chen and Chien{-}Liang Huang and Chun{-}Wei Yeh and Wen{-}Chung Chang}, title = {An accelerating {CPU} based correlation-based image alignment for real-time automatic optical inspection}, journal = {Comput. Electr. Eng.}, volume = {49}, pages = {207--220}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2015.09.010}, doi = {10.1016/J.COMPELECENG.2015.09.010}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/ChenHYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecr/ChenCLY16, author = {Yan{-}Kwang Chen and Fei{-}Rung Chiu and Hung{-}Chang Liao and Chien{-}Hua Yeh}, title = {Joint optimization of inventory control and product placement on e-commerce websites using genetic algorithms}, journal = {Electron. Commer. Res.}, volume = {16}, number = {4}, pages = {479--502}, year = {2016}, url = {https://doi.org/10.1007/s10660-016-9216-9}, doi = {10.1007/S10660-016-9216-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecr/ChenCLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eg/HungCYH16, author = {Shin{-}Yuan Hung and Charlie C. Chen and Ralph Keng{-}Jung Yeh and Li{-}Chia Huang}, title = {Enhancing the use of e-learning systems in the public sector: a behavioural intention perspective}, journal = {Electron. Gov. an Int. J.}, volume = {12}, number = {1}, pages = {1--26}, year = {2016}, url = {https://doi.org/10.1504/EG.2016.074237}, doi = {10.1504/EG.2016.074237}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eg/HungCYH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LinCYC16, author = {Pei{-}Chung Lin and Chun{-}Yang Cheng and Jien{-}Wei Yeh and Tsung{-}Shune Chin}, title = {Soft Magnetic Properties of High-Entropy Fe-Co-Ni-Cr-Al-Si Thin Films}, journal = {Entropy}, volume = {18}, number = {8}, pages = {308}, year = {2016}, url = {https://doi.org/10.3390/e18080308}, doi = {10.3390/E18080308}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/LinCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LiaoZLCHCJC16, author = {Ying{-}Ti Liao and Jiazheng Zhou and Chia{-}Hung Lu and Shih{-}Chang Chen and Ching{-}Hsien Hsu and Wenguang Chen and Mon{-}Fong Jiang and Yeh{-}Ching Chung}, title = {Data adapter for querying and transformation between {SQL} and NoSQL database}, journal = {Future Gener. Comput. Syst.}, volume = {65}, pages = {111--121}, year = {2016}, url = {https://doi.org/10.1016/j.future.2016.02.002}, doi = {10.1016/J.FUTURE.2016.02.002}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/LiaoZLCHCJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KuCYC16, author = {Wei{-}Chi Ku and Bo{-}Ren Cheng and Yu{-}Chang Yeh and Chia{-}Ju Chang}, title = {A Simple Sector-Based Textual-Graphical Password Scheme with Resistance to Login-Recording Attacks}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {99-D}, number = {2}, pages = {529--532}, year = {2016}, url = {https://doi.org/10.1587/transinf.2015EDL8080}, doi = {10.1587/TRANSINF.2015EDL8080}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KuCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/LinYHC16, author = {Tzu{-}Hung Lin and Cheng{-}Yu Yeh and Shaw{-}Hwa Hwang and Shun{-}Chieh Chang}, title = {Efficient binary search space-structured {VQ} encoder applied to a line spectral frequency quantisation in {G.729} standard}, journal = {{IET} Commun.}, volume = {10}, number = {10}, pages = {1183--1188}, year = {2016}, url = {https://doi.org/10.1049/iet-com.2015.0729}, doi = {10.1049/IET-COM.2015.0729}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/LinYHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijet/LinCYC16, author = {Yi{-}Chien Lin and Pansy Chung and Ron Chuen Yeh and Yi{-}Cheng Chen}, title = {An Empirical Study of College Students' Learning Satisfaction and Continuance Intention to Stick with a Blended e-Learning Environment}, journal = {Int. J. Emerg. Technol. Learn.}, volume = {11}, number = {2}, pages = {63--66}, year = {2016}, url = {https://doi.org/10.3991/ijet.v11i02.5078}, doi = {10.3991/IJET.V11I02.5078}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijet/LinCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijitdm/YehC16, author = {Duen{-}Yian Yeh and Ching{-}Hsue Cheng}, title = {Performance Management of Taiwan's National Hospitals}, journal = {Int. J. Inf. Technol. Decis. Mak.}, volume = {15}, number = {1}, pages = {187--214}, year = {2016}, url = {https://doi.org/10.1142/S0219622014500199}, doi = {10.1142/S0219622014500199}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijitdm/YehC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LiuCLLCYC16, author = {Ying{-}Chieh Liu and Chien{-}Hung Chen and Chien{-}Wei Lee and Yu{-}Sheng Lin and Hsin{-}Yun Chen and Jou{-}Yin Yeh and Sherry Yueh{-}Hsia Chiu}, title = {Design and usability evaluation of user-centered and visual-based aids for dietary food measurement on mobile devices in a randomized controlled trial}, journal = {J. Biomed. Informatics}, volume = {64}, pages = {122--130}, year = {2016}, url = {https://doi.org/10.1016/j.jbi.2016.10.001}, doi = {10.1016/J.JBI.2016.10.001}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LiuCLLCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/YehCCHLT16, author = {Sheng{-}Cheng Yeh and Yih{-}Shyh Chiou and Huan Chang and Wang{-}Hsin Hsu and Shiau{-}Huang Liu and Fuan Tsai}, title = {Performance improvement of offline phase for indoor positioning systems using Asus Xtion and smartphone sensors}, journal = {J. Commun. Networks}, volume = {18}, number = {5}, pages = {837--845}, year = {2016}, url = {https://doi.org/10.1109/JCN.2016.000112}, doi = {10.1109/JCN.2016.000112}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/YehCCHLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/LiYCS16, author = {Der{-}Chiang Li and Chun{-}Wu Yeh and Chieh{-}Chih Chen and Hung{-}Ta Shih}, title = {Using a diffusion wavelet neural network for short-term time series learning in the wafer level chip scale package process}, journal = {J. Intell. Manuf.}, volume = {27}, number = {6}, pages = {1261--1272}, year = {2016}, url = {https://doi.org/10.1007/s10845-014-0949-9}, doi = {10.1007/S10845-014-0949-9}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/LiYCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/WeyCYC16, author = {Yu{-}Che Wey and Mei{-}Juan Chen and Chia{-}Hung Yeh and Chia{-}Yen Chen}, title = {Fast Mode Decision Algorithm for Scalable Video Coding Based on Probabilistic Models}, journal = {J. Inf. Sci. Eng.}, volume = {32}, number = {4}, pages = {931--945}, year = {2016}, url = {http://www.iis.sinica.edu.tw/page/jise/2016/201607\_07.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/WeyCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ZhangWHCCLHLYY16, author = {Wenqi Zhang and Tzuo{-}Li Wang and Yan{-}hua Huang and Tsu{-}Ting Cheng and Shih{-}Yao Chen and Yiying Li and Chun{-}Hsiang Hsu and Chih{-}Jui Lai and Wen{-}Kuan Yeh and Yilin Yang}, title = {Influence of fin number on hot-carrier injection stress induced degradation in bulk FinFETs}, journal = {Microelectron. Reliab.}, volume = {67}, pages = {89--93}, year = {2016}, url = {https://doi.org/10.1016/j.microrel.2016.10.015}, doi = {10.1016/J.MICROREL.2016.10.015}, timestamp = {Sat, 14 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ZhangWHCCLHLYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChenOKYRW16, author = {Bo{-}Wei Chen and Yang{-}Yen Ou and Chun{-}Chia Kung and Ding{-}Ruey Yeh and Seungmin Rho and Jhing{-}Fa Wang}, title = {Multivoxel analysis for functional magnetic resonance imaging (fMRI) based on time-series and contextual information: relationship between maternal love and brain regions as a case study}, journal = {Multim. Tools Appl.}, volume = {75}, number = {9}, pages = {4851--4865}, year = {2016}, url = {https://doi.org/10.1007/s11042-014-2020-4}, doi = {10.1007/S11042-014-2020-4}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChenOKYRW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/YehCW16, author = {Mei{-}Chen Yeh and Han{-}Kuen Chiu and Jia{-}Shung Wang}, title = {Fast medium-scale multiperson identification in aerial videos}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {16117--16133}, year = {2016}, url = {https://doi.org/10.1007/s11042-015-2921-x}, doi = {10.1007/S11042-015-2921-X}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/YehCW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/KaoY16, author = {Chin{-}Sheng Kao and I{-}Cheng Yeh}, title = {Using neural networks to integrate structural analysis package and optimization package}, journal = {Neural Comput. Appl.}, volume = {27}, number = {3}, pages = {571--583}, year = {2016}, url = {https://doi.org/10.1007/s00521-015-1878-z}, doi = {10.1007/S00521-015-1878-Z}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/KaoY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuKLHTYY16, author = {Chian{-}Yi Liu and Szu{-}Chen Kuo and Agnes H. N. Lim and Shen{-}Cha Hsu and Kuo{-}Hsin Tseng and Nan{-}Ching Yeh and Yu{-}Chi Yang}, title = {Optimal Use of Space-Borne Advanced Infrared and Microwave Soundings for Regional Numerical Weather Prediction}, journal = {Remote. Sens.}, volume = {8}, number = {10}, pages = {816}, year = {2016}, url = {https://doi.org/10.3390/rs8100816}, doi = {10.3390/RS8100816}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuKLHTYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungYLLTLWWTTC16, author = {Tien{-}Kan Chung and Po{-}Chen Yeh and Hao Lee and Cheng{-}Mao Lin and Chia{-}Yung Tseng and Wen{-}Tuan Lo and Chieh{-}Min Wang and Wen{-}Chin Wang and Chi{-}Jen Tu and Pei{-}Yuan Tasi and Jui{-}Wen Chang}, title = {An Attachable Electromagnetic Energy Harvester Driven Wireless Sensing System Demonstrating Milling-Processes and Cutter-Wear/Breakage-Condition Monitoring}, journal = {Sensors}, volume = {16}, number = {3}, pages = {269}, year = {2016}, url = {https://doi.org/10.3390/s16030269}, doi = {10.3390/S16030269}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungYLLTLWWTTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehLCWCCHT16, author = {Li{-}Ko Yeh and Jie{-}Chun Luo and Min{-}Chun Chen and Chih{-}Hung Wu and Jianzhang Chen and I{-}Chun Cheng and Cheng{-}Che Hsu and Wei{-}Cheng Tian}, title = {A Photoactivated Gas Detector for Toluene Sensing at Room Temperature Based on New Coral-Like ZnO Nanostructure Arrays}, journal = {Sensors}, volume = {16}, number = {11}, pages = {1820}, year = {2016}, url = {https://doi.org/10.3390/s16111820}, doi = {10.3390/S16111820}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YehLCWCCHT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LinYHWCLCHTMCYC16, author = {Yu{-}Po Lin and Chun{-}Yi Yeh and Pin{-}Yang Huang and Zong{-}Ye Wang and Hsiang{-}Hui Cheng and Yi{-}Ting Li and Chi{-}Fen Chuang and Po{-}Chiun Huang and Kea{-}Tiong Tang and Hsi{-}Pin Ma and Yen{-}Chung Chang and Shih{-}Rung Yeh and Hsin Chen}, title = {A Battery-Less, Implantable Neuro-Electronic Interface for Studying the Mechanisms of Deep Brain Stimulation in Rat Models}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {10}, number = {1}, pages = {98--112}, year = {2016}, url = {https://doi.org/10.1109/TBCAS.2015.2403282}, doi = {10.1109/TBCAS.2015.2403282}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LinYHWCLCHTMCYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChenC16, author = {Chi{-}Yeh Chen and Chih{-}Ping Chu}, title = {A Novel Computational Model for Non-Linear Divisible Loads on a Linear Network}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {1}, pages = {53--65}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2419654}, doi = {10.1109/TC.2015.2419654}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChenC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YehCLLLC16, author = {Chun{-}Hsien Yeh and Pei{-}Yin Chen and Yen{-}Chen Lai and Hao{-}Ting Lin and Chia{-}Hao Li and Pei{-}Hua Chang}, title = {Real-Time Digital Hardware Simulation of the Rodless Pneumatic System}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {9}, pages = {853--857}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2535043}, doi = {10.1109/TCSII.2016.2535043}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YehCLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/HouTYW16, author = {Cheng{-}An Hou and Yao{-}Hung Hubert Tsai and Yi{-}Ren Yeh and Yu{-}Chiang Frank Wang}, title = {Unsupervised Domain Adaptation With Label and Structural Consistency}, journal = {{IEEE} Trans. Image Process.}, volume = {25}, number = {12}, pages = {5552--5562}, year = {2016}, url = {https://doi.org/10.1109/TIP.2016.2609820}, doi = {10.1109/TIP.2016.2609820}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/HouTYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ChiouHLHYKD16, author = {Jin{-}Chern Chiou and Shun{-}Hsi Hsu and Yu{-}Te Liao and Yu{-}Chieh Huang and Guan{-}Ting Yeh and Cheng{-}Kai Kuei and Kai{-}Shiun Dai}, title = {Toward a Wirelessly Powered On-Lens Intraocular Pressure Monitoring System}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {20}, number = {5}, pages = {1216--1224}, year = {2016}, url = {https://doi.org/10.1109/JBHI.2016.2594058}, doi = {10.1109/JBHI.2016.2594058}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/ChiouHLHYKD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Chen16, author = {Chi{-}Yeh Chen}, title = {Task Scheduling for Maximizing Performance and Reliability Considering Fault Recovery in Heterogeneous Distributed Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {2}, pages = {521--532}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2403861}, doi = {10.1109/TPDS.2015.2403861}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Chen16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/TsaiHCYW16, author = {Yao{-}Hung Hubert Tsai and Cheng{-}An Hou and Wei{-}Yu Chen and Yi{-}Ren Yeh and Yu{-}Chiang Frank Wang}, editor = {Dale Schuurmans and Michael P. Wellman}, title = {Domain-Constraint Transfer Coding for Imbalanced Unsupervised Domain Adaptation}, booktitle = {Proceedings of the Thirtieth {AAAI} Conference on Artificial Intelligence, February 12-17, 2016, Phoenix, Arizona, {USA}}, pages = {3597--3603}, publisher = {{AAAI} Press}, year = {2016}, url = {https://doi.org/10.1609/aaai.v30i1.10443}, doi = {10.1609/AAAI.V30I1.10443}, timestamp = {Mon, 04 Sep 2023 15:08:28 +0200}, biburl = {https://dblp.org/rec/conf/aaai/TsaiHCYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChungTY16, author = {Yung{-}Hui Chung and Cheng{-}Hsun Tsai and Hsuan{-}Chih Yeh}, title = {A 5-b 1-GS/s binary-search {ADC} in 90nm {CMOS}}, booktitle = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2016, Jeju, South Korea, October 25-28, 2016}, pages = {334--335}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APCCAS.2016.7803968}, doi = {10.1109/APCCAS.2016.7803968}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChungTY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChangCCYL16, author = {Che{-}Wei Chang and Min{-}Hung Chen and Kuan{-}Chang Chen and Chi{-}Ming Yeh and Yi{-}Chang Lu}, title = {Mask design for pinhole-array-based hand-held light field cameras with applications in depth estimation}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2016, Jeju, South Korea, December 13-16, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APSIPA.2016.7820688}, doi = {10.1109/APSIPA.2016.7820688}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ChangCCYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LuCCYLCTLCCTCL16, author = {Liang{-}Ying Lu and Ching{-}Yao Chang and Zhao{-}Hong Chen and Bo{-}Ting Yeh and Tai{-}Hua Lu and Peng{-}Yu Chen and Pin{-}Hao Tang and Kuen{-}Jong Lee and Lih{-}Yih Chiou and Soon{-}Jyh Chang and Chien{-}Hung Tsai and Chung{-}Ho Chen and Jai{-}Ming Lin}, title = {A testable and debuggable dual-core system with thermal-aware dynamic voltage and frequency scaling}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {17--18}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7427980}, doi = {10.1109/ASPDAC.2016.7427980}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LuCCYLCTLCCTCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LiuHLC16, author = {Kuan{-}Lin Liu and Chih{-}Cheng Hsieh and Sheng{-}Yeh Lai and Chin{-}Fong Chiu}, title = {A time delay multiple integration linear {CMOS} image sensor for multispectral satellite telemetry}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {37--40}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844129}, doi = {10.1109/ASSCC.2016.7844129}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LiuHLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChenHYK16, author = {Wei{-}Ling Chen and Bing{-}Feng Huang and Ming{-}Long Yeh and Chung{-}Dann Kan}, title = {Mechanical performances of endovascular aneurysm repair strategies with main aortic and chimney grafts}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {4145--4148}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591639}, doi = {10.1109/EMBC.2016.7591639}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChenHYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWCLCCCWHWCC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Chia{-}Yun Cheng and Hue{-}Min Lin and Chun{-}Chia Chen and Min{-}Hao Chiu and Ping Chao and Ming{-}Long Wu and Meng{-}Jye Hu and Sheng{-}Jen Wang and Che{-}Hong Chen and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Fu{-}Chun Yeh and Chia{-}Hung Kao and Yi{-}Chang Chen and Chia{-}Lin Ho and Yenchieh Huang and Hsiao{-}En Chen and Chih{-}Wen Yang and Hsuan{-}Wen Peng}, title = {A 2.6mm\({}^{\mbox{2}}\) 0.19nJ/pixel {VP9} and multi-standard decoder {LSI} for Android 4K {TV} applications}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {109--112}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598254}, doi = {10.1109/ESSCIRC.2016.7598254}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWCLCCCWHWCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LinYKLB16, author = {Tsung{-}Chih Lin and Jian{-}Lin Yeh and Chia{-}Hao Kuo and Yu{-}Chen Lin and Valentina Emilia Balas}, title = {Adaptive fuzzy sliding mode active vibration control for rail vehicle pantograph}, booktitle = {2016 {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {373--379}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FUZZ-IEEE.2016.7737711}, doi = {10.1109/FUZZ-IEEE.2016.7737711}, timestamp = {Fri, 25 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LinYKLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChangHCYCL16, author = {Chao{-}Yang Chang and Chung{-}Hsun Huang and Hui{-}Fu Chen and Chingwei Yeh and Yuan{-}Sun Chu and Tay{-}Jyi Lin}, title = {A low complexity edge-preserved image compression algorithm for {LCD} overdrive}, booktitle = {{IEEE} 5th Global Conference on Consumer Electronics, {GCCE} 2016, Kyoto, Japan, October 11-14, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GCCE.2016.7800313}, doi = {10.1109/GCCE.2016.7800313}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/ChangHCYCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/LinTHHCYFZCLCKT16, author = {Mu{-}Shan Lin and Chien{-}Chun Tsai and Kenny Cheng{-}Hsiang Hsieh and Wen{-}Hung Huang and Yu{-}Chi Chen and Shu{-}Chun Yang and Chin{-}Ming Fu and Hao{-}Jie Zhan and Jinn{-}Yeh Chien and Shao{-}Yu Li and Y.{-}H. Chen and C.{-}C. Kuo and Shih{-}Peng Tai and Kazuyoshi Yamada}, title = {A 16nm 256-bit wide 89.6GByte/s total bandwidth in-package interconnect with 0.3V swing and 0.062pJ/bit power in InFO package}, booktitle = {2016 {IEEE} Hot Chips 28 Symposium (HCS), Cupertino, CA, USA, August 21-23, 2016}, pages = {1--32}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HOTCHIPS.2016.7936211}, doi = {10.1109/HOTCHIPS.2016.7936211}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/LinTHHCYFZCLCKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/YehLCL16, author = {Ming{-}Feng Yeh and Hung{-}Ching Lu and Ti{-}Hung Chen and Min{-}Shyang Leu}, title = {Modified Gaussian barebones differential evolution with hybrid crossover strategy}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2016, Jeju Island, South Korea, July 10-13, 2016}, pages = {7--12}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICMLC.2016.7860869}, doi = {10.1109/ICMLC.2016.7860869}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/YehLCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/LaiTCHY16, author = {Chin{-}Feng Lai and Chun{-}Wei Tsai and Shih{-}Yeh Chen and Ren{-}Hung Hwang and Chu{-}Sing Yang}, editor = {Ting{-}Ting Wu and Rosella Gennari and Yueh{-}Min Huang and Haoran Xie and Yiwei Cao}, title = {An Intelligent Concept Map for e-book via Automatic Keyword Extraction}, booktitle = {Emerging Technologies for Education - First International Symposium, {SETE} 2016, Held in Conjunction with {ICWL} 2016, Rome, Italy, October 26-29, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10108}, pages = {75--85}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-52836-6\_10}, doi = {10.1007/978-3-319-52836-6\_10}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icwl/LaiTCHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ChenRYL16, author = {Hsing{-}Chung Chen and Qiu{-}Hua Ruan and Pei{-}Chi Yeh and Ze{-}Min Lin}, title = {Intelligent Management Model Based on {CBR-SDA} Approach - An Example of Smart Life Recommendation System for Choosing Clothes and Accessories}, booktitle = {10th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {446--451}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IMIS.2016.143}, doi = {10.1109/IMIS.2016.143}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/ChenRYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ChenYLR16, author = {Hsing{-}Chung Chen and Pei{-}Chi Yeh and Ze{-}Min Lin and Qiu{-}Hua Ruan}, title = {Security Awareness Investigation for Mobile User Examined by Using Association Rule Mining Method}, booktitle = {10th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {440--445}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IMIS.2016.142}, doi = {10.1109/IMIS.2016.142}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/ChenYLR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isaac/WangYC16, author = {Te{-}Li Wang and Chih{-}Kuan Yeh and Ho{-}Lin Chen}, editor = {Seok{-}Hee Hong}, title = {An Improved Tax Scheme for Selfish Routing}, booktitle = {27th International Symposium on Algorithms and Computation, {ISAAC} 2016, December 12-14, 2016, Sydney, Australia}, series = {LIPIcs}, volume = {64}, pages = {61:1--61:12}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2016}, url = {https://doi.org/10.4230/LIPIcs.ISAAC.2016.61}, doi = {10.4230/LIPICS.ISAAC.2016.61}, timestamp = {Thu, 27 Apr 2023 14:57:19 +0200}, biburl = {https://dblp.org/rec/conf/isaac/WangYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/LeeCYL16, author = {Yen{-}Chi Lee and Chiun{-}Chuan Chen and Ping{-}Cheng Yeh and Chia{-}Han Lee}, title = {Distribution of first arrival position in molecular communication}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2016, Barcelona, Spain, July 10-15, 2016}, pages = {1033--1037}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISIT.2016.7541456}, doi = {10.1109/ISIT.2016.7541456}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/LeeCYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithet/WangHYLL16, author = {Chang{-}Hung Wang and Yu{-}Chun Hsu and Ping{-}Cheng Yeh and Chia{-}Yu Lin and I{-}Wei Lai}, title = {Edventure: Gamification for collaborative problem design and solving}, booktitle = {15th International Conference on Information Technology Based Higher Education and Training, {ITHET} 2016, Istanbul, Turkey, September 8-10, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ITHET.2016.7760719}, doi = {10.1109/ITHET.2016.7760719}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ithet/WangHYLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisec2/ChenCKHLYR16, author = {Hsing{-}Chung Chen and Hong Chang and Tzu{-}Liang Kung and Yung{-}Fa Huang and Ze{-}Min Lin and Pei{-}Chi Yeh and Qiu{-}Hua Ruan}, editor = {Ilsun You and Fang{-}Yie Leu and Hsing{-}Chung Chen and Igor V. Kotenko}, title = {A Secure Color-Code Key Exchange Protocol for Mobile Chat Application}, booktitle = {Mobile Internet Security - First International Symposium, MobiSec 2016, Taichung, Taiwan, July 14-15, 2016, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {797}, pages = {54--64}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-7850-7\_6}, doi = {10.1007/978-981-10-7850-7\_6}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisec2/ChenCKHLYR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qshine/HuangLMCHCYCH16, author = {Mu{-}Han Huang and Yu{-}Cing Luo and Chen{-}Nien Mao and Bing{-}Liang Chen and Shih{-}Chun Huang and Jerry Chou and Shun{-}Ren Yang and Yeh{-}Ching Chung and Cheng{-}Hsin Hsu}, editor = {Jong{-}Hyouk Lee and Sangheon Pack}, title = {Performance Evaluations of Cloud Radio Access Networks}, booktitle = {Quality, Reliability, Security and Robustness in Heterogeneous Networks - 12th International Conference, QShine 2016, Seoul, Korea, July 7-8, 2016, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {199}, pages = {312--321}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-60717-7\_31}, doi = {10.1007/978-3-319-60717-7\_31}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qshine/HuangLMCHCYCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChenHTY16, author = {Yi{-}Wei Chen and Shih{-}Hao Hung and Chia{-}Heng Tu and Chih Wei Yeh}, title = {Virtual Hadoop: MapReduce over Docker Containers with an Auto-Scaling Mechanism for Heterogeneous Environments}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2016, Odense, Denmark, October 11-14, 2016}, pages = {201--206}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2987386.2987408}, doi = {10.1145/2987386.2987408}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/ChenHTY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/HuangCTHZC16, author = {Ze{-}Si Huang and Chen{-}Chia Chuang and Chin{-}Wang Tao and Min{-}Yeh Hsieh and Chen{-}Xiang Zhang and Chia{-}Wen Chang}, title = {iOS-Based People Detection of Multi-object Detection System}, booktitle = {2016 Joint 8th International Conference on Soft Computing and Intelligent Systems {(SCIS)} and 17th International Symposium on Advanced Intelligent Systems (ISIS), Sapporo, Japan, August 25-28, 2016}, pages = {868--873}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SCIS-ISIS.2016.0188}, doi = {10.1109/SCIS-ISIS.2016.0188}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/HuangCTHZC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YenYMCLCL16, author = {Shao{-}Wei Yen and Chih{-}Kuo Yeh and Charles C. Morace and Sheng{-}Yuan Chen and Shih{-}Syun Lin and Chia{-}Hsiang Chen and Tong{-}Yee Lee}, editor = {Johannes Kopf and Phillip Chi{-}Wing Fu}, title = {Content enhanced word art with depth perception}, booktitle = {{SIGGRAPH} {ASIA} 2016, Macao, December 5-8, 2016 - Posters}, pages = {51}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3005280}, timestamp = {Mon, 03 Jul 2023 17:35:02 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/YenYMCLCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ShyuSLYWC16, author = {Ting{-}Yu Shyu and Bo{-}Yu Su and Tay{-}Jyi Lin and Chingwei Yeh and Jinn{-}Shyan Wang and Tien{-}Fu Chen}, editor = {Karan S. Bhatia and Massimo Alioto and Danella Zhao and Andrew Marshall and Ramalingam Sridhar}, title = {Variable-length {VLIW} encoding for code size reduction in embedded processors}, booktitle = {29th {IEEE} International System-on-Chip Conference, {SOCC} 2016, Seattle, WA, USA, September 6-9, 2016}, pages = {296--299}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SOCC.2016.7905495}, doi = {10.1109/SOCC.2016.7905495}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ShyuSLYWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/WangYWWTLHC16, author = {Chiuan Wang and Hsuan{-}Ming Yeh and Bryan Wang and Te{-}Yen Wu and Hsin{-}Ruey Tsai and Rong{-}Hao Liang and Yi{-}Ping Hung and Mike Y. Chen}, editor = {Jun Rekimoto and Takeo Igarashi and Jacob O. Wobbrock and Daniel Avrahami}, title = {CircuitStack: Supporting Rapid Prototyping and Evolution of Electronic Circuits}, booktitle = {Proceedings of the 29th Annual Symposium on User Interface Software and Technology, {UIST} 2016, Tokyo, Japan, October 16-19, 2016}, pages = {687--695}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2984511.2984527}, doi = {10.1145/2984511.2984527}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/WangYWWTLHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YehWHCLC16, author = {Kun{-}Hao Yeh and I{-}Chen Wu and Chu{-}Hsuan Hsueh and Chia{-}Chuan Chang and Chao{-}Chin Liang and Han Chiang}, title = {Multi-Stage Temporal Difference Learning for 2048-like Games}, journal = {CoRR}, volume = {abs/1606.07374}, year = {2016}, url = {http://arxiv.org/abs/1606.07374}, eprinttype = {arXiv}, eprint = {1606.07374}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YehWHCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangCLC15, author = {Hao{-}Chin Chang and Yeh{-}Peng Chen and Tung{-}Kuan Liu and Jyh{-}Horng Chou}, title = {Solving the Flexible Job Shop Scheduling Problem With Makespan Optimization by Using a Hybrid Taguchi-Genetic Algorithm}, journal = {{IEEE} Access}, volume = {3}, pages = {1740--1754}, year = {2015}, url = {https://doi.org/10.1109/ACCESS.2015.2481463}, doi = {10.1109/ACCESS.2015.2481463}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChangCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/HoYLLC15, author = {Hsin Yang Ho and I{-}Cheng Yeh and Yu{-}Chi Lai and Wen{-}Chieh Lin and Fu{-}Yin Cherng}, title = {Evaluating 2D Flow Visualization Using Eye Tracking}, journal = {Comput. Graph. Forum}, volume = {34}, number = {3}, pages = {501--510}, year = {2015}, url = {https://doi.org/10.1111/cgf.12662}, doi = {10.1111/CGF.12662}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/HoYLLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/WeiLCAY15, author = {Chih{-}Ping Wei and Wen{-}Ben Lin and Hung{-}Chen Chen and Wen{-}Yu An and Wei{-}Chang Yeh}, title = {Finding experts in online forums for enhancing knowledge sharing and accessibility}, journal = {Comput. Hum. Behav.}, volume = {51}, pages = {325--335}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2015.04.055}, doi = {10.1016/J.CHB.2015.04.055}, timestamp = {Fri, 28 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/WeiLCAY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LinLFSYSC15, author = {Yuting Lin and Wei{-}Ching Lin and Peter T. Fwu and Tzu{-}Ching Shih and Lee{-}Ren Yeh and Min{-}Ying Su and Jeon{-}Hor Chen}, title = {Investigation of factors affecting hypothermic pelvic tissue cooling using bio-heat simulation based on MRI-segmented anatomic models}, journal = {Comput. Methods Programs Biomed.}, volume = {122}, number = {1}, pages = {76--88}, year = {2015}, url = {https://doi.org/10.1016/j.cmpb.2015.07.002}, doi = {10.1016/J.CMPB.2015.07.002}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LinLFSYSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/TaoYH15, author = {Yu{-}Hui Tao and Chu{-}Chen Rosa Yeh and Kung Chin Hung}, title = {Validating the Learning Cycle Models of Business Simulation Games via Student Perceived Gains in Skills and Knowledge}, journal = {J. Educ. Technol. Soc.}, volume = {18}, number = {1}, pages = {77--90}, year = {2015}, url = {http://www.ifets.info/download\_pdf.php?j\_id=66\&a\_id=1550}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/TaoYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KuYCC15, author = {Wei{-}Chi Ku and Yu{-}Chang Yeh and Bo{-}Ren Cheng and Chia{-}Ju Chang}, title = {A Sector-Based Graphical Password Scheme with Resistance to Login-Recording Attacks}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {98-D}, number = {4}, pages = {894--901}, year = {2015}, url = {https://doi.org/10.1587/transinf.2014EDP7302}, doi = {10.1587/TRANSINF.2014EDP7302}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KuYCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ifs/ChangCS15, author = {Chin{-}Chen Chang and Yeh{-}Chieh Chou and Chin{-}Yu Sun}, title = {Novel and practical scheme based on secret sharing for laptop data protection}, journal = {{IET} Inf. Secur.}, volume = {9}, number = {2}, pages = {100--107}, year = {2015}, url = {https://doi.org/10.1049/iet-ifs.2013.0420}, doi = {10.1049/IET-IFS.2013.0420}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ifs/ChangCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChenYHCH15, author = {Cheng{-}Yeh Chen and Alan Dahgwo Yein and Te{-}Cheng Hsu and John Y. Chiang and Wen{-}Shyong Hsieh}, title = {Secure Access Control Method for Wireless Sensor Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {11}, pages = {261906:1--261906:6}, year = {2015}, url = {https://doi.org/10.1155/2015/261906}, doi = {10.1155/2015/261906}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChenYHCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/LeCC15, author = {Hai{-}Duong Le and Chin{-}Chen Chang and Yeh{-}Chieh Chou}, title = {A Novel Untraceable Authentication Scheme for Mobile Roaming in {GLOMONET}}, journal = {Int. J. Netw. Secur.}, volume = {17}, number = {4}, pages = {395--404}, year = {2015}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v17-n4/ijns-2015-v17-n4-p395-404.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/LeCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/WangCC15, author = {Feng Wang and Chin{-}Chen Chang and Yeh{-}Chieh Chou}, title = {Group Authentication and Group Key Distribution for Ad Hoc Networks}, journal = {Int. J. Netw. Secur.}, volume = {17}, number = {2}, pages = {199--207}, year = {2015}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v17-n2/ijns-2015-v17-n2-p199-207.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/WangCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/LiCYT15, author = {Mian{-}Shiuan Li and Mei{-}Juan Chen and Chia{-}Hung Yeh and Kuang{-}Han Tai}, title = {Performance improvement of multi-view video coding based on geometric prediction and human visual system}, journal = {Int. J. Imaging Syst. Technol.}, volume = {25}, number = {1}, pages = {41--49}, year = {2015}, url = {https://doi.org/10.1002/ima.22119}, doi = {10.1002/IMA.22119}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/LiCYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/YehFLCC15, author = {Chia{-}Hung Yeh and Shu{-}Jhen Fan{-}Jiang and Chih{-}Yang Lin and Min{-}Kuan Chang and Mei{-}Juan Chen}, title = {Inter-embedding error-resilient mechanism in scalable video coding}, journal = {Inf. Sci.}, volume = {294}, pages = {439--455}, year = {2015}, url = {https://doi.org/10.1016/j.ins.2014.09.052}, doi = {10.1016/J.INS.2014.09.052}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/YehFLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/Lyu0CL15, author = {Wan{-}Li Lyu and Chin{-}Chen Chang and Yeh{-}Chieh Chou and Chia{-}Chen Lin}, title = {Hybrid Color Image Steganography Method Used for Copyright Protection and Content Authentication}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {6}, number = {4}, pages = {686--696}, year = {2015}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2015/vol6/JIH-MSP-2015-04-007.pdf}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/Lyu0CL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenLHLW15, author = {Shih{-}Yeh Chen and Chin{-}Feng Lai and Ren{-}Hung Hwang and Ying{-}Hsun Lai and Ming{-}Shi Wang}, title = {An Adaptive Sensor Data Segments Selection Method for Wearable Health Care Services}, journal = {J. Medical Syst.}, volume = {39}, number = {12}, pages = {194}, year = {2015}, url = {https://doi.org/10.1007/s10916-015-0343-y}, doi = {10.1007/S10916-015-0343-Y}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenLHLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ChenHLY15, author = {Chien{-}Sheng Chen and Jiing{-}Dong Hwang and Chyuan{-}Der Lu and Ting{-}Yuan Yeh}, title = {Cost-Effective Location Management for Mobile Agents on the Internet}, journal = {J. Sensors}, volume = {2015}, pages = {915648:1--915648:15}, year = {2015}, url = {https://doi.org/10.1155/2015/915648}, doi = {10.1155/2015/915648}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ChenHLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/HsuLTYW15, author = {Cheng{-}Chih Hsu and Yu{-}Ching Liao and Yen{-}Ting Tsai and Hsin{-}I Yeh and Chyan{-}Chyi Wu}, title = {Multiple Silicon Nanowires with Enzymatic Modification for Measuring Glucose Concentration}, journal = {Micromachines}, volume = {6}, number = {8}, pages = {1135--1142}, year = {2015}, url = {https://doi.org/10.3390/mi6081135}, doi = {10.3390/MI6081135}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/HsuLTYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangLTYCCCLGLT15, author = {Po{-}Jung Huang and Chi{-}Ching Lee and Bertrand Chin{-}Ming Tan and Yuan{-}Ming Yeh and Lichieh Julie Chu and Ting{-}Wen Chen and Kai{-}Ping Chang and Cheng{-}Yang Lee and Richie Ruei{-}Chi Gan and Hsuan Liu and Petrus Tang}, title = {{CMPD:} cancer mutant proteome database}, journal = {Nucleic Acids Res.}, volume = {43}, number = {Database-Issue}, pages = {849--855}, year = {2015}, url = {https://doi.org/10.1093/nar/gku1182}, doi = {10.1093/NAR/GKU1182}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangLTYCCCLGLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/LinLYWL15, author = {Ying{-}Dar Lin and Po{-}Ching Lin and Chih{-}Hung Yeh and Yao{-}Chun Wang and Yuan{-}Cheng Lai}, title = {An extended {SDN} architecture for network function virtualization with a case study on intrusion prevention}, journal = {{IEEE} Netw.}, volume = {29}, number = {3}, pages = {48--53}, year = {2015}, url = {https://doi.org/10.1109/MNET.2015.7113225}, doi = {10.1109/MNET.2015.7113225}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/LinLYWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/HuangSTLHHFH15, author = {Cheng{-}Yeh Huang and Po{-}Huai Shih and Po{-}Yen Tsai and I{-}Chin Lee and Hsin{-}Yun Hsu and Hong{-}Yuan Huang and Shih{-}Kang Fan and Wensyang Hsu}, title = {{AMPFLUID:} Aggregation Magnified Post-Assay Fluorescence for Ultrasensitive Immunodetection on Digital Microfluidics}, journal = {Proc. {IEEE}}, volume = {103}, number = {2}, pages = {225--235}, year = {2015}, url = {https://doi.org/10.1109/JPROC.2014.2376967}, doi = {10.1109/JPROC.2014.2376967}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/HuangSTLHHFH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuangHY15, author = {Hsieh{-}Chang Huang and Ching{-}Tang Hsieh and Cheng{-}Hsiang Yeh}, title = {An Indoor Obstacle Detection System Using Depth Information and Region Growth}, journal = {Sensors}, volume = {15}, number = {10}, pages = {27116--27141}, year = {2015}, url = {https://doi.org/10.3390/s151027116}, doi = {10.3390/S151027116}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HuangHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/YehCS15, author = {Jui{-}Feng Yeh and Wen{-}Yi Chen and Mao{-}Chuan Su}, title = {Chinese Spelling Checker Based on an Inverted Index List with a Rescoring Mechanism}, journal = {{ACM} Trans. Asian Low Resour. Lang. Inf. Process.}, volume = {14}, number = {4}, pages = {17:1--17:28}, year = {2015}, url = {https://doi.org/10.1145/2826235}, doi = {10.1145/2826235}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talip/YehCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChienCHLW15, author = {Hsi{-}An Chien and Ye{-}Hong Chen and Szu{-}Yuan Han and Hsiu{-}Yu Lai and Ting{-}Chi Wang}, title = {On Refining Row-Based Detailed Placement for Triple Patterning Lithography}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {5}, pages = {778--793}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2408253}, doi = {10.1109/TCAD.2015.2408253}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChienCHLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WuLCYLH15, author = {Po{-}Hsun Wu and Mark Po{-}Hung Lin and Tung{-}Chieh Chen and Ching{-}Feng Yeh and Xin Li and Tsung{-}Yi Ho}, title = {A Novel Analog Physical Synthesis Methodology Integrating Existent Design Expertise}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {2}, pages = {199--212}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2014.2379630}, doi = {10.1109/TCAD.2014.2379630}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/WuLCYLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChangYKYYCHSTCCKTK15, author = {Meng{-}Fan Chang and Shu{-}Meng Yang and Chia{-}Chen Kuo and Ting{-}Chin Yang and Che{-}Ju Yeh and Tun{-}Fei Chien and Li{-}Yue Huang and Shyh{-}Shyuan Sheu and Pei{-}Ling Tseng and Yu{-}Sheng Chen and Frederick T. Chen and Tzu{-}Kun Ku and Ming{-}Jinn Tsai and Ming{-}Jer Kao}, title = {Set-Triggered-Parallel-Reset Memristor Logic for High-Density Heterogeneous-Integration Friendly Normally Off Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {1}, pages = {80--84}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2014.2362713}, doi = {10.1109/TCSII.2014.2362713}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChangYKYYCHSTCCKTK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmbmc/LinLLY15, author = {Yang{-}Kai Lin and Wei{-}An Lin and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {Asynchronous Threshold-Based Detection for Quantity-Type-Modulated Molecular Communication Systems}, journal = {{IEEE} Trans. Mol. Biol. Multi Scale Commun.}, volume = {1}, number = {1}, pages = {37--49}, year = {2015}, url = {https://doi.org/10.1109/TMBMC.2015.2465520}, doi = {10.1109/TMBMC.2015.2465520}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmbmc/LinLLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/YehTLL15, author = {Chia{-}Hung Yeh and Tsung{-}Yih Tseng and Cheng{-}Wei Lee and Chih{-}Yang Lin}, title = {Predictive Texture Synthesis-Based Intra Coding Scheme for Advanced Video Coding}, journal = {{IEEE} Trans. Multim.}, volume = {17}, number = {9}, pages = {1508--1514}, year = {2015}, url = {https://doi.org/10.1109/TMM.2015.2449659}, doi = {10.1109/TMM.2015.2449659}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/YehTLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenC15, author = {Chi{-}Yeh Chen and Chih{-}Ping Chu}, title = {Novel Methods for Divisible Load Distribution with Start-Up Costs on a Complete b-Ary Tree}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {26}, number = {10}, pages = {2836--2848}, year = {2015}, url = {https://doi.org/10.1109/TPDS.2014.2362137}, doi = {10.1109/TPDS.2014.2362137}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChenC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/YehCC15, author = {Yi{-}Nung Yeh and Kwang{-}Cheng Chen and Yi{-}Chi Chen}, title = {Throughput in {A} Cooperative Network and Channel State Information}, journal = {Wirel. Pers. Commun.}, volume = {81}, number = {4}, pages = {1481--1510}, year = {2015}, url = {https://doi.org/10.1007/s11277-015-2509-9}, doi = {10.1007/S11277-015-2509-9}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/YehCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/WangHCYKCCCLJTL15, author = {Chuei{-}Tang Wang and Jeng{-}Shien Hsieh and Victor C. Y. Chang and En{-}Hsiang Yeh and Feng{-}Wei Kuo and Hsu{-}Hsien Chen and Chih{-}Hua Chen and Huan{-}Neng Ron Chen and Ying{-}Ta Lu and Chewnpu Jou and Hao{-}Yi Tsai and C. S. Liu and Doug C. H. Yu}, title = {Power saving and noise reduction of 28nm {CMOS} {RF} system integration using integrated fan-out wafer level packaging (InFO-WLP) technology}, booktitle = {2015 International 3D Systems Integration Conference, 3DIC 2015, Sendai, Japan, August 31 - September 2, 2015}, pages = {TS6.3.1--TS6.3.4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/3DIC.2015.7334573}, doi = {10.1109/3DIC.2015.7334573}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/WangHCYKCCCLJTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/SuYLH15, author = {Wu{-}Chen Su and Shih{-}Ching Yeh and Si{-}Huei Lee and Hsiang{-}Chun Huang}, title = {Clinical Efficacy of Virtual Reality Rehabilitation Intervention for Patients with Low-back Pain: {A} Randomized Controlled Trial}, booktitle = {{AMIA} 2015, American Medical Informatics Association Annual Symposium, San Francisco, CA, USA, November 14-18, 2015}, publisher = {{AMIA}}, year = {2015}, url = {https://knowledge.amia.org/59310-amia-1.2741865/t005-1.2744350/f005-1.2744351/2249171-1.2744511/2235138-1.2744508}, timestamp = {Wed, 17 Apr 2024 11:47:40 +0200}, biburl = {https://dblp.org/rec/conf/amia/SuYLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/YangLLYKK15, author = {Vivian Yang and Jia{-}Ying Lin and Kyle Shih{-}Huang Lo and Chia{-}Hung Yeh and Chia{-}Chen Kuo and Li{-}Wei Kang}, title = {Power saving on mobile devices through contrast-aware backlight control}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2015, Hong Kong, December 16-19, 2015}, pages = {946--951}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APSIPA.2015.7415412}, doi = {10.1109/APSIPA.2015.7415412}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/YangLLYKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/HouYW15, author = {Cheng{-}An Hou and Yi{-}Ren Yeh and Yu{-}Chiang Frank Wang}, title = {An unsupervised domain adaptation approach for cross-domain visual classification}, booktitle = {12th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2015, Karlsruhe, Germany, August 25-28, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AVSS.2015.7301758}, doi = {10.1109/AVSS.2015.7301758}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/HouYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdata/ChenZYLL15, author = {Chi{-}Ou Chen and Ye{-}Qi Zhuo and Chao{-}Chun Yeh and Che{-}Min Lin and Shih{-}Wei Liao}, editor = {Barbara Carminati and Latifur Khan}, title = {Machine Learning-Based Configuration Parameter Tuning on Hadoop System}, booktitle = {2015 {IEEE} International Congress on Big Data, New York City, NY, USA, June 27 - July 2, 2015}, pages = {386--392}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BigDataCongress.2015.64}, doi = {10.1109/BIGDATACONGRESS.2015.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdata/ChenZYLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChungLLSLHLWHHC15, author = {Yuan{-}Hung Chung and Che{-}Hung Liao and Chun{-}Wei Lin and Yi{-}Shing Shih and Chin{-}Fu Li and Meng{-}Hsiung Hung and Ming{-}Chung Liu and Pi{-}An Wu and Jui{-}Lin Hsu and Ming{-}Yeh Hsu and Sheng{-}Hao Chen and Po{-}Yu Chang and Chih{-}Hao Chen and Yu{-}Hsien Chang and Jun{-}Yu Chen and Tao{-}Yao Chang and George Chien}, title = {A dual-band 802.11abgn/ac transceiver with integrated {PA} and {T/R} switch in a digital noise controlled SoC}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338361}, doi = {10.1109/CICC.2015.7338361}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChungLLSLHLWHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/MaoHPWCCH15, author = {Chen{-}Nien Mao and Mu{-}Han Huang and Satyajit Padhy and Shu{-}Ting Wang and Wu{-}Chun Chung and Yeh{-}Ching Chung and Cheng{-}Hsin Hsu}, title = {Minimizing Latency of Real-Time Container Cloud for Software Radio Access Networks}, booktitle = {7th {IEEE} International Conference on Cloud Computing Technology and Science, CloudCom 2015, Vancouver, BC, Canada, November 30 - December 3, 2015}, pages = {611--616}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CloudCom.2015.67}, doi = {10.1109/CLOUDCOM.2015.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudcom/MaoHPWCCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/HsuCCCCLS15, author = {Shih{-}Wen Hsu and Tseng{-}Yi Chen and Yung{-}Chun Chang and Shuo{-}Han Chen and Han{-}Chieh Chao and Tsen{-}Yeh Lin and Wei{-}Kuan Shih}, title = {Design a Hash-Based Control Mechanism in vSwitch for Software-Defined Networking Environment}, booktitle = {2015 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2015, Chicago, IL, USA, September 8-11, 2015}, pages = {498--499}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CLUSTER.2015.81}, doi = {10.1109/CLUSTER.2015.81}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/HsuCCCCLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/HoWZCHHC15, author = {Su{-}Shien Ho and Chun{-}Feng Wu and Jiazheng Zhou and Wenguang Chen and Ching{-}Hsien Hsu and Hung{-}Chang Hsiao and Yeh{-}Ching Chung}, editor = {Sheikh Iqbal Ahamed and Carl K. Chang and William C. Chu and Ivica Crnkovic and Pao{-}Ann Hsiung and Gang Huang and Jingwei Yang}, title = {Distributed Metaserver Mechanism and Recovery Mechanism Support in Quantcast File System}, booktitle = {39th {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2015, Taichung, Taiwan, July 1-5, 2015. Volume 2}, pages = {758--763}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/COMPSAC.2015.109}, doi = {10.1109/COMPSAC.2015.109}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/HoWZCHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsdis/LinCHC15, author = {Yi{-}Lan Lin and Wu{-}Chun Chung and Cheng{-}Hsin Hsu and Yeh{-}Ching Chung}, title = {Community-Based {M2M} Framework Using Smart/HetNet Gateways for Internet of Things}, booktitle = {{IEEE} International Conference on Data Science and Data Intensive Systems, {DSDIS} 2015, Sydney, Australia, December 11-13, 2015}, pages = {688--695}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/DSDIS.2015.19}, doi = {10.1109/DSDIS.2015.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsdis/LinCHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emccompo/YuanYTC15, author = {Shih{-}Yi Yuan and Ting{-}Wei Yeh and Yung{-}Chi Tang and Chiu{-}Kuo Chen}, title = {Time-domain {EMI} measurement methodology}, booktitle = {10th International Workshop on the Electromagnetic Compatibility of Integrated Circuits, {EMC} Compo 2015, Edinburgh, UK, November 10-13, 2015}, pages = {174--178}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMCCompo.2015.7358352}, doi = {10.1109/EMCCOMPO.2015.7358352}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/emccompo/YuanYTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ercimdl/ChenYL15, author = {Ya{-}Ning Chen and Hui{-}Hsin Yeh and Po{-}Jui Lai}, editor = {Sarantos Kapidakis and Cezary Mazurek and Marcin Werla}, title = {The Influence and Interrelationships Among Chinese Library and Information Science Journals in Taiwan}, booktitle = {Research and Advanced Technology for Digital Libraries - 19th International Conference on Theory and Practice of Digital Libraries, {TPDL} 2015, Pozna{\'{n}}, Poland, September 14-18, 2015. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9316}, pages = {295--305}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24592-8\_22}, doi = {10.1007/978-3-319-24592-8\_22}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ercimdl/ChenYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/KuoBCYCCKJHS15, author = {Feng{-}Wei Kuo and Masoud Babaie and Huan{-}Neng Ron Chen and Kyle Yen and Jinn{-}Yeh Chien and Lanchou Cho and Fred Kuo and Chewnpu Jou and Fu{-}Lung Hsueh and Robert Bogdan Staszewski}, editor = {Wolfgang Pribyl and Franz Dielacher and Gernot Hueber}, title = {A fully integrated 28nm Bluetooth Low-Energy transmitter with 36{\%} system efficiency at 3dBm}, booktitle = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}, pages = {356--359}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSCIRC.2015.7313901}, doi = {10.1109/ESSCIRC.2015.7313901}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/KuoBCYCCKJHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fnc/KangWJTRGHY15, author = {Li{-}Wei Kang and Ming{-}Fang Weng and Chao{-}Long Jheng and Ching{-}Yu Tseng and Sudhish Kasaba Ramesh and Ankit Gureja and Huan{-}Cheng Hsu and Chia{-}Hung Yeh}, title = {Content-aware Image Retargeting for Image Display on Foldable Mobile Devices}, booktitle = {The 10th International Conference on Future Networks and Communications {(FNC} 2015) / The 12th International Conference on Mobile Systems and Pervasive Computing (MobiSPC 2015) / Affiliated Workshops, August 17-20, 2015, Belfort, France}, series = {Procedia Computer Science}, volume = {56}, pages = {104--110}, publisher = {Elsevier}, year = {2015}, url = {https://doi.org/10.1016/j.procs.2015.07.175}, doi = {10.1016/J.PROCS.2015.07.175}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/conf/fnc/KangWJTRGHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/YehLCL15, author = {Kuo{-}Hui Yeh and Nai{-}Wei Lo and Lin{-}Chih Chen and Ping{-}Hsien Lin}, title = {A fraud detection system for real-time messaging communication on Android Facebook messenger}, booktitle = {{IEEE} 4th Global Conference on Consumer Electronics, {GCCE} 2015, Osaka, Japan, 27-30 October 2015}, pages = {361--363}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GCCE.2015.7398737}, doi = {10.1109/GCCE.2015.7398737}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/YehLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/SuYLH15, author = {Wu{-}Chen Su and Shih{-}Ching Yeh and Si{-}Huei Lee and Hsiang{-}Chun Huang}, editor = {Margherita Antona and Constantine Stephanidis}, title = {A Virtual Reality Lower-Back Pain Rehabilitation Approach: System Design and User Acceptance Analysis}, booktitle = {Universal Access in Human-Computer Interaction. Access to Learning, Health and Well-Being - 9th International Conference, {UAHCI} 2015, Held as Part of {HCI} International 2015, Los Angeles, CA, USA, August 2-7, 2015, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {9177}, pages = {374--382}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-20684-4\_37}, doi = {10.1007/978-3-319-20684-4\_37}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/SuYLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YehLYL15, author = {Chia{-}Hung Yeh and Pin{-}Hsian Liu and Cheng{-}En Yu and Chih{-}Yang Lin}, title = {Single image rain removal based on part-based model}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {462--463}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216999}, doi = {10.1109/ICCE-TW.2015.7216999}, timestamp = {Fri, 26 Nov 2021 09:37:33 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/YehLYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HsuCHTYW15, author = {Tzu{-}Ming Harry Hsu and Wei{-}Yu Chen and Cheng{-}An Hou and Yao{-}Hung Hubert Tsai and Yi{-}Ren Yeh and Yu{-}Chiang Frank Wang}, title = {Unsupervised Domain Adaptation with Imbalanced Cross-Domain Data}, booktitle = {2015 {IEEE} International Conference on Computer Vision, {ICCV} 2015, Santiago, Chile, December 7-13, 2015}, pages = {4121--4129}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCV.2015.469}, doi = {10.1109/ICCV.2015.469}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HsuCHTYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChenHHYW15, author = {Wei{-}Yu Chen and Tzu{-}Ming Harry Hsu and Cheng{-}An Hou and Yi{-}Ren Yeh and Yu{-}Chiang Frank Wang}, title = {Connecting the dots without clues: Unsupervised domain adaptation for cross-domain visual classification}, booktitle = {2015 {IEEE} International Conference on Image Processing, {ICIP} 2015, Quebec City, QC, Canada, September 27-30, 2015}, pages = {3997--4001}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIP.2015.7351556}, doi = {10.1109/ICIP.2015.7351556}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChenHHYW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LinYCLCSLCHXLHH15, author = {Ching{-}Yung Lin and Danny L. Yeh and Nan Cao and Jui{-}Hsin Lai and Chun{-}Fu (Richard) Chen and Conglei Shi and Jie Lu and Jason Crawford and Keith Houck and Yinglong Xia and Wan{-}Yi Sabrina Lin and Richard B. Hull and Fenno F. Terry Heath III and Piyawadee Sukaviriya and SweeFen Goh}, title = {{IBM} system {G} Social Media Solution: Analyze multimedia content, people, and network dynamics in context}, booktitle = {2015 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2015, Turin, Italy, June 29 - July 3, 2015}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICMEW.2015.7169748}, doi = {10.1109/ICMEW.2015.7169748}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/LinYCLCSLCHXLHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiuCWLCCCWCHYCL15, author = {Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chia{-}Lin Ho and Chi{-}Cheng Ju}, title = {Energy and area efficient hardware implementation of 4K Main-10 {HEVC} decoder in Ultra-HD Blu-ray player and {TV} systems}, booktitle = {2015 {IEEE} International Conference on Multimedia and Expo, {ICME} 2015, Turin, Italy, June 29 - July 3, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICME.2015.7177399}, doi = {10.1109/ICME.2015.7177399}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiuCWLCCCWCHYCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/KaoCK15, author = {Tai{-}Chien Kao and Yu{-}Yeh Chen and Yi{-}No Kang}, title = {A Study of Online Tutors' Teaching Efficacy and Effectiveness in Eastern Taiwan}, booktitle = {{IIAI} 4th International Congress on Advanced Applied Informatics, {IIAI-AAI} 2015, Okayama, Japan, July 12-16, 2015}, pages = {287--290}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IIAI-AAI.2015.296}, doi = {10.1109/IIAI-AAI.2015.296}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/KaoCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChiuLTWLCWHHLCC15, author = {Cheng Pu Chiu and Yen{-}Chun Liu and Bin{-}Siang Tsai and Yi{-}Jing Wang and Yeh{-}Sheng Lin and Yun{-}Ru Chen and Chien{-}Lin Weng and Sheng{-}Yuan Hsueh and Jack Hung and Ho{-}Yu Lai and Jei{-}Ming Chen and Albert H.{-}B. Cheng and Chien{-}Chung Huang}, title = {{TDDB} improvement of copper/dielectric in the highly-integrated {BEOL} structure for 28nm technology node and beyond}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112701}, doi = {10.1109/IRPS.2015.7112701}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ChiuLTWLCWHHLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ChienHCW15, author = {Hsi{-}An Chien and Szu{-}Yuan Han and Ye{-}Hong Chen and Ting{-}Chi Wang}, editor = {Azadeh Davoodi and Evangeline F. Y. Young}, title = {A Cell-Based Row-Structure Layout Decomposer for Triple Patterning Lithography}, booktitle = {Proceedings of the 2015 Symposium on International Symposium on Physical Design, {ISPD} 2015, Monterey, CA, USA, March 29 - April 1, 2015}, pages = {67--74}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2717764.2717768}, doi = {10.1145/2717764.2717768}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/ChienHCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChangCYL15, author = {Hsin{-}Ju Chang and Yen{-}Lung Chen and Conan Yeh and Chien{-}Nan Jimmy Liu}, title = {Layout-aware analog synthesis environment with yield consideration}, booktitle = {Sixteenth International Symposium on Quality Electronic Design, {ISQED} 2015, Santa Clara, CA, USA, March 2-4, 2015}, pages = {589--593}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISQED.2015.7085493}, doi = {10.1109/ISQED.2015.7085493}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ChangCYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLLCCWWLHCLCLC15, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Fu{-}Chun Yeh and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Ryan Chen and H. Y. Hsu and Kevin Jou}, title = {18.6 {A} 0.5nJ/pixel 4K {H.265/HEVC} codec {LSI} for multi-format smartphone applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063063}, doi = {10.1109/ISSCC.2015.7063063}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JuLLCCWWLHCLCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/WuYC15, author = {Wush Chi{-}Hsuan Wu and Mi{-}Yen Yeh and Ming{-}Syan Chen}, editor = {Longbing Cao and Chengqi Zhang and Thorsten Joachims and Geoffrey I. Webb and Dragos D. Margineantu and Graham Williams}, title = {Predicting Winning Price in Real Time Bidding with Censored Data}, booktitle = {Proceedings of the 21th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Sydney, NSW, Australia, August 10-13, 2015}, pages = {1305--1314}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2783258.2783276}, doi = {10.1145/2783258.2783276}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/WuYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/ChenLZHCYH15, author = {Shuya Chen and Yu{-}Ching Lan and Yi{-}Ru Zheng and Ho Huang and Wen{-}Dien Chang and Shih{-}Ching Yeh and Ming{-}Chun Huang}, editor = {Emmanuel Baccelli and Hassan Ghasemzadeh and Gustavo Marfia and Krishna Kumar Venkatasubramanian}, title = {Usability of a Low-Cost Wearable Health Device for Physical Activity and Sleep Duration in Healthy Adults}, booktitle = {Proceedings of the 2015 Workshop on Pervasive Wireless Healthcare, MobileHealth@MobiHoc 2015, Hangzhou, China, June 22, 2015}, pages = {35--38}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2757290.2757298}, doi = {10.1145/2757290.2757298}, timestamp = {Mon, 28 Dec 2020 07:57:08 +0100}, biburl = {https://dblp.org/rec/conf/mobihoc/ChenLZHCYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qshine/XuTWZXWTCC15, author = {Yida Xu and Zhigang Tian and Wenqi Wang and Ming Zhao and Xibin Xu and Jing Wang and Wei Tan and Shih{-}Chang Chen and Yeh{-}Ching Chung}, title = {Evaluation of Inter-Cell Interference Coordination with {CAP} model}, booktitle = {11th International Conference on Heterogeneous Networking for Quality, Reliability, Security and Robustness, {QSHINE} 2015, Taipei, Taiwan, August 19-20, 2015}, pages = {160--165}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7332561/}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/qshine/XuTWZXWTCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ChengHY15, author = {Jen{-}Jung Cheng and Shih{-}Hao Hung and Chih Wei Yeh}, editor = {Esmaeil S. Nadimi and Tom{\'{a}}s Cern{\'{y}} and Sung{-}Ryul Kim and Wei Wang}, title = {Rapid analysis of interprocessor communications on heterogeneous system architectures via parallel cache emulation}, booktitle = {Proceedings of the 2015 Conference on research in adaptive and convergent systems, {RACS} 2015, Prague, Czech Republic, October 9-12, 2015}, pages = {418--423}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2811411.2811496}, doi = {10.1145/2811411.2811496}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/ChengHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rita/YehCHW15, author = {Chun{-}Hsiao Yeh and Herng{-}Hua Chang and Chen{-}Chien James Hsu and Wei{-}Yen Wang}, editor = {Jong{-}Hwan Kim and Fakhri Karray and Jun Jo and Peter Sincak and Hyun Myung}, title = {Simultaneous Localization and Mapping with a Dynamic Switching Mechanism {(SLAM-DSM)}}, booktitle = {Robot Intelligence Technology and Applications 4 - Results from the 4th International Conference on Robot Intelligence Technology and Applications, RiTA 2015, Bucheon, Korea, December 14-16, 2015}, series = {Advances in Intelligent Systems and Computing}, volume = {447}, pages = {55--64}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-31293-4\_5}, doi = {10.1007/978-3-319-31293-4\_5}, timestamp = {Thu, 10 May 2018 13:41:39 +0200}, biburl = {https://dblp.org/rec/conf/rita/YehCHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcity/WangCCHC15, author = {Lyu{-}Wei Wang and Shih{-}Chang Chen and Wenguang Chen and Hung{-}Chang Hsiao and Yeh{-}Ching Chung}, title = {BiFennel: Fast Bipartite Graph Partitioning Algorithm for Big Data}, booktitle = {2015 {IEEE} International Conference on Smart City/SocialCom/SustainCom/DataCom/SC2 2015, Chengdu, China, December 19-21, 2015}, pages = {715--720}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SmartCity.2015.153}, doi = {10.1109/SMARTCITY.2015.153}, timestamp = {Thu, 13 Apr 2023 12:52:26 +0200}, biburl = {https://dblp.org/rec/conf/smartcity/WangCCHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenTLLYKC15, author = {Chin{-}Chi Chen and Yung{-}Che Tsai and I{-}I Liu and Chia{-}Chun Lai and Yi{-}Ting Yeh and Shu{-}Yu Kuo and Yao{-}Hsin Chou}, title = {A Novel Metaheuristic: Jaguar Algorithm with Learning Behavior}, booktitle = {2015 {IEEE} International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015}, pages = {1595--1600}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SMC.2015.282}, doi = {10.1109/SMC.2015.282}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenTLLYKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChungTY15, author = {Yung{-}Hui Chung and Cheng{-}Hsun Tsai and Hsuan{-}Chin Yeh}, title = {A 5-b 1-GS/s 2.7-mW binary-search {ADC} in 90nm digital {CMOS}}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {25--29}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406902}, doi = {10.1109/SOCC.2015.7406902}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChungTY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wasa/ChenCCCCHLNTWZ15, author = {Kwang{-}Cheng Chen and Whai{-}En Chen and Wu{-}Chun Chung and Yeh{-}Ching Chung and Qimei Cui and Cheng{-}Hsin Hsu and Shao{-}Yu Lien and Zhisheng Niu and Zhigang Tian and Jing Wang and Liqiang Zhao}, editor = {Kuai Xu and Haojin Zhu}, title = {Efficient Network Structure of 5G Mobile Communications}, booktitle = {Wireless Algorithms, Systems, and Applications - 10th International Conference, {WASA} 2015, Qufu, China, August 10-12, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9204}, pages = {19--28}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21837-3\_3}, doi = {10.1007/978-3-319-21837-3\_3}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wasa/ChenCCCCHLNTWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/LiuCHWC14, author = {Tung{-}Kuan Liu and Yeh{-}Peng Chen and Zone{-}Yuan Hou and Chao{-}Chih Wang and Jyh{-}Horng Chou}, title = {Noninvasive evaluation of mental stress using by a refined rough set technique based on biomedical signals}, journal = {Artif. Intell. Medicine}, volume = {61}, number = {2}, pages = {97--103}, year = {2014}, url = {https://doi.org/10.1016/j.artmed.2014.05.001}, doi = {10.1016/J.ARTMED.2014.05.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/LiuCHWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ase/ChungLCJC14, author = {Wu{-}Chun Chung and Hung{-}Pin Lin and Shih{-}Chang Chen and Mon{-}Fong Jiang and Yeh{-}Ching Chung}, title = {JackHare: a framework for {SQL} to NoSQL translation using MapReduce}, journal = {Autom. Softw. Eng.}, volume = {21}, number = {4}, pages = {489--508}, year = {2014}, url = {https://doi.org/10.1007/s10515-013-0135-x}, doi = {10.1007/S10515-013-0135-X}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ase/ChungLCJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bjet/YehWWLCR14, author = {Shih{-}Ching Yeh and Jin{-}Liang Wang and Chin{-}Yeh Wang and Po{-}Han Lin and Gwo{-}Dong Chen and Albert A. Rizzo}, title = {Motion controllers for learners to manipulate and interact with 3D objects for mental rotation training}, journal = {Br. J. Educ. Technol.}, volume = {45}, number = {4}, pages = {666--675}, year = {2014}, url = {https://doi.org/10.1111/bjet.12059}, doi = {10.1111/BJET.12059}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bjet/YehWWLCR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/WuCYWC14, author = {Ting{-}Fang Wu and Ming{-}Chung Chen and Yao{-}Ming Yeh and Hwa{-}Pey Wang and Chien{-}Huey Sophie Chang}, title = {Is digital divide an issue for students with learning disabilities?}, journal = {Comput. Hum. Behav.}, volume = {39}, pages = {112--117}, year = {2014}, url = {https://doi.org/10.1016/j.chb.2014.06.024}, doi = {10.1016/J.CHB.2014.06.024}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/WuCYWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YehCCHYL14, author = {Yu{-}Ting Yeh and Hsiang{-}Yin Chen and Kuei{-}Ju Cheng and Ssu{-}An Hou and Yu{-}Hsuan Yen and Chien{-}Tsai Liu}, title = {Evaluating an online pharmaceutical education system for pharmacy interns in critical care settings}, journal = {Comput. Methods Programs Biomed.}, volume = {113}, number = {2}, pages = {682--689}, year = {2014}, url = {https://doi.org/10.1016/j.cmpb.2013.11.006}, doi = {10.1016/J.CMPB.2013.11.006}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/YehCCHYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LeeYLLY14, author = {Yih{-}Shing Lee and Tung{-}Wei Yen and Cheng{-}I Lin and Horng{-}Chih Lin and Yun Yeh}, title = {Electrical characteristics of amorphous In-Ga-Zn-O thin-film transistors prepared by radio frequency magnetron sputtering with varying oxygen flows}, journal = {Displays}, volume = {35}, number = {3}, pages = {165--170}, year = {2014}, url = {https://doi.org/10.1016/j.displa.2014.05.005}, doi = {10.1016/J.DISPLA.2014.05.005}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LeeYLLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/YehCCW14, author = {Han{-}Chih Yeh and Chau{-}Ching Chiong and Ming{-}Tang Chen and Huei Wang}, title = {Review of Millimeter-Wave {MMIC} Mixers}, journal = {{IEEE} Des. Test}, volume = {31}, number = {6}, pages = {38--45}, year = {2014}, url = {https://doi.org/10.1109/MDAT.2014.2360451}, doi = {10.1109/MDAT.2014.2360451}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/YehCCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/ChenL14a, author = {Szi{-}Wen Chen and Yeh{-}Chi Lai}, title = {A signal-processing-based technique for {P300} evoked potential detection with the applications into automated character recognition}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2014}, pages = {152}, year = {2014}, url = {https://doi.org/10.1186/1687-6180-2014-152}, doi = {10.1186/1687-6180-2014-152}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/ChenL14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ChangWCLYLTTTS14, author = {Yi{-}Chung Chang and Hsien{-}Tsai Wu and Hong{-}Ruei Chen and An{-}Bang Liu and Jung{-}Jen Yeh and Men{-}Tzung Lo and Jen{-}Ho Tsao and Chieh{-}Ju Tang and I{-}Ting Tsai and Cheuk{-}Kwan Sun}, title = {Application of a Modified Entropy Computational Method in Assessing the Complexity of Pulse Wave Velocity Signals in Healthy and Diabetic Subjects}, journal = {Entropy}, volume = {16}, number = {7}, pages = {4032--4043}, year = {2014}, url = {https://doi.org/10.3390/e16074032}, doi = {10.3390/E16074032}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ChangWCLYLTTTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/WeiYC14, author = {Chiang Wei and Hui{-}Chung Yeh and Yen{-}Chang Chen}, title = {Spatiotemporal Scaling Effect on Rainfall Network Design Using Entropy}, journal = {Entropy}, volume = {16}, number = {8}, pages = {4626--4647}, year = {2014}, url = {https://doi.org/10.3390/e16084626}, doi = {10.3390/E16084626}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/WeiYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/ChenNWYC14, author = {Gwo{-}Dong Chen and Nurkhamid and Chin{-}Yeh Wang and Shu{-}Han Yang and Po{-}Yao Chao}, title = {Self-Observation Model Employing an Instinctive Interface for Classroom Active Learning}, journal = {J. Educ. Technol. Soc.}, volume = {17}, number = {3}, pages = {14--26}, year = {2014}, url = {http://www.ifets.info/download\_pdf.php?j\_id=64\&a\_id=1487}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/ChenNWYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/YehLWW14, author = {Kun{-}Hao Yeh and Chao{-}Chin Liang and Kuang{-}che Wu and I{-}Chen Wu}, title = {2048-Bot Tournament in Taiwan}, journal = {J. Int. Comput. Games Assoc.}, volume = {37}, number = {3}, pages = {185--186}, year = {2014}, url = {https://doi.org/10.3233/ICG-2014-37310}, doi = {10.3233/ICG-2014-37310}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/YehLWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/YehLCL14, author = {Yi{-}Hsuan Yeh and Yuan{-}Cheng Lai and Yen{-}Hung Chen and Ching{-}Neng Lai}, title = {A bandwidth allocation algorithm with channel quality and QoS aware for {IEEE} 802.16 base stations}, journal = {Int. J. Commun. Syst.}, volume = {27}, number = {10}, pages = {1601--1615}, year = {2014}, url = {https://doi.org/10.1002/dac.2422}, doi = {10.1002/DAC.2422}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/YehLCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/HuangTLJLHLC14, author = {Chi{-}Cheng Huang and Shih{-}Hsin Tu and Heng{-}Hui Lien and Jaan{-}Yeh Jeng and Jung{-}Sen Liu and Ching{-}Shui Huang and Liang{-}Chuan Lai and Eric Y. Chuang}, title = {Estrogen receptor status prediction by gene component regression: a comparative study}, journal = {Int. J. Data Min. Bioinform.}, volume = {9}, number = {2}, pages = {149--171}, year = {2014}, url = {https://doi.org/10.1504/IJDMB.2014.059065}, doi = {10.1504/IJDMB.2014.059065}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/HuangTLJLHLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChenYLL14, author = {Chien{-}Sheng Chen and Ting{-}Yuan Yeh and Chin{-}Tan Lee and Chyuan{-}Der Lu}, title = {Application of Fault-Tolerant Mechanism to Reduce Pollution Attacks in Peer-to-Peer Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/792407}, doi = {10.1155/2014/792407}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChenYLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/HsuSCC14, author = {Ching{-}Hsien Hsu and Kenn Slagter and Shih{-}Chang Chen and Yeh{-}Ching Chung}, title = {Optimizing Energy Consumption with Task Consolidation in Clouds}, journal = {Inf. Sci.}, volume = {258}, pages = {452--462}, year = {2014}, url = {https://doi.org/10.1016/j.ins.2012.10.041}, doi = {10.1016/J.INS.2012.10.041}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/HsuSCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ChenCYCHYTHLCPL14, author = {Po{-}Ying Chen and Chi{-}Chang Chen and Wen{-}Kuan Yeh and Yukan Chang and Der{-}Chen Huang and Shyr{-}Shen Yu and Chwei{-}Shyong Tsai and Yu{-}Jung Huang and Wei{-}Cheng Lin and Shao{-}I Chu and Chung{-}Long Pan and Tsung{-}Hung Lin and Shyh{-}Chang Liu}, title = {Using Capacitance Sensor to Extract Characteristic Signals of Dozing from Skin Surface}, journal = {J. Sensors}, volume = {2014}, pages = {238350:1--238350:7}, year = {2014}, url = {https://doi.org/10.1155/2014/238350}, doi = {10.1155/2014/238350}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ChenCYCHYTHLCPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/MaYC14, author = {Shang{-}Pin Ma and Ching{-}Lung Yeh and Ping{-}Chang Chen}, title = {Service Composition Management: {A} Risk-Driven Approach}, journal = {J. Univers. Comput. Sci.}, volume = {20}, number = {3}, pages = {302--328}, year = {2014}, url = {https://doi.org/10.3217/jucs-020-03-0302}, doi = {10.3217/JUCS-020-03-0302}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jucs/MaYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kybernetes/ChenCHY14, author = {Yan{-}Kwang Chen and Fei{-}Rung Chiu and Yueh{-}Chuen Huang and Chien{-}Hua Yeh}, title = {Product image allocation and inventory control of online stores}, journal = {Kybernetes}, volume = {43}, number = {7}, pages = {968--983}, year = {2014}, url = {https://doi.org/10.1108/K-11-2013-0256}, doi = {10.1108/K-11-2013-0256}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kybernetes/ChenCHY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/YehCCW14, author = {Han{-}Chih Yeh and Ching{-}Chau Chiong and Ming{-}Tang Chen and Huei Wang}, title = {Advances in Silicon Based Millimeter-Wave Monolithic Integrated Circuits}, journal = {Micromachines}, volume = {5}, number = {4}, pages = {1373--1415}, year = {2014}, url = {https://doi.org/10.3390/mi5041373}, doi = {10.3390/MI5041373}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/YehCCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WuYHT14, author = {Yi{-}Leh Wu and Chun{-}Tsai Yeh and Wei{-}Chih Hung and Cheng{-}Yuan Tang}, title = {Gaze direction estimation using support vector machine with active appearance model}, journal = {Multim. Tools Appl.}, volume = {70}, number = {3}, pages = {2037--2062}, year = {2014}, url = {https://doi.org/10.1007/s11042-012-1220-z}, doi = {10.1007/S11042-012-1220-Z}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WuYHT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/YehTCLTBLL14, author = {Chia{-}Hung Yeh and Wen{-}Yu Tseng and Chia{-}Yen Chen and Yu{-}Dun Lin and Yi{-}Ren Tsai and Hsuan{-}I Bi and Yu{-}Ching Lin and Ho{-}Yi Lin}, title = {Popular music representation: chorus detection {\&} emotion recognition}, journal = {Multim. Tools Appl.}, volume = {73}, number = {3}, pages = {2103--2128}, year = {2014}, url = {https://doi.org/10.1007/s11042-013-1687-2}, doi = {10.1007/S11042-013-1687-2}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/YehTCLTBLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/YehCCS14, author = {Chien{-}Hung Yeh and H. Y. Chen and Chi{-}Wai Chow and Jiun{-}Yu Sung}, title = {Demonstration of using multi-band 16-QAM {OFDM} modulation with direct-detection in 10 GHz bandwidth for 37.3-Gb/s {PON}}, journal = {Photonic Netw. Commun.}, volume = {27}, number = {1}, pages = {28--33}, year = {2014}, url = {https://doi.org/10.1007/s11107-013-0422-2}, doi = {10.1007/S11107-013-0422-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/YehCCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/YehHL14, author = {Mei{-}Chen Yeh and Chih{-}Fan Hsu and Chia{-}Ju Lu}, title = {Fast salient object detection through efficient subwindow search}, journal = {Pattern Recognit. Lett.}, volume = {46}, pages = {60--66}, year = {2014}, url = {https://doi.org/10.1016/j.patrec.2014.05.006}, doi = {10.1016/J.PATREC.2014.05.006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/YehHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YehZSL14, author = {Chia{-}Hsien Yeh and Ziqi Zhao and Pi{-}Lan Shen and Yu{-}Cheng Lin}, title = {Optimization of an Optical Inspection System Based on the Taguchi Method for Quantitative Analysis of Point-of-Care Testing}, journal = {Sensors}, volume = {14}, number = {9}, pages = {16148--16158}, year = {2014}, url = {https://doi.org/10.3390/s140916148}, doi = {10.3390/S140916148}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YehZSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/HuangCYCC14, author = {Xin{-}Xian Huang and Mei{-}Juan Chen and Chia{-}Hung Yeh and Hao{-}Wen Chi and Chia{-}Yen Chen}, title = {Efficient multi-view video coding using inter-view information}, journal = {Signal Process. Image Commun.}, volume = {29}, number = {6}, pages = {667--677}, year = {2014}, url = {https://doi.org/10.1016/j.image.2014.03.005}, doi = {10.1016/J.IMAGE.2014.03.005}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spic/HuangCYCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/LeeHCCLYL14, author = {Chien{-}Hsing Lee and Shih{-}Hsien Hsu and Chien{-}Nan Chen and Ming{-}Yuan Cho and Foung{-}Tang Lin and Tzu{-}Kuei Yeh and Zhi{-}Wei Liu}, title = {Energy savings on frequency regulation of ship's cooling circuits}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {50}, number = {3}, pages = {1813--1825}, year = {2014}, url = {https://doi.org/10.1109/TAES.2014.130354}, doi = {10.1109/TAES.2014.130354}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/LeeHCCLYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/LuWYYWFT14, author = {Ching{-}Hu Lu and Chao{-}Lin Wu and Tsung{-}Han Yang and Hui{-}Wen Yeh and Mao{-}Yung Weng and Li{-}Chen Fu and Tsung{-}Yuan Charlie Tai}, title = {Energy-Responsive Aggregate Context for Energy Saving in a Multi-Resident Environment}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {11}, number = {3}, pages = {715--729}, year = {2014}, url = {https://doi.org/10.1109/TASE.2013.2290312}, doi = {10.1109/TASE.2013.2290312}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/LuWYYWFT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/LeeSYCHKL14, author = {Hung{-}yi Lee and Sz{-}Rung Shiang and Ching{-}feng Yeh and Yun{-}Nung Chen and Yu Huang and Sheng{-}yi Kong and Lin{-}Shan Lee}, title = {Spoken Knowledge Organization by Semantic Structuring and a Prototype Course Lecture System for Personalized Learning}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {22}, number = {5}, pages = {881--896}, year = {2014}, url = {https://doi.org/10.1109/TASLP.2014.2310993}, doi = {10.1109/TASLP.2014.2310993}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/LeeSYCHKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ShihLYLKLSW14, author = {Hsiu{-}Chuan Shih and Pei{-}Wen Luo and Jen{-}Chieh Yeh and Shu{-}Yen Lin and Ding{-}Ming Kwai and Shih{-}Lien Lu and Andre Schaefer and Cheng{-}Wen Wu}, title = {DArT: {A} Component-Based {DRAM} Area, Power, and Timing Modeling Tool}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {9}, pages = {1356--1369}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2323203}, doi = {10.1109/TCAD.2014.2323203}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ShihLYLKLSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/WuLCYHL14, author = {Po{-}Hsun Wu and Mark Po{-}Hung Lin and Tung{-}Chieh Chen and Ching{-}Feng Yeh and Tsung{-}Yi Ho and Bin{-}Da Liu}, title = {Exploring Feasibilities of Symmetry Islands and Monotonic Current Paths in Slicing Trees for Analog Placement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {33}, number = {6}, pages = {879--892}, year = {2014}, url = {https://doi.org/10.1109/TCAD.2014.2305831}, doi = {10.1109/TCAD.2014.2305831}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/WuLCYHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/HwangCY14, author = {Chih{-}Lyang Hwang and Chiang{-}Cheng Chiang and Yao{-}Wei Yeh}, title = {Adaptive Fuzzy Hierarchical Sliding-Mode Control for the Trajectory Tracking of Uncertain Underactuated Nonlinear Dynamic Systems}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {22}, number = {2}, pages = {286--299}, year = {2014}, url = {https://doi.org/10.1109/TFUZZ.2013.2253106}, doi = {10.1109/TFUZZ.2013.2253106}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/HwangCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LinHCY14, author = {Faa{-}Jeng Lin and Ying{-}Chih Hung and Jia{-}Ming Chen and Chao{-}Ming Yeh}, title = {Sensorless {IPMSM} Drive System Using Saliency Back-EMF-Based Intelligent Torque Observer With {MTPA} Control}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {10}, number = {2}, pages = {1226--1241}, year = {2014}, url = {https://doi.org/10.1109/TII.2014.2305591}, doi = {10.1109/TII.2014.2305591}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/LinHCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/YehLCCHC14, author = {Chia{-}Hung Yeh and Ming{-}Feng Li and Mei{-}Juan Chen and Ming{-}Chieh Chi and Xin{-}Xian Huang and Hao{-}Wen Chi}, title = {Fast Mode Decision Algorithm Through Inter-View Rate-Distortion Prediction for Multiview Video Coding System}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {10}, number = {1}, pages = {594--603}, year = {2014}, url = {https://doi.org/10.1109/TII.2013.2273308}, doi = {10.1109/TII.2013.2273308}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/YehLCCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tlt/ChaoLCH14, author = {Han{-}Chieh Chao and Chin{-}Feng Lai and Shih{-}Yeh Chen and Yueh{-}Min Huang}, title = {A M-Learning Content Recommendation Service by Exploiting Mobile Social Interactions}, journal = {{IEEE} Trans. Learn. Technol.}, volume = {7}, number = {3}, pages = {221--230}, year = {2014}, url = {https://doi.org/10.1109/TLT.2014.2323053}, doi = {10.1109/TLT.2014.2323053}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tlt/ChaoLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/WangCCC14, author = {Chun{-}Kai Wang and Yeh{-}Chi Chang and Hung{-}Ming Chen and Ching{-}Yu Chin}, title = {Clock Tree Synthesis Considering Slew Effect on Supply Voltage Variation}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {20}, number = {1}, pages = {3:1--3:23}, year = {2014}, url = {https://doi.org/10.1145/2651401}, doi = {10.1145/2651401}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/WangCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ChenCYCH14, author = {Chien{-}Nan (Shannon) Chen and Cing{-}yu Chu and Su{-}Ling Yeh and Hao{-}Hua Chu and Polly Huang}, title = {Modeling the QoE of Rate Changes in Skype/SILK VoIP Calls}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {22}, number = {6}, pages = {1781--1793}, year = {2014}, url = {https://doi.org/10.1109/TNET.2013.2286624}, doi = {10.1109/TNET.2013.2286624}, timestamp = {Wed, 25 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/ChenCYCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HongWYHHLWC14, author = {Ding{-}Yong Hong and Jan{-}Jan Wu and Pen{-}Chung Yew and Wei{-}Chung Hsu and Chun{-}Chen Hsu and Pangfeng Liu and Chien{-}Min Wang and Yeh{-}Ching Chung}, title = {Efficient and Retargetable Dynamic Binary Translation on Multicores}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {25}, number = {3}, pages = {622--632}, year = {2014}, url = {https://doi.org/10.1109/TPDS.2013.56}, doi = {10.1109/TPDS.2013.56}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HongWYHHLWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiouYCTHLLS14, author = {Wan{-}Rone Liou and Mei{-}Ling Yeh and Ping{-}Shin Chen and Chun{-}Chang Tseng and Tang{-}Yu Huang and Shu{-}Chia Lin and Cheng{-}Yu Lin and Chih{-}Hsiang Sun}, title = {Monolithic Low-EMI {CMOS} {DC-DC} Boost Converter for Portable Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {2}, pages = {420--424}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2243927}, doi = {10.1109/TVLSI.2013.2243927}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiouYCTHLLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/HeMCYS14, author = {Huihong He and Zhiyi Ma and Hongjie Chen and Chih{-}Yi Yeh and Weizhong Shao}, title = {An Aspect-Oriented Approach to SLA-Driven Monitoring Multi-tenant Cloud Application}, booktitle = {2014 {IEEE} 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27 - July 2, 2014}, pages = {857--864}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CLOUD.2014.118}, doi = {10.1109/CLOUD.2014.118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/HeMCYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/WuYW14, author = {Hsiang{-}Huang Wu and Tse{-}Chen Yeh and Chien{-}Min Wang}, title = {Multiple Two-Phase Data Processing with MapReduce}, booktitle = {2014 {IEEE} 7th International Conference on Cloud Computing, Anchorage, AK, USA, June 27 - July 2, 2014}, pages = {352--359}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CLOUD.2014.55}, doi = {10.1109/CLOUD.2014.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/WuYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-sighan/YehLLYC14, author = {Jui{-}Feng Yeh and Yun{-}Yun Lu and Chen{-}Hsien Lee and Yu{-}Hsiang Yu and Yong{-}Ting Chen}, editor = {Le Sun and Chengqing Zong and Min Zhang and Gina{-}Anne Levow}, title = {Chinese Word Spelling Correction Based on Rule Induction}, booktitle = {Proceedings of The Third {CIPS-SIGHAN} Joint Conference on Chinese Language Processing, Wuhan, China, October 20-21, 2014}, pages = {139--145}, publisher = {Association for Computational Linguistics}, year = {2014}, url = {https://doi.org/10.3115/v1/W14-6822}, doi = {10.3115/V1/W14-6822}, timestamp = {Fri, 06 Aug 2021 00:40:10 +0200}, biburl = {https://dblp.org/rec/conf/acl-sighan/YehLLYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/ChenWY14, author = {Chung{-}De Chen and Yu{-}Jen Wang and Chien{-}Hsien Yeh}, title = {Two-axis {MEMS} scanning mirror driven by a single {PZT} actuator}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2014, Besancon, France, July 8-11, 2014}, pages = {1261--1266}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/AIM.2014.6878255}, doi = {10.1109/AIM.2014.6878255}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/ChenWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KangYCL14, author = {Li{-}Wei Kang and Chia{-}Hung Yeh and Duan{-}Yu Chen and Chia{-}Tsung Lin}, title = {Self-learning-based signal decomposition for multimedia applications: {A} review and comparative study}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2014, Chiang Mai, Thailand, December 9-12, 2014}, pages = {1--9}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APSIPA.2014.7041778}, doi = {10.1109/APSIPA.2014.7041778}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/KangYCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/YehLFSH14, author = {Chia{-}Hung Yeh and Cheng{-}Wei Lee and Shu{-}Jhen Fan{-}Jiang and Yu{-}Hsien Sung and Wen{-}Jung Huang}, title = {Second order residual prediction for {HEVC} inter coding}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2014, Chiang Mai, Thailand, December 9-12, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APSIPA.2014.7041747}, doi = {10.1109/APSIPA.2014.7041747}, timestamp = {Sat, 17 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/YehLFSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/YehLTY14, author = {Jui{-}Feng Yeh and Chen{-}Hsien Lee and Yi{-}Shiuan Tan and Liang{-}Chih Yu}, title = {Topic model allocation of conversational dialogue records by Latent Dirichlet Allocation}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2014, Chiang Mai, Thailand, December 9-12, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APSIPA.2014.7041546}, doi = {10.1109/APSIPA.2014.7041546}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/YehLTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/HungCYDYW14, author = {Yu{-}Shiang Hung and Kuei{-}Ling B. Chen and Chi{-}Ta Yang and Guang{-}Feng Deng and Yi{-}Hsuan Yeh and Nien{-}Chu Wu}, title = {Data mining for analysing kiosk usage behavior patterns}, booktitle = {2014 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2014, New Taipei, Taiwan, August 18-22, 2014}, pages = {1115--1120}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CoASE.2014.6899465}, doi = {10.1109/COASE.2014.6899465}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/HungCYDYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HuangTTTYCCH14, author = {Da{-}Yuan Huang and Ming{-}Chang Tsai and Ying{-}Chao Tung and Min{-}Lun Tsai and Yen{-}Ting Yeh and Li{-}Wei Chan and Mike Y. Chen and Yi{-}Ping Hung}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Touchsense: expanding touch input vocabulary using different areas of users' finger pads}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014, Extended Abstracts}, pages = {167--168}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559206.2579521}, doi = {10.1145/2559206.2579521}, timestamp = {Thu, 06 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HuangTTTYCCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HuangTTTYCHC14, author = {Da{-}Yuan Huang and Ming{-}Chang Tsai and Ying{-}Chao Tung and Min{-}Lun Tsai and Yen{-}Ting Yeh and Li{-}Wei Chan and Yi{-}Ping Hung and Mike Y. Chen}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {TouchSense: expanding touchscreen input vocabulary using different areas of users' finger pads}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {189--192}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2557258}, doi = {10.1145/2556288.2557258}, timestamp = {Thu, 06 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HuangTTTYCHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/Yeh14, author = {Cheng{-}Yu Yeh}, title = {An efficient fixed codebook search for {G.729} speech codec derived from RCM-based search algorithm}, booktitle = {{IEEE} China Summit {\&} International Conference on Signal and Information Processing, ChinaSIP 2014, Xi'an, China, July 9-13, 2014}, pages = {76--79}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ChinaSIP.2014.6889205}, doi = {10.1109/CHINASIP.2014.6889205}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/chinasip/Yeh14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conielecomp/LinYY14, author = {Chih{-}Yang Lin and Cheng{-}Hao Yeh and Chia{-}Hung Yeh}, title = {Real-time vehicle color identification for surveillance videos}, booktitle = {24th International Conference on Electronics, Communications and Computing, {CONIELECOMP} 2014, Cholula, Puebla, Mexico, February 26-28, 2014}, pages = {59--64}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CONIELECOMP.2014.6808568}, doi = {10.1109/CONIELECOMP.2014.6808568}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/conielecomp/LinYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpsna/ShihCY14, author = {Chi{-}Sheng Shih and Hsin{-}Yi Chen and Zi{-}You Yeh}, title = {Service Recovery for Large Scale Distributed Publish and Subscription Services for Cyber-Physical Systems and Disaster Management}, booktitle = {2014 {IEEE} International Conference on Cyber-Physical Systems, Networks, and Applications, {CPSNA} 2014, Hong Kong, China, August 25-26, 2014}, pages = {87--93}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CPSNA.2014.27}, doi = {10.1109/CPSNA.2014.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpsna/ShihCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/HsiaoYC14, author = {Shen{-}Fu Hsiao and Guan{-}Fu Yeh and Je{-}Chi Chen}, title = {Design and Implementation of Multiple-Vehicle Detection and Tracking Systems with Machine Learning}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {551--558}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.66}, doi = {10.1109/DSD.2014.66}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/HsiaoYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JuLCWLCCCWCHYCLWCT14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yung{-}Chang Chang and Chih{-}Ming Wang and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Fu{-}Chun Yeh and Shun{-}Hsiang Chuang and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Chung{-}Hung Tsai}, title = {A 0.2nJ/pixel 4K 60fps Main-10 {HEVC} decoder with multi-format capabilities for {UHD-TV} applications}, booktitle = {{ESSCIRC} 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014}, pages = {195--198}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESSCIRC.2014.6942055}, doi = {10.1109/ESSCIRC.2014.6942055}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JuLCWLCCCWCHYCLWCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/ChiangY14, author = {Chiang{-}Cheng Chiang and Yao{-}Wei Yeh}, title = {Hierarchical fuzzy sliding-mode control for uncertain nonlinear under-actuated systems}, booktitle = {{IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2014, Beijing, China, July 6-11, 2014}, pages = {662--669}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FUZZ-IEEE.2014.6891568}, doi = {10.1109/FUZZ-IEEE.2014.6891568}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/ChiangY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/SungMLYC14, author = {Yu{-}Hsien Sung and Kahlil Muchtar and Hsiang{-}Erh Lai and Chia{-}Hung Yeh and Chia{-}Yen Chen}, title = {Automated reconstruction of 3D object on embedded system for mobile apps}, booktitle = {{IEEE} 3rd Global Conference on Consumer Electronics, {GCCE} 2014, Tokyo, Japan, 7-10 October 2014}, pages = {65--66}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GCCE.2014.7031340}, doi = {10.1109/GCCE.2014.7031340}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gcce/SungMLYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YehTLG14, author = {Chingwei Yeh and Chen{-}Yao Tsai and Tay{-}Jyi Lin and Jiun{-}In Guo}, title = {Maintaining color fidelity for dual-shot {HDR} imaging}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2014, Taipei, Taiwan, May 26-28, 2014}, pages = {65--66}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE-TW.2014.6904103}, doi = {10.1109/ICCE-TW.2014.6904103}, timestamp = {Thu, 25 Nov 2021 16:44:13 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/YehTLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icchp/ChenCWKY14, author = {Ming{-}Chung Chen and Chen{-}Ming Chen and Ting{-}Fang Wu and Ya{-}Ping Wu and Chien{-}Chuan Ko and Yao{-}Ming Yeh}, editor = {Klaus Miesenberger and Deborah I. Fels and Dominique Archambault and Petr Pen{\'{a}}z and Wolfgang L. Zagler}, title = {Different {ICT} Competency but Similar Pattern between Students with/without Learning Disabilities? - Results from Structural Equation Modeling Testing}, booktitle = {Computers Helping People with Special Needs - 14th International Conference, {ICCHP} 2014, Paris, France, July 9-11, 2014, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8548}, pages = {528--531}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-08599-9\_78}, doi = {10.1007/978-3-319-08599-9\_78}, timestamp = {Tue, 29 Dec 2020 18:41:23 +0100}, biburl = {https://dblp.org/rec/conf/icchp/ChenCWKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/HuangCCCYH14, author = {Da{-}Yuan Huang and Shen{-}Chi Chen and Li{-}Erh Chang and Po{-}Shiun Chen and Yen{-}Ting Yeh and Yi{-}Ping Hung}, title = {I-m-Cave: An interactive tabletop system for virtually touring Mogao Caves}, booktitle = {{IEEE} International Conference on Multimedia and Expo, {ICME} 2014, Chengdu, China, July 14-18, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICME.2014.6890233}, doi = {10.1109/ICME.2014.6890233}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/HuangCCCYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/YehLCH14, author = {Ming{-}Feng Yeh and Hung{-}Ching Lu and Ti{-}Hung Chen and Po{-}Jung Huang}, title = {System identification using differential evolution with winner mutation strategy}, booktitle = {2014 International Conference on Machine Learning and Cybernetics, Lanzhou, China, July 13-16, 2014}, pages = {77--81}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICMLC.2014.7009095}, doi = {10.1109/ICMLC.2014.7009095}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/YehLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChangLCHJ14, author = {De Wei Chang and Chin{-}Feng Lai and Shih{-}Yeh Chen and Yueh{-}Min Huang and Yu{-}Lin Jeng}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {A Concept Maps Oriented E-Book Content Design System for Evaluating Learning Performance}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {864--867}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.218}, doi = {10.1109/IIH-MSP.2014.218}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/ChangLCHJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChiouTY14, author = {Yih{-}Shyh Chiou and Fuan Tsai and Sheng{-}Cheng Yeh}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {A Dead-Reckoning Positioning Scheme Using Inertial Sensing for Location Estimation}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {377--380}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.100}, doi = {10.1109/IIH-MSP.2014.100}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/ChiouTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/YehLCL14, author = {Fu{-}Hao Yeh and Greg C. Lee and Ying{-}Ju Chen and Chien{-}Hsing Liao}, editor = {Junzo Watada and Akinori Ito and Jeng{-}Shyang Pan and Han{-}Chieh Chao and Chien{-}Ming Chen}, title = {Robust Handwriting Extraction and Lecture Video Summarization}, booktitle = {2014 Tenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2014, Kitakyushu, Japan, August 27-29, 2014}, pages = {357--360}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IIH-MSP.2014.95}, doi = {10.1109/IIH-MSP.2014.95}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iih-msp/YehLCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/LiuLYKLHK14, author = {Pin{-}Hsian Liu and Chih{-}Yang Lin and Chia{-}Hung Yeh and Li{-}Wei Kang and Kyle Shih{-}Huang Lo and Tai{-}Hwei Hwang and Chia{-}Chen Kuo}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Rain Removal Using Single Image based on Non-negative Matrix Factorization}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1137--1146}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1137}, doi = {10.3233/978-1-61499-484-8-1137}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/LiuLYKLHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/MuchtarYLXSK14, author = {Kahlil Muchtar and Chia{-}Hung Yeh and Cheng{-}Wei Lee and Wen{-}Hung Xu and Po{-}Yi Sung and Chia{-}Chen Kuo}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Heartbeat measurement based on laser speckle fingerprint}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {2030--2036}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-2030}, doi = {10.3233/978-1-61499-484-8-2030}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/MuchtarYLXSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/TsaiHCCC14, author = {Chia{-}Ping Tsai and Hung{-}Chang Hsiao and Yu{-}Chang Chao and Cheng{-}Lung Chu and Yeh{-}Ching Chung}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {The Time Machine in NoSQL}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1317--1326}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1317}, doi = {10.3233/978-1-61499-484-8-1317}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/TsaiHCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/YehCYCWC14, author = {Dowming Yeh and Yi{-}Hong Chen and Chih{-}Ying Yang and Li{-}Wei Chen and Ying{-}Hsiu Wang and Kai{-}Wei Chen}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Applying reverse engineering and complexity analysis to refine a cost estimation model based on function point}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1753--1762}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1753}, doi = {10.3233/978-1-61499-484-8-1753}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/YehCYCWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYWWHHCJC14, author = {Wei{-}Chang Liu and Fu{-}Chun Yeh and Chia{-}Yi Wu and Ting{-}Chen Wei and Ya{-}Shiue Huang and Shen{-}Jui Huang and Ching{-}Da Chan and Shyh{-}Jye Jou and Sau{-}Gee Chen}, title = {An {IEEE} 802.15.3c/802.11ad compliant {SC/OFDM} dual-mode baseband receiver for 60 GHz Band}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1006--1009}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865308}, doi = {10.1109/ISCAS.2014.6865308}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYWWHHCJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangLOHWCCHTTTL14, author = {Alice Wang and Tsung{-}Yao Lin and Shichin Ouyang and Wei{-}Hung Huang and Jidong Wang and Shu{-}Hsin Chang and Sheng{-}Ping Chen and Chun{-}Hsiung Hu and J. C. Tai and Koan{-}Sin Tan and Meng{-}Nan Tsou and Ming{-}Hsien Lee and Gordon Gammie and Chi{-}Wei Yang and Chih{-}Chieh Yang and Yeh{-}Chi Chou and Shih{-}Hung Lin and Wuan Kuo and Chi{-}Jui Chung and Lee{-}Kee Yong and Chia{-}Wei Wang and Kin Hooi Dia and Cheng{-}Hsing Chien and You{-}Ming Tsao and N. K. Singh and Rolf Lagerquist and Chih{-}Cheng Chen and Uming Ko}, title = {10.3 heterogeneous multi-processing quad-core {CPU} and dual-GPU design for optimal performance, power, and thermal tradeoffs in a 28nm mobile application processor}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {180--181}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757390}, doi = {10.1109/ISSCC.2014.6757390}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangLOHWCCHTTTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kbse/MaYHC14, author = {Zhiyi Ma and Chih{-}Yi Yeh and Huihong He and Hongjie Chen}, editor = {Ivica Crnkovic and Marsha Chechik and Paul Gr{\"{u}}nbacher}, title = {A web based {UML} modeling tool with touch screens}, booktitle = {{ACM/IEEE} International Conference on Automated Software Engineering, {ASE} '14, Vasteras, Sweden - September 15 - 19, 2014}, pages = {835--838}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2642937.2648619}, doi = {10.1145/2642937.2648619}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kbse/MaYHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/HungYLPC14, author = {Yu{-}Ping Hung and Han{-}Yun Yeh and I{-}Bin Liao and Chen{-}Ming Pan and Chen{-}Yu Chiang}, title = {An investigation on linguistic features for Mandarin prosody generation}, booktitle = {2014 17th Oriental Chapter of the International Committee for the Co-ordination and Standardization of Speech Databases and Assessment Techniques (COCOSDA), Phuket, Thailand, September 10-12, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICSDA.2014.7051426}, doi = {10.1109/ICSDA.2014.7051426}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/HungYLPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ChenCYL14, author = {Pei{-}Ke Chen and Hung{-}Kuo Chu and Chih{-}Kuo Yeh and Tong{-}Yee Lee}, title = {Figure-ground image generation using contour matching and rigid shape deformation}, booktitle = {{SIGGRAPH} Asia 2014 Posters, Shenzhen, China, December 3-6, 2014}, pages = {29}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2668975.2669017}, doi = {10.1145/2668975.2669017}, timestamp = {Tue, 21 Nov 2023 11:59:25 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/ChenCYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HsiehCYC14, author = {Shang{-}Lin Hsieh and Ke{-}Ren Chen and Ching{-}Long Yeh and Chun{-}Che Chen}, title = {An unfixed-position smartphone-based fall detection scheme}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {2077--2081}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SMC.2014.6974228}, doi = {10.1109/SMC.2014.6974228}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/HsiehCYC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LaiHHLYCL14, author = {Jyu{-}Yuan Lai and Chih{-}Tsun Huang and Ting{-}Shuo Hsu and Jing{-}Jia Liou and Tung{-}Hua Yeh and Liang{-}Chia Cheng and Juin{-}Ming Lu}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {Methodology of exploring {ESL/RTL} many-core platforms for developing embedded parallel applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {286--291}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948942}, doi = {10.1109/SOCC.2014.6948942}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LaiHHLYCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/WuYLCC14, author = {I{-}Chen Wu and Kun{-}Hao Yeh and Chao{-}Chin Liang and Chia{-}Chuan Chang and Han Chiang}, editor = {Shin{-}Ming Cheng and Min{-}Yuh Day}, title = {Multi-Stage Temporal Difference Learning for 2048}, booktitle = {Technologies and Applications of Artificial Intelligence, 19th International Conference, {TAAI} 2014, Taipei, Taiwan, November 21-23, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8916}, pages = {366--378}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13987-6\_34}, doi = {10.1007/978-3-319-13987-6\_34}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/WuYLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/OuLWCLYLGSC14, author = {Shun{-}Hsing Ou and Yu{-}Chen Lu and Jui{-}Pin Wang and Shao{-}Yi Chien and Shou{-}De Lin and Mi{-}Yen Yeh and Chia{-}Han Lee and Phillip B. Gibbons and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Communication-efficient multi-view keyframe extraction in distributed video sensors}, booktitle = {2014 {IEEE} Visual Communications and Image Processing Conference, {VCIP} 2014, Valletta, Malta, December 7-10, 2014}, pages = {13--16}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VCIP.2014.7051492}, doi = {10.1109/VCIP.2014.7051492}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vcip/OuLWCLYLGSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/ChenYLW14, author = {Chia{-}I Chen and Chin{-}Yeh Yu and Yen{-}Ju Lu and Chi{-}Feng Wu}, title = {Apply high-level synthesis design and verification methodology on floating-point unit implementation}, booktitle = {Technical Papers of 2014 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2014, Hsinchu, Taiwan, April 28-30, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSI-DAT.2014.6834921}, doi = {10.1109/VLSI-DAT.2014.6834921}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/ChenYLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/WangLYCHYLG14, author = {Po{-}Han Wang and Gen{-}Hong Liu and Jen{-}Chieh Yeh and Tse{-}Min Chen and Hsu{-}Yao Huang and Chia{-}Lin Yang and Shih{-}Lien Liu and James Greensky}, title = {Full system simulation framework for integrated {CPU/GPU} architecture}, booktitle = {Technical Papers of 2014 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2014, Hsinchu, Taiwan, April 28-30, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSI-DAT.2014.6834872}, doi = {10.1109/VLSI-DAT.2014.6834872}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/WangLYCHYLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JuLWCWHLLCCCWCH14, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Huaide Wang and Yung{-}Chang Chang and Chih{-}Ming Wang and Chang{-}Lin Hsieh and Brian Liu and Hue{-}Min Lin and Chia{-}Yun Cheng and Chun{-}Chia Chen and Min{-}Hao Chiu and Sheng{-}Jen Wang and Ping Chao and Meng{-}Jye Hu and Ryan Yeh and Ted Chuang and Hsiu{-}Yi Lin and Chung{-}Hung Tsai}, title = {A 4K{\texttimes}2K@60fps multi-standard {TV} SoC processor with integrated {HDMI/MHL} receiver}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858389}, doi = {10.1109/VLSIC.2014.6858389}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JuLWCWHLLCCCWCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HsuLY14, author = {Bo{-}Kai Hsu and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {On Timing Synchronization for Quantity-based Modulation in Additive Inverse Gaussian Channel with Drift}, journal = {CoRR}, volume = {abs/1411.2443}, year = {2014}, url = {http://arxiv.org/abs/1411.2443}, eprinttype = {arXiv}, eprint = {1411.2443}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HsuLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ChuHCYTLLLCTK13, author = {Hsueh{-}Ting Chu and William W. L. Hsiao and Jen{-}Chih Chen and Tze{-}Jung Yeh and Mong{-}Hsun Tsai and Han Lin and Yen{-}Wenn Liu and Sheng{-}An Lee and Chaur{-}Chin Chen and Theresa Tsao and Cheng{-}Yan Kao}, title = {EBARDenovo: highly accurate \emph{de novo} assembly of RNA-Seq with efficient chimera-detection}, journal = {Bioinform.}, volume = {29}, number = {8}, pages = {1004--1010}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/btt092}, doi = {10.1093/BIOINFORMATICS/BTT092}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/ChuHCYTLLLCTK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/LeeHLCCYHLXC13, author = {Yi{-}Ying Lee and Chih{-}Yuan Hsu and Ling{-}Jiun Lin and Chih{-}Chun Chang and Hsiao{-}Chun Cheng and Tsung{-}Hsien Yeh and Rei{-}Hsing Hu and Che Lin and Zhen Xie and Bor{-}Sen Chen}, title = {Systematic design methodology for robust genetic transistors based on {I/O} specifications via promoter-RBS libraries}, journal = {{BMC} Syst. Biol.}, volume = {7}, pages = {109}, year = {2013}, url = {https://doi.org/10.1186/1752-0509-7-109}, doi = {10.1186/1752-0509-7-109}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/LeeHLCCYHLXC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChungHYL13, author = {Yu{-}Feng Chung and Chung{-}Shing Hu and Cheng{-}Chang Yeh and Ching{-}Hsing Luo}, title = {How to standardize the pulse-taking method of traditional Chinese medicine pulse diagnosis}, journal = {Comput. Biol. Medicine}, volume = {43}, number = {4}, pages = {342--349}, year = {2013}, url = {https://doi.org/10.1016/j.compbiomed.2012.12.010}, doi = {10.1016/J.COMPBIOMED.2012.12.010}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChungHYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/WuLTHC13, author = {Yi{-}Chang Wu and Hao{-}Yeh Lee and Chen{-}Yu Tsai and Hsiao{-}Ping Huang and I{-}Lung Chien}, title = {Design and control of a reactive-distillation process for esterification of an alcohol mixture containing ethanol and n-butanol}, journal = {Comput. Chem. Eng.}, volume = {57}, pages = {63--77}, year = {2013}, url = {https://doi.org/10.1016/j.compchemeng.2013.01.002}, doi = {10.1016/J.COMPCHEMENG.2013.01.002}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/WuLTHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/WuTYH13, author = {Yi{-}Leh Wu and Cheng{-}Yuan Tang and Yuan{-}Ming Yeh and Wei{-}Chih Hung}, title = {Using Hilbert scan on statistical color space partitioning}, journal = {Comput. Electr. Eng.}, volume = {39}, number = {3}, pages = {957--969}, year = {2013}, url = {https://doi.org/10.1016/j.compeleceng.2012.12.015}, doi = {10.1016/J.COMPELECENG.2012.12.015}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/WuTYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/YehHK13, author = {I{-}Cheng Yeh and Kuan{-}Chieh Huang and Yau{-}Hwang Kuo}, title = {Spatial interpolation using {MLP-RBFN} hybrid networks}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {27}, number = {10}, pages = {1884--1901}, year = {2013}, url = {https://doi.org/10.1080/13658816.2013.769050}, doi = {10.1080/13658816.2013.769050}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gis/YehHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/HuangHY13, author = {Chi{-}Jung Huang and Shaw{-}Hwa Hwang and Cheng{-}Yu Yeh}, title = {A Method for Improving TIE-Based {VQ} Encoding Introducing {RI} Rules}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {1}, pages = {151--154}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.151}, doi = {10.1587/TRANSINF.E96.D.151}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/HuangHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/YehLWW13, author = {Kuo{-}Hui Yeh and Nai{-}Wei Lo and Tzong{-}Chen Wu and Chieh Wang}, title = {Secure e-Health System on Passive {RFID:} Outpatient Clinic and Emergency Care}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/752412}, doi = {10.1155/2013/752412}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/YehLWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/ChenNWYLC13, author = {Gwo{-}Dong Chen and Nurkhamid and Chin{-}Yeh Wang and Su{-}Hang Yang and Wei{-}Yuan Lu and Chih{-}Kai Chang}, title = {Digital Learning Playground: supporting authentic learning experiences in the classroom}, journal = {Interact. Learn. Environ.}, volume = {21}, number = {2}, pages = {172--183}, year = {2013}, url = {https://doi.org/10.1080/10494820.2012.705856}, doi = {10.1080/10494820.2012.705856}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/ChenNWYLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LaiLYLW13, author = {Yuan{-}Cheng Lai and Jian{-}Wei Lin and Yi{-}Hsuan Yeh and Ching{-}Neng Lai and Hui{-}Chuan Weng}, title = {A tracking system using location prediction and dynamic threshold for minimizing {SMS} delivery}, journal = {J. Commun. Networks}, volume = {15}, number = {1}, pages = {54--60}, year = {2013}, url = {https://doi.org/10.1109/JCN.2013.000010}, doi = {10.1109/JCN.2013.000010}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LaiLYLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/YehLC13, author = {Mei{-}Ling Yeh and Yao{-}Chian Lin and Chung{-}Cheng Chang}, title = {A Low-phase-noise {CMOS} {VCO} for k-band Application}, journal = {J. Circuits Syst. Comput.}, volume = {22}, number = {6}, year = {2013}, url = {https://doi.org/10.1142/S0218126613500400}, doi = {10.1142/S0218126613500400}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/YehLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChienWY13, author = {Hung{-}Yu Chien and Tzong{-}Chen Wu and Ming{-}Kuei Yeh}, title = {Provably Secure Gateway-Oriented Password-Based Authenticated Key Exchange Protocol Resistant to Password Guessing Attacks}, journal = {J. Inf. Sci. Eng.}, volume = {29}, number = {2}, pages = {249--265}, year = {2013}, url = {http://www.iis.sinica.edu.tw/page/jise/2013/201303\_04.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChienWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ShihLYC13, author = {Po{-}Jen Shih and Chia{-}Han Lee and Ping{-}Cheng Yeh and Kwang{-}Cheng Chen}, title = {Channel Codes for Reliability Enhancement in Molecular Communication}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {31}, number = {12-Supplement}, pages = {857--867}, year = {2013}, url = {https://doi.org/10.1109/JSAC.2013.SUP2.12130018}, doi = {10.1109/JSAC.2013.SUP2.12130018}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/ShihLYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/WuLYLC13, author = {Chih{-}Yao Wu and Pang{-}Chang Lan and Ping{-}Cheng Yeh and Chia{-}Han Lee and Chen{-}Mou Cheng}, title = {Practical Physical Layer Security Schemes for {MIMO-OFDM} Systems Using Precoding Matrix Indices}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {31}, number = {9}, pages = {1687--1700}, year = {2013}, url = {https://doi.org/10.1109/JSAC.2013.130904}, doi = {10.1109/JSAC.2013.130904}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/WuLYLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YehHY13, author = {Shang{-}Fu Yeh and Chih{-}Cheng Hsieh and Ka{-}Yi Yeh}, title = {A 3 Megapixel 100 Fps 2.8 {\(\mathrm{\mu}\)}m Pixel Pitch {CMOS} Image Sensor Layer With Built-in Self-Test for 3D Integrated Imagers}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {3}, pages = {839--849}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2233331}, doi = {10.1109/JSSC.2012.2233331}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YehHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/TsaiCYCHFIWCKL13, author = {Chia{-}Yang Tsai and Ching{-}Yeh Chen and Tomoo Yamakage and In Suk Chong and Yu{-}Wen Huang and Chih{-}Ming Fu and Takayuki Itoh and Takashi Watanabe and Takeshi Chujoh and Marta Karczewicz and Shawmin Lei}, title = {Adaptive Loop Filtering for Video Coding}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {7}, number = {6}, pages = {934--945}, year = {2013}, url = {https://doi.org/10.1109/JSTSP.2013.2271974}, doi = {10.1109/JSTSP.2013.2271974}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jstsp/TsaiCYCHFIWCKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jzusc/ChouTWY13, author = {Chih{-}ho Chou and Kuo{-}Yu Tsai and Tzong{-}Chen Wu and Kuo{-}Hui Yeh}, title = {Efficient and secure three-party authenticated key exchange protocol for mobile environments}, journal = {J. Zhejiang Univ. Sci. {C}}, volume = {14}, number = {5}, pages = {347--355}, year = {2013}, url = {https://doi.org/10.1631/jzus.C1200273}, doi = {10.1631/JZUS.C1200273}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jzusc/ChouTWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/WangCLSYJ13, author = {Chua{-}Chin Wang and Chih{-}Lin Chen and Jie{-}Jyun Li and Gang{-}Neng Sung and Tai{-}Hao Yeh and Chun{-}Ying Juan}, title = {A low-power transceiver design for FlexRay-based communication systems}, journal = {Microelectron. J.}, volume = {44}, number = {4}, pages = {359--366}, year = {2013}, url = {https://doi.org/10.1016/j.mejo.2012.10.009}, doi = {10.1016/J.MEJO.2012.10.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/WangCLSYJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/YangWYL13, author = {Wei{-}Bin Yang and Chi{-}Hsiung Wang and Sheng{-}Shih Yeh and Chao{-}Cheng Liao}, title = {A multiple frequency clock generator using wide operation frequency range phase interpolator}, journal = {Microelectron. J.}, volume = {44}, number = {8}, pages = {688--695}, year = {2013}, url = {https://doi.org/10.1016/j.mejo.2013.04.004}, doi = {10.1016/J.MEJO.2013.04.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/YangWYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ncn/HsiehLSYC13, author = {Ya{-}Ping Hsieh and Yen{-}Chi Lee and Po{-}Jen Shih and Ping{-}Cheng Yeh and Kwang{-}Cheng Chen}, title = {On the asynchronous information embedding for event-driven systems in molecular communications}, journal = {Nano Commun. Networks}, volume = {4}, number = {1}, pages = {2--13}, year = {2013}, url = {https://doi.org/10.1016/j.nancom.2012.11.001}, doi = {10.1016/J.NANCOM.2012.11.001}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ncn/HsiehLSYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChanCCYLLC13, author = {Yu{-}Chen Chan and Tai{-}Li Chou and Hsueh{-}Chih Chen and Yu{-}Chu Yeh and Joseph P. Lavallee and Keng{-}Chen Liang and Kuo{-}En Chang}, title = {Towards a neural circuit model of verbal humor processing: An fMRI study of the neural substrates of incongruity detection and resolution}, journal = {NeuroImage}, volume = {66}, pages = {169--176}, year = {2013}, url = {https://doi.org/10.1016/j.neuroimage.2012.10.019}, doi = {10.1016/J.NEUROIMAGE.2012.10.019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChanCCYLLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/SunCYC13, author = {Hung{-}Min Sun and Chiung{-}Hsun Chen and Chih{-}Wen Yeh and Yao{-}Hsin Chen}, title = {A collaborative routing protocol against routing disruptions in MANETs}, journal = {Pers. Ubiquitous Comput.}, volume = {17}, number = {5}, pages = {865--874}, year = {2013}, url = {https://doi.org/10.1007/s00779-012-0537-y}, doi = {10.1007/S00779-012-0537-Y}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/SunCYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenYTW13, author = {Chien{-}Hsing Chen and Bo{-}Kuan Yeh and Jaw{-}Luen Tang and Wei{-}Te Wu}, title = {Fabrication Quality Analysis of a Fiber Optic Refractive Index Sensor Created by CO\({}_{\mbox{2}}\) Laser Machining}, journal = {Sensors}, volume = {13}, number = {4}, pages = {4067--4087}, year = {2013}, url = {https://doi.org/10.3390/s130404067}, doi = {10.3390/S130404067}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenYTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ChenCY13, author = {Chiu{-}Chin Chen and An{-}Pin Chen and Pei{-}Yun Yeh}, title = {Modeling and simulation of the open-end equity mutual fund market in Taiwan by using self-organizing map}, journal = {Simul. Model. Pract. Theory}, volume = {36}, pages = {60--73}, year = {2013}, url = {https://doi.org/10.1016/j.simpat.2013.05.004}, doi = {10.1016/J.SIMPAT.2013.05.004}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpra/ChenCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/speech/YehCH13, author = {Cheng{-}Yu Yeh and Shun{-}Chieh Chang and Shaw{-}Hwa Hwang}, title = {A consistency analysis on an acoustic module for Mandarin text-to-speech}, journal = {Speech Commun.}, volume = {55}, number = {2}, pages = {266--277}, year = {2013}, url = {https://doi.org/10.1016/j.specom.2012.08.009}, doi = {10.1016/J.SPECOM.2012.08.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/speech/YehCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/YehFLC13, author = {Chia{-}Hung Yeh and Shu{-}Jhen Fan{-}Jiang and Chih{-}Yang Lin and Mei{-}Juan Chen}, title = {Temporal Video Transcoding Based on Frame Complexity Analysis for Mobile Video Communication}, journal = {{IEEE} Trans. Broadcast.}, volume = {59}, number = {1}, pages = {38--46}, year = {2013}, url = {https://doi.org/10.1109/TBC.2012.2220414}, doi = {10.1109/TBC.2012.2220414}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/YehFLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiuYWCJ13, author = {Wei{-}Chang Liu and Fu{-}Chun Yeh and Ting{-}Chen Wei and Ching{-}Da Chan and Shyh{-}Jye Jou}, title = {A Digital Golay-MPIC Time Domain Equalizer for {SC/OFDM} Dual-Modes at 60 GHz Band}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {60-I}, number = {10}, pages = {2730--2739}, year = {2013}, url = {https://doi.org/10.1109/TCSI.2013.2244321}, doi = {10.1109/TCSI.2013.2244321}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiuYWCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LoLCLTHY13, author = {Chen Kang Lo and Mao Lin Li and Li{-}Chun Chen and Yi{-}Shan Lu and Ren{-}Song Tsay and Hsu{-}Yao Huang and Jen{-}Chieh Yeh}, title = {Automatic generation of high-speed accurate {TLM} models for out-of-order pipelined bus}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {1s}, pages = {37:1--37:25}, year = {2013}, url = {https://doi.org/10.1145/2536747.2536759}, doi = {10.1145/2536747.2536759}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LoLCLTHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenC13, author = {Chi{-}Yeh Chen and Chih{-}Ping Chu}, title = {A 3.42-Approximation Algorithm for Scheduling Malleable Tasks under Precedence Constraints}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {24}, number = {8}, pages = {1479--1488}, year = {2013}, url = {https://doi.org/10.1109/TPDS.2012.258}, doi = {10.1109/TPDS.2012.258}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChenC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/LinLYCYL13, author = {Shih{-}Syun Lin and Chao{-}Hung Lin and I{-}Cheng Yeh and Shu{-}Huai Chang and Chih{-}Kuo Yeh and Tong{-}Yee Lee}, title = {Content-Aware Video Retargeting Using Object-Preserving Warping}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {19}, number = {10}, pages = {1677--1686}, year = {2013}, url = {https://doi.org/10.1109/TVCG.2013.75}, doi = {10.1109/TVCG.2013.75}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/LinLYCYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiHHTHLMHBTWW13, author = {Tsung{-}Yeh Li and Shi{-}Yu Huang and Hsuan{-}Jung Hsu and Chao{-}Wen Tzeng and Chih{-}Tsun Huang and Jing{-}Jia Liou and Hsi{-}Pin Ma and Po{-}Chiun Huang and Jenn{-}Chyou Bor and Ching{-}Cheng Tien and Chi{-}Hu Wang and Cheng{-}Wen Wu}, title = {AC-Plus Scan Methodology for Small Delay Testing and Characterization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {2}, pages = {329--341}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2187223}, doi = {10.1109/TVLSI.2012.2187223}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LiHHTHLMHBTWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-sighan/YehLWCS13, author = {Jui{-}Feng Yeh and Sheng{-}Feng Li and Mei{-}Rong Wu and Wen{-}Yi Chen and Mao{-}Chuan Su}, editor = {Liang{-}Chih Yu and Yuen{-}Hsien Tseng and Jingbo Zhu and Fuji Ren}, title = {Chinese Word Spelling Correction Based on N-gram Ranked Inverted Index List}, booktitle = {Proceedings of the Seventh {SIGHAN} Workshop on Chinese Language Processing, SIGHAN@IJCNLP 2013, Nagoya, Japan, October 14-18, 2013}, pages = {43--48}, publisher = {Asian Federation of Natural Language Processing}, year = {2013}, url = {https://aclanthology.org/W13-4407/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-sighan/YehLWCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/WeyCY13, author = {Yu{-}Che Wey and Mei{-}Juan Chen and Chia{-}Hung Yeh}, title = {Probability-based mode decision algorithm for scalable video coding}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2013, Kaohsiung, Taiwan, October 29 - November 1, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/APSIPA.2013.6694142}, doi = {10.1109/APSIPA.2013.6694142}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/WeyCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenHSYW13, author = {Shin{-}Shiun Chen and Chun{-}Kai Hsu and Hsiu{-}Chuan Shih and Jen{-}Chieh Yeh and Cheng{-}Wen Wu}, title = {Processor and {DRAM} integration by TSV-based 3-D stacking for power-aware SOCs}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {429--434}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509634}, doi = {10.1109/ASPDAC.2013.6509634}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenHSYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/KaoYCWLWC13, author = {Hsuan{-}Ling Kao and Chih{-}Sheng Yeh and Cheng{-}Lin Cho and B. W. Wang and P. C. Lee and B. H. Wei and Hsien{-}Chin Chiu}, editor = {Luk{\'{a}}s Sekanina and G{\"{o}}rschwin Fey and Jaan Raik and Snorre Aunet and Richard Ruzicka}, title = {Design of an S-band 0.35 {\(\mathrm{\mu}\)}m AlGaN/GaN {LNA} using cascode topology}, booktitle = {16th {IEEE} International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems, {DDECS} 2013, Karlovy Vary, Czech Republic, April 8-10, 2013}, pages = {250--253}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DDECS.2013.6549827}, doi = {10.1109/DDECS.2013.6549827}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ddecs/KaoYCWLWC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/ChenYMRW13, author = {Shuya Chen and Shih{-}Ching Yeh and Margaret McLaughlin and Albert A. Rizzo and Carolee J. Winstein}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Development of a Virtual Reality-Based Pinch Task for Rehabilitation in Chronic Hemiparesis}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1083--1089}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_123}, doi = {10.1007/978-94-007-7262-5\_123}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/ChenYMRW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emc/HuangCWSHCY13, author = {Ming{-}Chun Huang and Shuya Chen and Pa{-}Chun Wang and Mu{-}Chun Su and Yen{-}Po Hung and Chia{-}Huang Chang and Shih{-}Ching Yeh}, editor = {Yueh{-}Min Huang and Han{-}Chieh Chao and Der{-}Jiunn Deng and James J. Park}, title = {Automate Virtual Reality Rehabilitation Evaluation for Chronic Imbalance and Vestibular Dysfunction Patients}, booktitle = {Advanced Technologies, Embedded and Multimedia for Human-centric Computing - HumanCom and {EMC} 2013 [International Conference on Human-centric Computing, HumanCom 2013 / 8th International Conference on Embedded and Multimedia Computing, {EMC} 2013, Taipei, Taiwan, August 23-25, 2013]}, series = {Lecture Notes in Electrical Engineering}, volume = {260}, pages = {1099--1105}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-7262-5\_125}, doi = {10.1007/978-94-007-7262-5\_125}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emc/HuangCWSHCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChiuMYL13, author = {Hung{-}Jui Chiu and Ling{-}San Meng and Ping{-}Cheng Yeh and Chia{-}Han Lee}, title = {Near-optimal low complexity receiver design for diffusion-based molecular communication}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {3372--3377}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831593}, doi = {10.1109/GLOCOM.2013.6831593}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChiuMYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuangLLLY13, author = {Jiun{-}Ting Huang and Hsin{-}Yu Lai and Yen{-}Chi Lee and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {Distance estimation in concentration-based molecular communications}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {2587--2591}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831464}, doi = {10.1109/GLOCOM.2013.6831464}, timestamp = {Fri, 28 Apr 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HuangLLLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LinHLY13, author = {Wei{-}Ting Lin and Wei{-}Chih Hung and Kuan{-}Yu Lin and Ping{-}Cheng Yeh}, title = {Iterative decoding for uncompressed wireless video transmission}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {4050--4054}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831707}, doi = {10.1109/GLOCOM.2013.6831707}, timestamp = {Fri, 28 Apr 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LinHLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YangHCHHYH13, author = {Zhu{-}Jun Yang and Jie{-}Cheng Huang and Chun{-}Ting Chou and Hung{-}Yun Hsieh and Chin{-}Wei Hsu and Ping{-}Cheng Yeh and Alex Chia{-}Chun Hsu}, title = {Peer discovery for device-to-device {(D2D)} communication in {LTE-A} networks}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {665--670}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOMW.2013.6825064}, doi = {10.1109/GLOCOMW.2013.6825064}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/YangHCHHYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/greencom/ChenCCHSLBYLCCSH13, author = {Yong{-}Xiang Chen and Hsi{-}Chung Chen and Li{-}Xiang Chen and Jia{-}Wei Hu and Chuen{-}Kai Shie and Yu{-}Shan Lin and Pradnya Borade and Chau{-}Che Yeh and Han{-}Hong Lin and Siek{-}Siang Chiang and Yu{-}Chun Chen and Wei{-}Zen Sun and Yi{-}Ping Hung}, title = {Enhancing Adherence to Cognitive Behavioral Therapy for Insomnia through Machine and Social Persuasion}, booktitle = {2013 {IEEE} International Conference on Green Computing and Communications (GreenCom) and {IEEE} Internet of Things (iThings) and {IEEE} Cyber, Physical and Social Computing (CPSCom), Beijing, China, August 20-23, 2013}, pages = {750--757}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GreenCom-iThings-CPSCom.2013.135}, doi = {10.1109/GREENCOM-ITHINGS-CPSCOM.2013.135}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/greencom/ChenCCHSLBYLCCSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/LaiLCCL13, author = {Chin{-}Feng Lai and Man Lin and Shih{-}Yeh Chen and Han{-}Chieh Chao and Shin{-}Feng Lin}, title = {A Self-Adaptive QoE Streaming Service Integrated on Cloud Mobile Network}, booktitle = {10th {IEEE} International Conference on High Performance Computing and Communications {\&} 2013 {IEEE} International Conference on Embedded and Ubiquitous Computing, {HPCC/EUC} 2013, Zhangjiajie, China, November 13-15, 2013}, pages = {2330--2336}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HPCC.and.EUC.2013.335}, doi = {10.1109/HPCC.AND.EUC.2013.335}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/LaiLCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/WangYHWL13, author = {Li Wang and Chun{-}Jui Yeh and Min{-}Han Hsieh and Cheng{-}Tai Wu and Chieh{-}Lung Lu}, title = {System-impact analysis of a large-scale offshore wind farm connected to Taiwan power system}, booktitle = {2013 {IEEE} Industry Applications Society Annual Meeting, Lake Buena Vista, FL, USA, October 6-11, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IAS.2013.6682490}, doi = {10.1109/IAS.2013.6682490}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/iasam/WangYHWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/WangC13, author = {Chin{-}Yeh Wang and Gwo{-}Dong Chen}, title = {Combine Virtual Context and Self-Image as Video Portfolios to Increase Student's Reflection}, booktitle = {{IEEE} 13th International Conference on Advanced Learning Technologies, {ICALT} 2013, Beijing, China, July 15-18, 2013}, pages = {168--170}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICALT.2013.52}, doi = {10.1109/ICALT.2013.52}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/WangC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenY13a, author = {Chia{-}Ping Chen and Bing{-}Feng Yeh}, title = {Yet another Gaussian mixture model-based feature compensation method for robust noisy-digit recognition}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2013, Vancouver, BC, Canada, May 26-31, 2013}, pages = {8051--8055}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICASSP.2013.6639233}, doi = {10.1109/ICASSP.2013.6639233}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenY13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/YenYC13, author = {Chia{-}Chen Yen and Mi{-}Yen Yeh and Ming{-}Syan Chen}, editor = {Hui Xiong and George Karypis and Bhavani Thuraisingham and Diane J. Cook and Xindong Wu}, title = {An Efficient Approach to Updating Closeness Centrality and Average Path Length in Dynamic Networks}, booktitle = {2013 {IEEE} 13th International Conference on Data Mining, Dallas, TX, USA, December 7-10, 2013}, pages = {867--876}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICDM.2013.135}, doi = {10.1109/ICDM.2013.135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/YenYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/YehH13, author = {Ching{-}Hung Yeh and Chia{-}Cheng Hsu}, editor = {Dickson K. W. Chiu and Minhong Wang and Elvira Popescu and Qing Li and Rynson W. H. Lau and Timothy K. Shih and Chu{-}Sing Yang and Demetrios G. Sampson}, title = {The Learning Effect of Students' Cognitive Styles in Using Cloud Technology}, booktitle = {Advances in Web-Based Learning - {ICWL} 2013 Workshops - {USL} 2013, {IWSLL} 2013, {KMEL} 2013, {IWCWL} 2013, {WIL} 2013, and {IWEEC} 2013, Kenting, Taiwan, October 6-9, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8390}, pages = {155--163}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-662-46315-4\_16}, doi = {10.1007/978-3-662-46315-4\_16}, timestamp = {Wed, 24 Feb 2021 16:22:28 +0100}, biburl = {https://dblp.org/rec/conf/icwl/YehH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuYWHLHCJC13, author = {Wei{-}Chang Liu and Fu{-}Chun Yeh and Ting{-}Chen Wei and Ya{-}Shiue Huang and Tai{-}Yang Liu and Shen{-}Jui Huang and Ching{-}Da Chan and Shyh{-}Jye Jou and Sau{-}Gee Chen}, title = {A {SC/HSI} dual-mode baseband receiver with frequency-domain equalizer for {IEEE} 802.15.3c}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {793--796}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571966}, doi = {10.1109/ISCAS.2013.6571966}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuYWHLHCJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/ChengLLS13, author = {Chia{-}Hsin Cheng and Wei{-}Jia Luo and Yeh{-}Wei Lin and Chi{-}Chia Sun}, title = {Position location techniques in wireless sensor networks using reference node algorithm}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, pages = {73--74}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCE.2013.6570259}, doi = {10.1109/ISCE.2013.6570259}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/ChengLLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/WuLTYTTJ13, author = {Po{-}Chang Wu and Bin{-}Da Liu and Yu{-}Chen Teng and Chih{-}Yuan Yeh and Sheng{-}Hsiang Tseng and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {Novel automatic offset cancellation approach for capacitive {CMOS} {MEMS} accelerometers}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, pages = {147--148}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCE.2013.6570154}, doi = {10.1109/ISCE.2013.6570154}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isce/WuLTYTTJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenYW13, author = {Jian{-}Shiun Chen and Chingwei Yeh and Jinn{-}Shyan Wang}, title = {Self-super-cutoff power gating with state retention on a 0.3V 0.29fJ/cycle/gate 32b {RISC} core in 0.13{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {426--427}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487799}, doi = {10.1109/ISSCC.2013.6487799}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/ChiouYW13, author = {Yih{-}Shyh Chiou and Sheng{-}Cheng Yeh and Shang{-}Hung Wu}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {A Location-Estimation Experimental Platform Based on Error Propagation for Wireless Sensor Networks}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {151--160}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_16}, doi = {10.1007/978-94-007-6996-0\_16}, timestamp = {Tue, 07 Nov 2023 11:31:29 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/ChiouYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ChenLHJ13, author = {Shih{-}Yeh Chen and Chin{-}Feng Lai and Yueh{-}Min Huang and Yu{-}Lin Jeng}, editor = {Roberto Saracco and Khaled Ben Letaief and Mario Gerla and Sergio Palazzo and Luigi Atzori}, title = {Intelligent home-appliance recognition over IoT cloud network}, booktitle = {2013 9th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2013, Sardinia, Italy, July 1-5, 2013}, pages = {639--643}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IWCMC.2013.6583632}, doi = {10.1109/IWCMC.2013.6583632}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/ChenLHJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/LuHY13, author = {Chia{-}Ju Lu and Chih{-}Fan Hsu and Mei{-}Chen Yeh}, editor = {Alejandro Jaimes and Nicu Sebe and Nozha Boujemaa and Daniel Gatica{-}Perez and David A. Shamma and Marcel Worring and Roger Zimmermann}, title = {Real-time salient object detection}, booktitle = {{ACM} Multimedia Conference, {MM} '13, Barcelona, Spain, October 21-25, 2013}, pages = {401--402}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2502081.2502240}, doi = {10.1145/2502081.2502240}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/LuHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/SuCCYH13, author = {Yu{-}Chuan Su and Tzu{-}Hsuan Chiu and Yan{-}Ying Chen and Chun{-}Yen Yeh and Winston H. Hsu}, editor = {Alejandro Jaimes and Nicu Sebe and Nozha Boujemaa and Daniel Gatica{-}Perez and David A. Shamma and Marcel Worring and Roger Zimmermann}, title = {Enabling low bitrate mobile visual recognition: a performance versus bandwidth evaluation}, booktitle = {{ACM} Multimedia Conference, {MM} '13, Barcelona, Spain, October 21-25, 2013}, pages = {73--82}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2502081.2502110}, doi = {10.1145/2502081.2502110}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/SuCCYH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/HuangCFGH13, author = {Cheng{-}Yeh Huang and Min{-}Yu Chiang and Shih{-}Kang Fan and Amir M. Ghaemmaghami and Wensyang Hsu}, title = {Label-free separation and sorting of human monocytes and T-cells by electrowetting and dielectrophoresis}, booktitle = {8th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2013, Suzhou, China, April 7-10, 2013}, pages = {873--876}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NEMS.2013.6559863}, doi = {10.1109/NEMS.2013.6559863}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/HuangCFGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/XuSYCCCYT13, author = {Ke Xu and Jiun{-}Yu Sung and Ling{-}Gang Yang and Yimin Chen and Zhenzhou Cheng and Chi{-}Wai Chow and Chien{-}Hung Yeh and Hon Ki Tsang}, title = {Experimental demonstration of multi-level modulation on {OFDM} signals using integrated silicon modulators}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1364/OFC.2013.OW1G.5}, doi = {10.1364/OFC.2013.OW1G.5}, timestamp = {Thu, 26 Sep 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/ofc/XuSYCCCYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/YehYCLL13, author = {Chun{-}Hsien Yeh and Ying{-}Hao Yu and Pei{-}Yin Chen and Chih{-}Yuan Lien and Jian{-}He Lin}, title = {Mobile Nursery Construction with Alignment of Sensors for Orchids Breeding}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {299--302}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.74}, doi = {10.1109/RVSP.2013.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/YehYCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/YuYCLCL13, author = {Ying{-}Hao Yu and Chun{-}Hsien Yeh and Yuh{-}Kuang Chen and Ping{-}Hsuan Lai and Pei{-}Yin Chen and Chih{-}Yuan Lien}, title = {A Practical Survey of Evaporative Cooling System for Orchids Greenhouse}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {303--306}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.75}, doi = {10.1109/RVSP.2013.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/YuYCLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/YenCCYCH13, author = {Yu{-}Chuan Yen and Cing{-}yu Chu and Chien{-}Nan (Shannon) Chen and Su{-}Ling Yeh and Hao{-}Hua Chu and Polly Huang}, editor = {Dah Ming Chiu and Jia Wang and Paul Barford and Srinivasan Seshan}, title = {Exponential quantization: user-centric rate control for skype calls}, booktitle = {{ACM} {SIGCOMM} 2013 Conference, {SIGCOMM} 2013, Hong Kong, August 12-16, 2013}, pages = {551--552}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2486001.2491708}, doi = {10.1145/2486001.2491708}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/YenCCYCH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChenWSYL13, author = {Hsiao{-}Hui Chen and Ping{-}Feng Wang and Ching{-}Tien Sung and Yi{-}Ren Yeh and Yuh{-}Jye Lee}, title = {Energy Disaggregation via Clustered Regression Models: {A} Case Study in the Convenience Store}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2013, Taipei, Taiwan, December 6-8, 2013}, pages = {37--42}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TAAI.2013.21}, doi = {10.1109/TAAI.2013.21}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/taai/ChenWSYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LiLCYT13, author = {Mao Lin Li and Chen Kang Lo and Li{-}Chun Chen and Jen{-}Chieh Yeh and Ren{-}Song Tsay}, title = {A Cycle Count Accurate {TLM} bus modeling approach}, booktitle = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLDI-DAT.2013.6533807}, doi = {10.1109/VLDI-DAT.2013.6533807}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LiLCYT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/TsaiLCY13, author = {Yun{-}Chih Tsai and Tai{-}Hung Li and Tai{-}Chen Chen and Chung{-}Wei Yeh}, title = {Electromigration- and obstacle-avoiding routing tree construction}, booktitle = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLDI-DAT.2013.6533887}, doi = {10.1109/VLDI-DAT.2013.6533887}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/TsaiLCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/YehLTCLC13, author = {Ron Chuen Yeh and Virginia Yi{-}Chien Lin and Kuo{-}Hung Tseng and Pansy Chung and Shi{-}Jer Lou and Yi{-}Cheng Chen}, editor = {Ngoc Thanh Nguyen and Bogdan Trawinski and Radoslaw P. Katarzyniak and GeunSik Jo}, title = {Why Do People Stick to Play Social Network Sites? An Extension of Expectation-Confirmation Model with Perceived Interpersonal Values and Playfulness Perspectives}, booktitle = {Advanced Methods for Computational Collective Intelligence}, series = {Studies in Computational Intelligence}, volume = {457}, pages = {37--46}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-34300-1\_4}, doi = {10.1007/978-3-642-34300-1\_4}, timestamp = {Thu, 16 Mar 2023 20:00:38 +0100}, biburl = {https://dblp.org/rec/series/sci/YehLTCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/ChangWF12, author = {Darby Tien{-}Hao Chang and Chi{-}Yeh Wu and Chen{-}Yu Fan}, title = {A study on promoter characteristics of head-to-head genes in Saccharomyces cerevisiae}, journal = {{BMC} Genom.}, volume = {13}, number = {{S-1}}, pages = {S11}, year = {2012}, url = {https://doi.org/10.1186/1471-2164-13-S1-S11}, doi = {10.1186/1471-2164-13-S1-S11}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/ChangWF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cas/ChenYL12, author = {Kwo{-}Liang Chen and Ching{-}Chiang Yeh and Tz{-}Ling Lu}, title = {A Hybrid Demand Forecasting Model Based on Empirical Mode Decomposition and Neural Network in {TFT-LCD} Industry}, journal = {Cybern. Syst.}, volume = {43}, number = {5}, pages = {426--441}, year = {2012}, url = {https://doi.org/10.1080/01969722.2012.688691}, doi = {10.1080/01969722.2012.688691}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cas/ChenYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/ChenCYCH12, author = {Chien{-}Nan (Shannon) Chen and Cing{-}yu Chu and Su{-}Ling Yeh and Hao{-}Hua Chu and Polly Huang}, title = {Measuring the perceptual quality of Skype sources}, journal = {Comput. Commun. Rev.}, volume = {42}, number = {4}, pages = {521--526}, year = {2012}, url = {https://doi.org/10.1145/2377677.2377779}, doi = {10.1145/2377677.2377779}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/ChenCYCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/TaoYH12, author = {Yu{-}Hui Tao and Chu{-}Chen Rosa Yeh and Kung Chin Hung}, title = {Effects of the heterogeneity of game complexity and user population in learning performance of business simulation games}, journal = {Comput. Educ.}, volume = {59}, number = {4}, pages = {1350--1360}, year = {2012}, url = {https://doi.org/10.1016/j.compedu.2012.06.003}, doi = {10.1016/J.COMPEDU.2012.06.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/TaoYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/LinHCYLL12, author = {Guo{-}Shiang Lin and Hsiang{-}Yun Huang and Wei{-}Chih Chen and Cheng{-}Ying Yeh and Kai{-}Che Liu and Wen{-}Nung Lie}, title = {A stereoscopic video conversion scheme based on spatio-temporal analysis of {MPEG} videos}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2012}, pages = {237}, year = {2012}, url = {https://doi.org/10.1186/1687-6180-2012-237}, doi = {10.1186/1687-6180-2012-237}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/LinHCYLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ChenHLY12, author = {Yuchuan Chen and Chien{-}Yeh Hsu and Li Liu and Sherry Yang}, title = {Constructing a nutrition diagnosis expert system}, journal = {Expert Syst. Appl.}, volume = {39}, number = {2}, pages = {2132--2156}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2011.07.069}, doi = {10.1016/J.ESWA.2011.07.069}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ChenHLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/HsieHLY12, author = {Machine Hsie and Yueh{-}Feng Ho and Chih{-}Tsang Lin and I{-}Cheng Yeh}, title = {Modeling asphalt pavement overlay transverse cracks using the genetic operation tree and Levenberg-Marquardt Method}, journal = {Expert Syst. Appl.}, volume = {39}, number = {5}, pages = {4874--4881}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2011.10.005}, doi = {10.1016/J.ESWA.2011.10.005}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/HsieHLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/ChenLWCLL12, author = {Gwo{-}Dong Chen and Jih{-}Hsien Lee and Chin{-}Yeh Wang and Po{-}Yao Chao and Liang{-}Yi Li and Tzung{-}Yi Lee}, title = {An Empathic Avatar in a Computer-Aided Learning Program to Encourage and Persuade Learners}, journal = {J. Educ. Technol. Soc.}, volume = {15}, number = {2}, pages = {62--72}, year = {2012}, url = {http://www.ifets.info/download\_pdf.php?j\_id=55\&a\_id=1229}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/ChenLWCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/HsuHTHCWC12, author = {Yu{-}Chen Hsu and Hsin Ning Jessie Ho and Chin{-}Chung Tsai and Gwo{-}Jen Hwang and Hui{-}Chun Chu and Chin{-}Yeh Wang and Nian{-}Shing Chen}, title = {Research Trends in Technology-based Learning from 2000 to 2009: {A} content Analysis of Publications in Selected Journals}, journal = {J. Educ. Technol. Soc.}, volume = {15}, number = {2}, pages = {354--370}, year = {2012}, url = {http://www.ifets.info/download\_pdf.php?j\_id=55\&a\_id=1252}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/HsuHTHCWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbc/YehCJ12, author = {Li{-}Chin Yeh and Yi{-}Chiuan Chen and Ing{-}Guey Jiang}, title = {Chaotic orbits in a Planar Three-Center Problem of Slightly Negative Energy}, journal = {Int. J. Bifurc. Chaos}, volume = {22}, number = {12}, year = {2012}, url = {https://doi.org/10.1142/S0218127412300406}, doi = {10.1142/S0218127412300406}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbc/YehCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/ChenLLLL12, author = {Chi{-}Hua Chen and Bon{-}Yeh Lin and Chun{-}Hao Lin and Yen{-}Szu Liu and Chi{-}Chun Lo}, title = {A green positioning algorithm for Campus Guidance System}, journal = {Int. J. Mob. Commun.}, volume = {10}, number = {2}, pages = {119--131}, year = {2012}, url = {https://doi.org/10.1504/IJMC.2012.045669}, doi = {10.1504/IJMC.2012.045669}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmc/ChenLLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/ChiYH12, author = {Tai{-}Shih Chi and Lan{-}Ying Yeh and Chin{-}Cheng Hsu}, title = {Robust emotion recognition by spectro-temporal modulation statistic features}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {3}, number = {1}, pages = {47--60}, year = {2012}, url = {https://doi.org/10.1007/s12652-011-0088-5}, doi = {10.1007/S12652-011-0088-5}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/ChiYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/ChuangYLY12, author = {Li{-}Yeh Chuang and Cheng{-}Huei Yang and Jung{-}Chike Li and Cheng{-}Hong Yang}, title = {A Hybrid {BPSO-CGA} Approach for Gene Selection and Classification of Microarray Data}, journal = {J. Comput. Biol.}, volume = {19}, number = {1}, pages = {68--82}, year = {2012}, url = {https://doi.org/10.1089/cmb.2010.0064}, doi = {10.1089/CMB.2010.0064}, timestamp = {Thu, 15 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/ChuangYLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/YehC12, author = {Tse{-}Chen Yeh and Ming{-}Chao Chiang}, title = {On the interfacing between {QEMU} and SystemC for virtual platform construction: Using {DMA} as a case}, journal = {J. Syst. Archit.}, volume = {58}, number = {3-4}, pages = {99--111}, year = {2012}, url = {https://doi.org/10.1016/j.sysarc.2012.02.002}, doi = {10.1016/J.SYSARC.2012.02.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/YehC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ChenLY12, author = {Chun{-}Fu Chen and Ming{-}Cheng Lai and Ching{-}Chiang Yeh}, title = {Forecasting tourism demand based on empirical mode decomposition and neural network}, journal = {Knowl. Based Syst.}, volume = {26}, pages = {281--287}, year = {2012}, url = {https://doi.org/10.1016/j.knosys.2011.09.002}, doi = {10.1016/J.KNOSYS.2011.09.002}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/ChenLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChenCLWYTSK12, author = {H. C. Chen and K. J. Chen and C. C. Lin and Chin{-}Hsin Wang and C. C. Yeh and H. H. Tsai and Min{-}Hsiung Shih and H. C. Kuo}, title = {Improvement of lumen efficiency in white light-emitting diodes with air-gap embedded package}, journal = {Microelectron. Reliab.}, volume = {52}, number = {5}, pages = {933--936}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2012.02.011}, doi = {10.1016/J.MICROREL.2012.02.011}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/ChenCLWYTSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KaoYCCC12, author = {Hsuan{-}Ling Kao and Chih{-}Sheng Yeh and Meng{-}Ting Chen and Hsien{-}Chin Chiu and Li{-}Chun Chang}, title = {Characterization and reliability of nMOSFETs on flexible substrates under mechanical strain}, journal = {Microelectron. Reliab.}, volume = {52}, number = {6}, pages = {999--1004}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.12.019}, doi = {10.1016/J.MICROREL.2011.12.019}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KaoYCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChengHYLTTWLCCY12, author = {Yu{-}Chang Cheng and Fang{-}Chih Hsiao and Erh{-}Chan Yeh and Wan{-}Jia Lin and Cheng{-}Yang Louis Tang and Huan{-}Chin Tseng and Hsing{-}Tsung Wu and Chuan{-}Kun Liu and Chih{-}Cheng Chen and Yuan{-}Tsong Chen and Adam Yao}, title = {VarioWatch: providing large-scale and comprehensive annotations on human genomic variants in the next generation sequencing era}, journal = {Nucleic Acids Res.}, volume = {40}, number = {Web-Server-Issue}, pages = {76--81}, year = {2012}, url = {https://doi.org/10.1093/nar/gks397}, doi = {10.1093/NAR/GKS397}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChengHYLTTWLCCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/YehCZWH12, author = {I{-}Cheng Yeh and Chung{-}Chih Chen and Xin{-}Ying Zhang and Chong Wu and Kuan{-}Chieh Huang}, title = {Adaptive radial basis function networks with kernel shape parameters}, journal = {Neural Comput. Appl.}, volume = {21}, number = {3}, pages = {469--480}, year = {2012}, url = {https://doi.org/10.1007/s00521-010-0485-2}, doi = {10.1007/S00521-010-0485-2}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/YehCZWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HsuCLJLTHBL12, author = {Jung{-}Lung Hsu and Yen{-}Ling Chen and Jyu{-}Gang Leu and Fu{-}Shan Jaw and Cheng{-}Hui Lee and Yuh{-}Feng Tsai and Chien{-}Yeh Hsu and Chyi{-}Huey Bai and Alexander Leemans}, title = {Microstructural white matter abnormalities in type 2 diabetes mellitus: {A} diffusion tensor imaging study}, journal = {NeuroImage}, volume = {59}, number = {2}, pages = {1098--1105}, year = {2012}, url = {https://doi.org/10.1016/j.neuroimage.2011.09.041}, doi = {10.1016/J.NEUROIMAGE.2011.09.041}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HsuCLJLTHBL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/FuAAHCTHLPH12, author = {Chih{-}Ming Fu and Elena Alshina and Alexander Alshin and Yu{-}Wen Huang and Ching{-}Yeh Chen and Chia{-}Yang Tsai and Chih{-}Wei Hsu and Shawmin Lei and Jeong{-}Hoon Park and Woojin Han}, title = {Sample Adaptive Offset in the {HEVC} Standard}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {22}, number = {12}, pages = {1755--1764}, year = {2012}, url = {https://doi.org/10.1109/TCSVT.2012.2221529}, doi = {10.1109/TCSVT.2012.2221529}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/FuAAHCTHLPH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tedu/YehHWC12, author = {Shih{-}Ching Yeh and Wu{-}Yuin Hwang and Jing{-}Liang Wang and Yuin{-}Ren Chen}, title = {Effects of Multi-symbols on Enhancing Virtual Reality Based Collaborative Task}, journal = {Trans. Edutainment}, volume = {8}, pages = {101--111}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31439-1\_10}, doi = {10.1007/978-3-642-31439-1\_10}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tedu/YehHWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChenC12, author = {Chin{-}Sheng Chen and Li{-}Yeh Chen}, title = {Robust Cross-Coupling Synchronous Control by Shaping Position Commands in Multiaxes System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {12}, pages = {4761--4773}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2182012}, doi = {10.1109/TIE.2011.2182012}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/MengSYCL12, author = {Ling{-}San Meng and Da{-}shan Shiu and Ping{-}Cheng Yeh and Kuan{-}Chi Chen and Hung{-}Yi Lo}, title = {Low Power Consumption Solutions for Mobile Instant Messaging}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {11}, number = {6}, pages = {896--904}, year = {2012}, url = {https://doi.org/10.1109/TMC.2011.123}, doi = {10.1109/TMC.2011.123}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/MengSYCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/YehLLHLK12, author = {I{-}Cheng Yeh and Wen{-}Chieh Lin and Tong{-}Yee Lee and Hsin{-}Ju Han and Jehee Lee and Manmyung Kim}, title = {Social-Event-Driven Camera Control for Multicharacter Animations}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {18}, number = {9}, pages = {1496--1510}, year = {2012}, url = {https://doi.org/10.1109/TVCG.2011.273}, doi = {10.1109/TVCG.2011.273}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/YehLLHLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YehCW12, author = {Chingwei Yeh and Yuan{-}Chang Chen and Jinn{-}Shyan Wang}, title = {Towards Process Variation-Aware Power Gating}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {11}, pages = {1929--1937}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2169435}, doi = {10.1109/TVLSI.2011.2169435}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YehCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/YehCLMSKLL12, author = {Ping{-}Cheng Yeh and Kwang{-}Cheng Chen and Yen{-}Chi Lee and Ling{-}San Meng and Po{-}Jen Shih and Pin{-}Yu Ko and Wei{-}An Lin and Chia{-}han Lee}, title = {A new frontier of wireless communication theory: diffusion-based molecular communications}, journal = {{IEEE} Wirel. Commun.}, volume = {19}, number = {5}, pages = {28--35}, year = {2012}, url = {https://doi.org/10.1109/MWC.2012.6339469}, doi = {10.1109/MWC.2012.6339469}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/YehCLMSKLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/ChenLHKL12, author = {Shih{-}Yeh Chen and Ying{-}Xun Lai and Yueh{-}Min Huang and Cheng{-}Feng Kao and Chin{-}Feng Lai}, title = {Establishment and Application for a Mobile Learning Communities System: {A} Case Study of Digital Archives Resource into Outdoor Environmental Education}, booktitle = {12th {IEEE} International Conference on Computer and Information Technology, {CIT} 2012, Chengdu, Sichuan, China, October 27-29, 2012}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CIT.2012.48}, doi = {10.1109/CIT.2012.48}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/ChenLHKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChuangCY12, author = {Li{-}Yeh Chuang and Cheng{-}Yi Chiang and Cheng{-}Hong Yang}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {A Quantum Genetic Algorithm for Operon Prediction}, booktitle = {{IEEE} 26th International Conference on Advanced Information Networking and Applications, AINA, 2012 , Fukuoka, Japan, March 26-29, 2012}, pages = {269--275}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AINA.2012.117}, doi = {10.1109/AINA.2012.117}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChuangCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/HsuSWY12, author = {Wu{-}Hsiao Hsu and Yuh{-}Pyng Shieh and Chia{-}Hui Wang and Sheng{-}Cheng Yeh}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {Virtual Network Mapping through Path Splitting and Migration}, booktitle = {26th International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2012, Fukuoka, Japan, March 26-29, 2012}, pages = {1095--1100}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/WAINA.2012.74}, doi = {10.1109/WAINA.2012.74}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/HsuSWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChenLHYHCWHHTMC12, author = {Yung{-}Chan Chen and Yu{-}Po Lin and Tsui{-}Ling Hsieh and Chun{-}Yi Yeh and Pin{-}Yang Huang and Hung{-}Chih Chiu and Zong{-}Ye Wang and Wen{-}Yang Hsu and Po{-}Chiun Huang and Kea{-}Tiong Tang and Hsi{-}Pin Ma and Hsin Chen}, title = {An implantable microsystem for studying the Parkinson's Disease}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {92--95}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6418979}, doi = {10.1109/APCCAS.2012.6418979}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChenLHYHCWHHTMC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/YehLWYL12, author = {Kuo{-}Hui Yeh and Nai{-}Wei Lo and Tzong{-}Chen Wu and Ta{-}Chi Yang and Horng{-}Twu Liaw}, title = {Analysis of an eHealth Care System with Smart Card Based Authentication}, booktitle = {Seventh Asia Joint Conference on Information Security, AsiaJCIS 2012, Kaohsiung, Taiwan, August 9-10, 2012}, pages = {59--61}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/AsiaJCIS.2012.17}, doi = {10.1109/ASIAJCIS.2012.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/YehLWYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChenCGLY12, author = {Shyang{-}Chyun Chen and Chao{-}Chuan Chen and Wen{-}Chi Guo and Tay{-}Jyi Lin and Ching{-}Wei Yeh}, title = {Complexity-effective Hilbert-Huang transform {(HHT)} {IP} for embedded real-time applications}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {473--474}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6164997}, doi = {10.1109/ASPDAC.2012.6164997}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChenCGLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/ShihHCFCJ12, author = {Wei{-}Yeh Shih and Kuan{-}Ju Huang and Chiu{-}Kuo Chen and Wai{-}Chi Fang and Gert Cauwenberghs and Tzyy{-}Ping Jung}, title = {An effective chip implementation of a real-time eight-channel {EEG} signal processor based on on-line recursive {ICA} algorithm}, booktitle = {2012 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2012, Hsinchu, Taiwan, November 28-30, 2012}, pages = {192--195}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BioCAS.2012.6418464}, doi = {10.1109/BIOCAS.2012.6418464}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocas/ShihHCFCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/LeeLCCC12, author = {Che{-}Rung Lee and Shih{-}Hsiang Lo and Nan{-}Hsi Chen and Yeh{-}Ching Chung and I{-}Hsin Chung}, title = {{GPU} Performance Enhancement via Communication Cost Reduction: Case Studies of Radix Sort and {WSN} Relay Node Placement Problem}, booktitle = {12th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2012, Ottawa, Canada, May 13-16, 2012}, pages = {132--139}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CCGrid.2012.16}, doi = {10.1109/CCGRID.2012.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/LeeLCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/HongHYWHLWC12, author = {Ding{-}Yong Hong and Chun{-}Chen Hsu and Pen{-}Chung Yew and Jan{-}Jan Wu and Wei{-}Chung Hsu and Pangfeng Liu and Chien{-}Min Wang and Yeh{-}Ching Chung}, editor = {Carol Eidt and Anne M. Holler and Uma Srinivasan and Saman P. Amarasinghe}, title = {{HQEMU:} a multi-threaded and retargetable dynamic binary translator on multicores}, booktitle = {10th Annual {IEEE/ACM} International Symposium on Code Generation and Optimization, {CGO} 2012, San Jose, CA, USA, March 31 - April 04, 2012}, pages = {104--113}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2259016.2259030}, doi = {10.1145/2259016.2259030}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cgo/HongHYWHLWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeHCCCLTYHL12, author = {Yu{-}Huei Lee and Tzu{-}Chi Huang and Kuan{-}Yu Chu and Chao{-}Chang Chiu and Ke{-}Horng Chen and Ying{-}Hsi Lin and Tsung{-}Yen Tsai and Ching{-}Yuan Yeh and Chen{-}Chih Huang and Chao{-}Cheng Lee}, title = {A single-inductor dual-output {(SIDO)} based power management with adaptive bus voltage modulation and zero cross-regulation in 40nm {CMOS}}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {161--164}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341284}, doi = {10.1109/ESSCIRC.2012.6341284}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeeHCCCLTYHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KoLYLC12, author = {Pin{-}Yu Ko and Yen{-}Chi Lee and Ping{-}Cheng Yeh and Chia{-}han Lee and Kwang{-}Cheng Chen}, title = {A new paradigm for channel coding in diffusion-based molecular communications: Molecular coding distance function}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {3748--3753}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503700}, doi = {10.1109/GLOCOM.2012.6503700}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KoLYLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LinLYL12, author = {Wei{-}An Lin and Yen{-}Chi Lee and Ping{-}Cheng Yeh and Chia{-}han Lee}, title = {Signal detection and {ISI} cancellation for quantity-based amplitude modulation in diffusion-based molecular communications}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {4362--4367}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503804}, doi = {10.1109/GLOCOM.2012.6503804}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LinLYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ShihLY12, author = {Po{-}Jen Shih and Chia{-}han Lee and Ping{-}Cheng Yeh}, title = {Channel codes for mitigating intersymbol interference in diffusion-based molecular communications}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {4228--4232}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503781}, doi = {10.1109/GLOCOM.2012.6503781}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ShihLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChiuCCFKMCCY12, author = {Hung{-}Chih Chiu and Chi{-}Fen Chuang and Yung{-}Chan Chen and Yang{-}Hang Fan and Yu{-}Han Kao and Hsi{-}Pin Ma and Hsin Chen and Yen{-}Chung Chang and Shih{-}Rung Yeh}, title = {An integrated system for brain machine interface}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {407--410}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6380812}, doi = {10.1109/HEALTHCOM.2012.6380812}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChiuCCFKMCCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/YehCCHHT12, author = {Shih{-}Ching Yeh and Shun{-}Min Chang and Shuya Chen and Wu{-}Yuin Hwang and Tzu{-}Chuan Huang and Te{-}Lu Tsai}, title = {A lower limb fracture postoperative-guided interactive rehabilitation training system and its effectiveness analysis}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {149--154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6379378}, doi = {10.1109/HEALTHCOM.2012.6379378}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/healthcom/YehCCHHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/YehLLCY12, author = {Ming{-}Hsien Yeh and Hao{-}Feng Luo and Nai{-}Wei Lin and Zen{-}Yi Chen and Chia{-}Chou Yeh}, title = {A study on electrical properties of acupuncture points in allergic rhinitis}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {82--87}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6380071}, doi = {10.1109/HEALTHCOM.2012.6380071}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/YehLLCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/YehLWCCYCH12, author = {Shih{-}Ching Yeh and Si{-}Huei Lee and Jia{-}Chi Wang and Shuya Chen and Yu{-}Tsung Chen and Yi{-}Yung Yang and Huang{-}Ren Chen and Yen{-}Po Hung}, title = {Virtual reality for post-stroke shoulder-arm motor rehabilitation: Training system {\&} assessment method}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {190--195}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6379398}, doi = {10.1109/HEALTHCOM.2012.6379398}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/YehLWCCYCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/YehWHCCSC12, author = {Shih{-}Ching Yeh and Pa{-}Chun Wang and Yen{-}Po Hung and Chia{-}Huang Chang and Shuya Chen and Mu{-}Chun Su and Hsueh{-}Lin Chen}, title = {An innovative VR-based vestibular rehabilitation system}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {213--217}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6379410}, doi = {10.1109/HEALTHCOM.2012.6379410}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/YehWHCCSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/SunLCHYC12, author = {Yi{-}Fa Sun and Chun{-}Nan Liu and Tse{-}Min Chen and Hsien{-}Ching Hsieh and Jen{-}Chieh Yeh and Yung{-}Chang Chang}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {Improvement of Multimedia Performance Based on 3-D Stacking Memory Architecture and Software Refinement}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {1618--1623}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.236}, doi = {10.1109/HPCC.2012.236}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/SunLCHYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/SuYLLWL12, author = {Chun{-}Lien Su and Yi{-}Hung Yeh and Ching{-}Hsiang Liu and Cheng{-}Wei Lin and Li{-}Wei Wang and Ching{-}Cheng Lee}, title = {Energy-efficient electronic light sources for marine vessels}, booktitle = {Annual Meeting of the {IEEE} Industry Applications Society, {IAS} 2012, Las Vegas, NV, USA, October 7-11, 2012, Proceedings}, pages = {1--11}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IAS.2012.6373993}, doi = {10.1109/IAS.2012.6373993}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/iasam/SuYLLWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HsiehSLYC12, author = {Ya{-}Ping Hsieh and Po{-}Jen Shih and Yen{-}Chi Lee and Ping{-}Cheng Yeh and Kwang{-}Cheng Chen}, title = {An asynchronous communication scheme for molecular communication}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012}, pages = {6177--6182}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICC.2012.6364963}, doi = {10.1109/ICC.2012.6364963}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/HsiehSLYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/YehKCC12, author = {Yu{-}Chang Yeh and Wei{-}Chi Ku and Wei{-}Ping Chen and Yi{-}Lun Chen}, title = {An enhanced simple secure remote password authentication scheme without using cryptography}, booktitle = {2012 1st {IEEE} International Conference on Communications in China (ICCC), Beijing, China, August 15-17, 2012}, pages = {231--235}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCChina.2012.6356883}, doi = {10.1109/ICCCHINA.2012.6356883}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/YehKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/WuCY12, author = {Chih{-}Hung Wu and Chien{-}Jung Chen and Wei{-}Chih Yeh}, title = {Evolvable Hardware Image Filters with Discriminations of Noise Patterns}, booktitle = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, pages = {472--475}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICGEC.2012.89}, doi = {10.1109/ICGEC.2012.89}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icgec/WuCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/WuLCKY12, author = {Jia{-}Ming Wu and Tsair{-}Fwu Lee and Ching{-}Jiang Chen and Chung{-}Ming Kuo and Shyh{-}An Yeh}, title = {Fractal Dimension Characteristic Analysis for Dose Verification in Intensity Modulation Radiation Therapy}, booktitle = {2012 Sixth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2012, Kitakyushu, Japan, August 25-28, 2012}, pages = {214--218}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICGEC.2012.95}, doi = {10.1109/ICGEC.2012.95}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/WuLCKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/YehTHK12, author = {I{-}Cheng Yeh and Pei{-}Yen Tseng and Kuan{-}Chieh Huang and Yau{-}Hwang Kuo}, editor = {De{-}Shuang Huang and Phalguni Gupta and Xiang Zhang and Prashan Premaratne}, title = {Minimum Risk Neural Networks and Weight Decay Technique}, booktitle = {Emerging Intelligent Computing Technology and Applications - 8th International Conference, {ICIC} 2012, Huangshan, China, July 25-29, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {304}, pages = {10--16}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31837-5\_2}, doi = {10.1007/978-3-642-31837-5\_2}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icic/YehTHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/WangWCY12, author = {Yi{-}Ting Wang and Ling{-}Ling Wu and Hui{-}Ching Chen and Ming{-}Yih Yeh}, title = {Interactivity of Social Media and Online Consumer Behavior: the Moderating Effects of Opinion Leadership}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2012, Orlando, Florida, USA, December 16-19, 2012}, publisher = {Association for Information Systems}, year = {2012}, url = {http://aisel.aisnet.org/icis2012/proceedings/ITService/6}, timestamp = {Tue, 29 Jan 2013 19:04:31 +0100}, biburl = {https://dblp.org/rec/conf/icis/WangWCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/JuLCCTHCHWCLCCWWLCT12, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Yeh{-}Lin Chu and Chuang{-}Chi Chiou and Bin{-}Jung Tsai and Te{-}Chi Hsiao and Ginny Chen and Pin{-}Huan Hsu and Chih{-}Ming Wang and Chun{-}Chia Chen and Hue{-}Min Lin and Chia{-}Yun Cheng and Min{-}Hao Chiu and Sheng{-}Jen Wang and Jiun{-}Yuan Wu and Yuan{-}Chun Lin and Yung{-}Chang Chang and Chung{-}Hung Tsai}, title = {Area and Memory Efficient Architectures for 3D Blu-ray-compliant Multimedia Processors}, booktitle = {Proceedings of the 2012 {IEEE} International Conference on Multimedia and Expo, {ICME} 2012, Melbourne, Australia, July 9-13, 2012}, pages = {776--781}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICME.2012.81}, doi = {10.1109/ICME.2012.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/JuLCCTHCHWCLCCWWLCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/YehTW12, author = {Mei{-}Chen Yeh and Ming{-}Chi Tseng and Wen{-}Po Wu}, editor = {Jian Zhang and Dan Schonfeld and David Dagan Feng}, title = {Automatic Social Network Construction from Movies Using Film-Editing Cues}, booktitle = {2012 {IEEE} International Conference on Multimedia and Expo Workshops, Melbourne, Australia, July 9-13, 2012}, pages = {242--247}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICMEW.2012.48}, doi = {10.1109/ICMEW.2012.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/YehTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/WangYT12, author = {Chien{-}Min Wang and Tse{-}Chen Yeh and Guo{-}Fu Tseng}, title = {Provision of Storage QoS in Distributed File Systems for Clouds}, booktitle = {41st International Conference on Parallel Processing, {ICPP} 2012, Pittsburgh, PA, USA, September 10-13, 2012}, pages = {189--198}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPP.2012.52}, doi = {10.1109/ICPP.2012.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/WangYT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/HuangCCYLYK12, author = {Yi{-}Chen Huang and Tsung{-}Long Chen and Bo{-}Chun Chiu and Chih{-}Wei Yi and Chung{-}Wei Lin and Yu{-}Jung Yeh and Lun{-}Chia Kuo}, title = {Calculate Golf Swing Trajectories from {IMU} Sensing Data}, booktitle = {41st International Conference on Parallel Processing Workshops, {ICPPW} 2012, Pittsburgh, PA, USA, September 10-13, 2012}, pages = {505--513}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPPW.2012.69}, doi = {10.1109/ICPPW.2012.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/HuangCCYLYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YehCLLCH12, author = {Wen{-}Hao Yeh and Tsen{-}Chieh Chiu and Eric S. Li and Yuei{-}An Liou and Ming{-}Quey Chen and Cheng{-}Yung Huang}, title = {Ray tracing simulation for {GPS} radio occultation in non-spherically symmetric atmosphere with {ECMWF} analysis}, booktitle = {2012 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2012, Munich, Germany, July 22-27, 2012}, pages = {2470--2473}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IGARSS.2012.6350352}, doi = {10.1109/IGARSS.2012.6350352}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YehCLLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/WengWLYF12, author = {Mao{-}Yung Weng and Chao{-}Lin Wu and Ching{-}Hu Lu and Hui{-}Wen Yeh and Li{-}Chen Fu}, title = {Context-aware home energy saving based on Energy-Prone Context}, booktitle = {2012 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2012, Vilamoura, Algarve, Portugal, October 7-12, 2012}, pages = {5233--5238}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IROS.2012.6385762}, doi = {10.1109/IROS.2012.6385762}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/WengWLYF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/YehLLH12, author = {Chia{-}Hung Yeh and Yu{-}Liang Lin and Cheng{-}Chih Liu and Wan{-}Jen Huang}, title = {Compressed-and-forward: Compressive sensing for cooperative communication}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {319--322}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473503}, doi = {10.1109/ISPACS.2012.6473503}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/YehLLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ChangWC12, author = {Yeh{-}Chi Chang and Chun{-}Kai Wang and Hung{-}Ming Chen}, editor = {Jiang Hu and Cheng{-}Kok Koh}, title = {On construction low power and robust clock tree via slew budgeting}, booktitle = {International Symposium on Physical Design, ISPD'12, Napa, CA, USA, March 25-28, 2012}, pages = {129--136}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2160916.2160945}, doi = {10.1145/2160916.2160945}, timestamp = {Tue, 06 Nov 2018 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/ispd/ChangWC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isspa/ChenY12, author = {Chin{-}Sheng Chen and Chun{-}Wei Yeh}, title = {An efficient dilation-based clustering algorithm for automatic optical inspection}, booktitle = {11th International Conference on Information Science, Signal Processing and their Applications, {ISSPA} 2012, Montreal, QC, Canada, July 2-5, 2012}, pages = {366--371}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSPA.2012.6310577}, doi = {10.1109/ISSPA.2012.6310577}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isspa/ChenY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/ChenWLCH12, author = {Chi{-}Hwa Chen and Tung{-}Ling Wu and Ming{-}Tsung Lee and Wei{-}Yeh Chen and Chin{-}Chieh Huang}, title = {A love of travel - Demonstration project of Sun-Moon Lake importing ITS/telematics}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {129--134}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425149}, doi = {10.1109/ITST.2012.6425149}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/ChenWLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/YehTYH12, author = {Shih{-}Ching Yeh and Chia{-}Fen Tsai and Chen{-}Fang Yu and Tzu{-}Chuan Huang}, title = {Compare virtual reality and augmented reality systems for claustrophobia from {HRV}}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {155--159}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425155}, doi = {10.1109/ITST.2012.6425155}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itst/YehTYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/0002CCY12, author = {Huan Chen and Chih{-}Chuan Cheng and Wei{-}Ho Chung and Hsi{-}Hsun Yeh}, title = {A reduced dimension MDP-based call admission control scheme for next generation telecommunications}, booktitle = {8th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2012, Limassol, Cyprus, August 27-31, 2012}, pages = {984--989}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IWCMC.2012.6314339}, doi = {10.1109/IWCMC.2012.6314339}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/0002CCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ChenCYCH12, author = {Chien{-}Nan (Shannon) Chen and Cing{-}yu Chu and Su{-}Ling Yeh and Hao{-}Hua Chu and Polly Huang}, editor = {Noboru Babaguchi and Kiyoharu Aizawa and John R. Smith and Shin'ichi Satoh and Thomas Plagemann and Xian{-}Sheng Hua and Rong Yan}, title = {Modeling the qoe of rate changes in {SKYPE/SILK} VoIP calls}, booktitle = {Proceedings of the 20th {ACM} Multimedia Conference, {MM} '12, Nara, Japan, October 29 - November 02, 2012}, pages = {119--128}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2393347.2393370}, doi = {10.1145/2393347.2393370}, timestamp = {Tue, 20 Jul 2021 15:36:10 +0200}, biburl = {https://dblp.org/rec/conf/mm/ChenCYCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/HungCHTYLL12, author = {Lien{-}Yu Hung and Fong{-}Yu Cheng and Chih{-}Chia Huang and Yi{-}Che Tsai and Chen{-}Sheng Yeh and Huan{-}Yao Lei and Gwo{-}Bin Lee}, title = {Microfluidic system for rapid detection of influenza infection by utilizing magnetic MnFe2O4 nanoparticle-based immunoassay}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {200--203}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196756}, doi = {10.1109/NEMS.2012.6196756}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/HungCHTYLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/WangWCY12, author = {Yi{-}Ting Wang and Ling{-}Ling Wu and Hui{-}Ching Chen and Ming{-}Yih Yeh}, editor = {Shan L. Pan and Tru H. Cao}, title = {The Impact Of Interactivity On Involvement And Social Presence: The Moderating Effects Of Opinion Leadership}, booktitle = {16th Pacific Asia Conference on Information Systems, {PACIS} 2012, Ho Chi Minh City, Vietnam, 11-15 July 2012}, pages = {131}, year = {2012}, url = {http://aisel.aisnet.org/pacis2012/131}, timestamp = {Wed, 30 Jan 2013 13:57:49 +0100}, biburl = {https://dblp.org/rec/conf/pacis/WangWCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qomex/HuangKCYC12, author = {Tai{-}Hsiang Huang and Chen{-}Tai Kao and Yi{-}Chia Chen and Su{-}Ling Yeh and Homer H. Chen}, editor = {Ian S. Burnett}, title = {A visibility model for quality assessment of dimmed images}, booktitle = {Fourth International Workshop on Quality of Multimedia Experience, QoMEX 2012, Melbourne, Australia, July 5-7, 2012}, pages = {206--211}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/QoMEX.2012.6263872}, doi = {10.1109/QOMEX.2012.6263872}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/qomex/HuangKCYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/ChenCYCH12, author = {Chien{-}Nan (Shannon) Chen and Cing{-}yu Chu and Su{-}Ling Yeh and Hao{-}Hua Chu and Polly Huang}, editor = {Marshini Chetty and Richard Mortier}, title = {Measuring the perceptual quality of Skype sources}, booktitle = {Proceedings of the 2012 {ACM} {SIGCOMM} Workshop on Measurements Up the Stack, {W-MUST} '12, Helsinki, Finland, August 17, 2012}, pages = {1--6}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2342541.2342543}, doi = {10.1145/2342541.2342543}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/ChenCYCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChenYHWLCYO12, author = {Mei{-}Yun Chen and Yung{-}Hsiang Yang and Chia Ju Ho and Shih{-}Han Wang and Shane{-}Ming Liu and Eugene Chang and Che{-}Hua Yeh and Ming Ouhyoung}, editor = {Zhengyou Zhang and Zhengguo Li}, title = {Automatic Chinese food identification and quantity estimation}, booktitle = {{SIGGRAPH} Asia 2012 Technical Briefs, Singapore, November 28 - December 1, 2012}, pages = {29:1--29:4}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2407746.2407775}, doi = {10.1145/2407746.2407775}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/ChenYHWLCYO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeePWCYHCLWYHL12, author = {Yu{-}Huei Lee and Shen{-}Yu Peng and Alex Chun{-}Hsien Wu and Chao{-}Chang Chiu and Yao{-}Yi Yang and Ming{-}Hsin Huang and Ke{-}Horng Chen and Ying{-}Hsi Lin and Shih{-}Wei Wang and Ching{-}Yuan Yeh and Chen{-}Chih Huang and Chao{-}Cheng Lee}, title = {A 50nA quiescent current asynchronous digital-LDO with PLL-modulated fast-DVS power management in 40nm {CMOS} for 5.6 times {MIPS} performance}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {178--179}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243848}, doi = {10.1109/VLSIC.2012.6243848}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeePWCYHCLWYHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenL12, author = {Hsin{-}Yeh Chen and Chia{-}han Lee}, title = {Analysis of the number of hops in wired-wireless heterogeneous networks}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {1806--1810}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCNC.2012.6214078}, doi = {10.1109/WCNC.2012.6214078}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.