default search action
Search dblp for Publications
export results for "Chia-Che Lee"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/LinLKLCCK24, author = {Chih{-}Lung Lin and Chia{-}Lun Lee and Cheng{-}Han Ke and Po{-}Cheng Lai and Chung{-}Tien Chiu and Yu{-}Chang Chiu and Chia{-}Wei Kuo}, title = {Lifetime Optimization of Optical Sensing System With Highly Reliable a-Si:H TFT-Based Optical Sensor and Driver Circuit in Large AMLCDs}, journal = {{IEEE} Access}, volume = {12}, pages = {78122--78131}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3399483}, doi = {10.1109/ACCESS.2024.3399483}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinLKLCCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/HuangHCMHLCLHC24, author = {Shu{-}Hua Huang and Wen{-}Chiu Hsiao and Hsin{-}I Chang and Mi{-}Chia Ma and Shih{-}Wei Hsu and Chen{-}Chang Lee and Hong{-}Jie Chen and Ching{-}Heng Lin and Chi{-}Wei Huang and Chiung{-}Chih Chang}, title = {The use of individual-based {FDG-PET} volume of interest in predicting conversion from mild cognitive impairment to dementia}, journal = {{BMC} Medical Imaging}, volume = {24}, number = {1}, pages = {75}, year = {2024}, url = {https://doi.org/10.1186/s12880-024-01256-x}, doi = {10.1186/S12880-024-01256-X}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/HuangHCMHLCLHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/PangSLYLLLKTCTLNLL24, author = {Jeffer Hann Wei Pang and Seyed Ehsan Saffari and Guan Rong Lee and Wai{-}Yung Yu and C. C. Tchoyoson Lim and Kheng Choon Lim and Chia Ching Lee and Wee Yao Koh and David Chia Wei Tsau and Kevin Lee Min Chua and Chee Kian Tham and Yin Yee Sharon Low and Wai Hoe Ng and Chyi Yeu David Low and Xuling Lin}, title = {Tumour growth rate predicts overall survival in patients with recurrent {WHO} grade 4 glioma}, journal = {{BMC} Medical Imaging}, volume = {24}, number = {1}, pages = {125}, year = {2024}, url = {https://doi.org/10.1186/s12880-024-01263-y}, doi = {10.1186/S12880-024-01263-Y}, timestamp = {Sun, 02 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/PangSLYLLLKTCTLNLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChenYTTYLLLWYCHHCCW24, author = {Pey{-}Yu Chen and Ta{-}Wei Yang and Yi{-}Shan Tseng and Cheng{-}Yu Tsai and Chiung{-}Szu Yeh and Yen{-}Hui Lee and Pei{-}Hsuan Lin and Ting{-}Chun Lin and Yu{-}Jen Wu and Ting{-}Hua Yang and Yu{-}Ting Chiang and Jacob Shujui Hsu and Chuan{-}Jen Hsu and Pei{-}Lung Chen and Cheng{-}Fu Chou and Chen{-}Chi Wu}, title = {Machine learning-based longitudinal prediction for GJB2-related sensorineural hearing loss}, journal = {Comput. Biol. Medicine}, volume = {176}, pages = {108597}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108597}, doi = {10.1016/J.COMPBIOMED.2024.108597}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChenYTTYLLLWYCHHCCW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LiuLHCLCLWLDT24, author = {Chien{-}Liang Liu and Min{-}Hsuan Lee and Shan{-}Ni Hsueh and Chia{-}Chen Chung and Chun{-}Ju Lin and Po{-}Han Chang and An{-}Chun Luo and Hsuan{-}Chi Weng and Yu{-}Hsien Lee and Ming{-}Ji Dai and Min{-}Juei Tsai}, title = {A bagging approach for improved predictive accuracy of intradialytic hypotension during hemodialysis treatment}, journal = {Comput. Biol. Medicine}, volume = {172}, pages = {108244}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108244}, doi = {10.1016/J.COMPBIOMED.2024.108244}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LiuLHCLCLWLDT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/DaoutidisLRCGSHMMBLRG24, author = {Prodromos Daoutidis and Jay H. Lee and Srinivas Rangarajan and Leo Chiang and R. Bhushan Gopaluni and Artur M. Schweidtmann and Iiro Harjunkoski and Mehmet Mercang{\"{o}}z and Ali Mesbah and Fani Boukouvala and Fernando V. Lima and Ehecatl Antonio del Rio{-}Chanona and Christos Georgakis}, title = {Machine learning in process systems engineering: Challenges and opportunities}, journal = {Comput. Chem. Eng.}, volume = {181}, pages = {108523}, year = {2024}, url = {https://doi.org/10.1016/j.compchemeng.2023.108523}, doi = {10.1016/J.COMPCHEMENG.2023.108523}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/DaoutidisLRCGSHMMBLRG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/LeeHC24, author = {Chia{-}Yen Lee and Yi{-}Tao Huang and Peng{-}Jen Chen}, title = {Robust-optimization-guiding deep reinforcement learning for chemical material production scheduling}, journal = {Comput. Chem. Eng.}, volume = {187}, pages = {108745}, year = {2024}, url = {https://doi.org/10.1016/j.compchemeng.2024.108745}, doi = {10.1016/J.COMPCHEMENG.2024.108745}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/LeeHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/LinCCLYZTZ24, author = {Tu{-}Liang Lin and Hong{-}Yi Chang and Yuan{-}Yao Chiang and Shu{-}Cheng Lin and Tsung{-}Yen Yang and Chun{-}Jun Zhuang and Wha{-}Lee Tseng and Bo{-}Hao Zhang}, title = {Ransomware Detection by Distinguishing {API} Call Sequences through {LSTM} and {BERT} Models}, journal = {Comput. J.}, volume = {67}, number = {2}, pages = {632--641}, year = {2024}, url = {https://doi.org/10.1093/comjnl/bxad005}, doi = {10.1093/COMJNL/BXAD005}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cj/LinCCLYZTZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/LinYZLTLLK24, author = {Yang Chen Lin and Shang{-}Lin Yu and An{-}Yu Zhuang and Chiayun Lee and Yao An Ting and Sheng{-}Kai Lee and Bo{-}Jyun Lin and Po{-}Chih Kuo}, title = {Representing scents: An evaluation framework of scent-related experiences through associations between grounded and psychophysiological data}, journal = {Int. J. Hum. Comput. Stud.}, volume = {192}, pages = {103357}, year = {2024}, url = {https://doi.org/10.1016/j.ijhcs.2024.103357}, doi = {10.1016/J.IJHCS.2024.103357}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmms/LinYZLTLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChiangWLLJ24, author = {Yu{-}Lun Chiang and Jen{-}Cheng Wang and Mu{-}Hwa Lee and An{-}Chi Liu and Joe{-}Air Jiang}, title = {Deep-Learning-Based Multi-Timestamp Multi-Location PM\({}_{\mbox{2.5}}\) Prediction: Verification by Using a Mobile Monitoring System With an IoT Framework Deployed in the Urban Zone of a Metropolitan Area}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {5}, pages = {8815--8837}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3322862}, doi = {10.1109/JIOT.2023.3322862}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChiangWLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/MoshawihBGKLGL24, author = {Said Moshawih and Zhen Hui Bu and Hui Poh Goh and Nurolaini Kifli and Lam Hong Lee and Khang Wen Goh and Chiau Ming Long}, title = {Consensus holistic virtual screening for drug discovery: a novel machine learning model approach}, journal = {J. Cheminformatics}, volume = {16}, number = {1}, pages = {62}, year = {2024}, url = {https://doi.org/10.1186/s13321-024-00855-8}, doi = {10.1186/S13321-024-00855-8}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcheminf/MoshawihBGKLGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/YaoXGCHPWCL24, author = {Lantian Yao and Peilin Xie and Jiahui Guan and Chia{-}Ru Chung and Yixian Huang and Yuxuan Pang and Huacong Wu and Ying{-}Chih Chiang and Tzong{-}Yi Lee}, title = {CapsEnhancer: An Effective Computational Framework for Identifying Enhancers Based on Chaos Game Representation and Capsule Network}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {14}, pages = {5725--5736}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.4c00546}, doi = {10.1021/ACS.JCIM.4C00546}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/YaoXGCHPWCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcloudc/AuliyaLCLW24, author = {Ridlo Sayyidina Auliya and Yen{-}Lin Lee and Chia{-}Ching Chen and Deron Liang and Wei{-}Jen Wang}, title = {Analysis and prediction of virtual machine boot time on virtualized computing environments}, journal = {J. Cloud Comput.}, volume = {13}, number = {1}, pages = {80}, year = {2024}, url = {https://doi.org/10.1186/s13677-024-00646-4}, doi = {10.1186/S13677-024-00646-4}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcloudc/AuliyaLCLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HungLLLFLWT24, author = {Yuan Hung and Chin Lin and Chin{-}Sheng Lin and Chiao{-}Chin Lee and Wen{-}Hui Fang and Chia{-}Cheng Lee and Chih{-}Hung Wang and Dung{-}Jang Tsai}, title = {Artificial Intelligence-Enabled Electrocardiography Predicts Future Pacemaker Implantation and Adverse Cardiovascular Events}, journal = {J. Medical Syst.}, volume = {48}, number = {1}, pages = {67}, year = {2024}, url = {https://doi.org/10.1007/s10916-024-02088-6}, doi = {10.1007/S10916-024-02088-6}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HungLLLFLWT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/TsaiLLLWF24, author = {Dung{-}Jang Tsai and Chin Lin and Chin{-}Sheng Lin and Chia{-}Cheng Lee and Chih{-}Hung Wang and Wen{-}Hui Fang}, title = {Artificial Intelligence-enabled Chest X-ray Classifies Osteoporosis and Identifies Mortality Risk}, journal = {J. Medical Syst.}, volume = {48}, number = {1}, pages = {12}, year = {2024}, url = {https://doi.org/10.1007/s10916-023-02030-2}, doi = {10.1007/S10916-023-02030-2}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/TsaiLLLWF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenCLFY24, author = {Po{-}Shao Chen and Yen{-}Lung Chen and Yu{-}Chi Lee and Zih{-}Sing Fu and Chia{-}Hsiang Yang}, title = {A 28.8-mW Accelerator {IC} for Dark Channel Prior-Based Blind Image Deblurring}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {6}, pages = {1899--1911}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3344539}, doi = {10.1109/JSSC.2023.3344539}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenCLFY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jtaer/DemirciLLC24, author = {Serhan Demirci and Chia{-}Ju Ling and Dai{-}Rong Lee and Chien{-}Wen Chen}, title = {How Personality Traits Affect Customer Empathy Expression of Social Media Ads and Purchasing Intention: {A} Psychological Perspective}, journal = {J. Theor. Appl. Electron. Commer. Res.}, volume = {19}, number = {1}, pages = {581--596}, year = {2024}, url = {https://doi.org/10.3390/jtaer19010031}, doi = {10.3390/JTAER19010031}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jtaer/DemirciLLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ChengLNHHL24, author = {Chien{-}Hsiang Cheng and Bor{-}Jen Lee and Oswald Ndi Nfor and Chih{-}Hsuan Hsiao and Yi{-}Chia Huang and Yung{-}Po Liaw}, title = {Using machine learning-based algorithms to construct cardiovascular risk prediction models for Taiwanese adults based on traditional and novel risk factors}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {24}, number = {1}, pages = {199}, year = {2024}, url = {https://doi.org/10.1186/s12911-024-02603-2}, doi = {10.1186/S12911-024-02603-2}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ChengLNHHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/LaiLCDC24, author = {Yu{-}Ju Lai and Yi{-}Chieh Lee and Chia{-}Chi Chang and Wan{-}Ting Dai and Ying{-}Yu Chen}, title = {Exploring the Role of Mom's Chat Groups in the Messaging App: Enhancing Support and Empowerment for Stay-At-Home Mothers}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{GROUP}}, pages = {1--20}, year = {2024}, url = {https://doi.org/10.1145/3633068}, doi = {10.1145/3633068}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/LaiLCDC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/TsengLCLTLC24, author = {Yi{-}Li Tseng and Hong{-}Hsiang Liu and Yen{-}Nan Chiu and Chia{-}Hsin Lee and Wen{-}Che Tsai and Yang{-}Min Lin and Yi{-}Ling Chien}, title = {Electroencephalography Connectivity Assesses Cognitive Disorders of Autistic Children During Game-Based Social Interaction}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {16}, number = {2}, pages = {782--793}, year = {2024}, url = {https://doi.org/10.1109/TCDS.2023.3297609}, doi = {10.1109/TCDS.2023.3297609}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/TsengLCLTLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeLYCH24, author = {Kai{-}Xuan Lee and Chun{-}Chieh Lin and Tzu{-}Chiao Yen and Ya{-}Shu Chen and Chan{-}Peng Hsu}, title = {{FASE:} Energy Isolation Framework for Latency-Sensitive Applications in Intermittent Systems With Multiple Peripherals}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {2}, pages = {456--467}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3318199}, doi = {10.1109/TCAD.2023.3318199}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LeeLYCH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/LeeJYCCS24, author = {Boyi Lee and Jhao{-}Yin Jhang and Lo{-}Yao Yeh and Ming{-}Yi Chang and Chia{-}Mei Chen and Chih{-}Ya Shen}, title = {Detecting Targets of Graph Adversarial Attacks With Edge and Feature Perturbations}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {3}, pages = {3218--3231}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3344642}, doi = {10.1109/TCSS.2023.3344642}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/LeeJYCCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/LeeWOLLC24, author = {Jui{-}Hsuan Lee and Eric Hsiao{-}Kuang Wu and Yu{-}Yen Ou and Yueh{-}Che Lee and Cheng{-}Hsun Lee and Chia{-}Ru Chung}, title = {Anti-Drugs Chatbot: Chinese BERT-Based Cognitive Intent Analysis}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {11}, number = {1}, pages = {514--521}, year = {2024}, url = {https://doi.org/10.1109/TCSS.2023.3238477}, doi = {10.1109/TCSS.2023.3238477}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcss/LeeWOLLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/LeeC24a, author = {Chia{-}Yen Lee and Yen{-}Wen Chen}, title = {Reinforcement Learning With Data Envelopment Analysis and Conditional Value-At-Risk for the Capacity Expansion Problem}, journal = {{IEEE} Trans. Engineering Management}, volume = {71}, pages = {6469--6480}, year = {2024}, url = {https://doi.org/10.1109/TEM.2023.3264566}, doi = {10.1109/TEM.2023.3264566}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/LeeC24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/HsuJTLC24, author = {Chih{-}Chung Hsu and Chih{-}Yu Jian and Eng{-}Shen Tu and Chia{-}Ming Lee and Guan{-}Lin Chen}, title = {Real-Time Compressed Sensing for Joint Hyperspectral Image Transmission and Restoration for CubeSat}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--16}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3378828}, doi = {10.1109/TGRS.2024.3378828}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/HsuJTLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/ChenLHP24, author = {Chiao{-}Ting Chen and Chi Lee and Szu{-}Hao Huang and Wen{-}Chih Peng}, title = {Credit Card Fraud Detection via Intelligent Sampling and Self-supervised Learning}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {15}, number = {2}, pages = {35:1--35:29}, year = {2024}, url = {https://doi.org/10.1145/3641283}, doi = {10.1145/3641283}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tist/ChenLHP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/LiuCLH24, author = {Jhih{-}Chen Liu and Chiao{-}Ting Chen and Chi Lee and Szu{-}Hao Huang}, title = {Evolving Knowledge Graph Representation Learning with Multiple Attention Strategies for Citation Recommendation System}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {15}, number = {2}, pages = {33:1--33:26}, year = {2024}, url = {https://doi.org/10.1145/3635273}, doi = {10.1145/3635273}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tist/LiuCLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeCLMLFW24, author = {Hao{-}Wei Lee and Chun{-}Chia Chen and Chen{-}I Stephanie Liao and Abdelkader Medles and Debby Lin and I{-}Kang Fu and Hung{-}Yu Wei}, title = {Interference Mitigation for Reverse Spectrum Sharing in {B5G/6G} Satellite-Terrestrial Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {3}, pages = {4247--4263}, year = {2024}, url = {https://doi.org/10.1109/TVT.2023.3328599}, doi = {10.1109/TVT.2023.3328599}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeCLMLFW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChenLLYL24, author = {Kuan{-}Fu Chen and Ming{-}Chun Lee and Chia{-}Hung Lin and Wan{-}Chi Yeh and Ta{-}Sung Lee}, title = {Multi-Fault and Severity Diagnosis for Self-Organizing Networks Using Deep Supervised Learning and Unsupervised Transfer Learning}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {23}, number = {1}, pages = {141--157}, year = {2024}, url = {https://doi.org/10.1109/TWC.2023.3276313}, doi = {10.1109/TWC.2023.3276313}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ChenLLYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/uais/TsaiLCLHL24, author = {Chia{-}Wen Tsai and Lan{-}Yu Lee and Yih{-}Ping Cheng and Chih{-}Hsien Lin and Min{-}Ling Hung and Jian{-}Wei Lin}, title = {Integrating online meta-cognitive learning strategy and team regulation to develop students' programming skills, academic motivation, and refusal self-efficacy of Internet use in a cloud classroom}, journal = {Univers. Access Inf. Soc.}, volume = {23}, number = {1}, pages = {395--410}, year = {2024}, url = {https://doi.org/10.1007/s10209-022-00958-9}, doi = {10.1007/S10209-022-00958-9}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/uais/TsaiLCLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChiangL24, author = {Cheng{-}Han Chiang and Hung{-}yi Lee}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Merging Facts, Crafting Fallacies: Evaluating the Contradictory Nature of Aggregated Factual Claims in Long-Form Generations}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {2734--2751}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-acl.160}, doi = {10.18653/V1/2024.FINDINGS-ACL.160}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChiangL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LinCL24, author = {Guan{-}Ting Lin and Cheng{-}Han Chiang and Hung{-}yi Lee}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Advancing Large Language Models to Capture Varied Speaking Styles and Respond Properly in Spoken Conversations}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {6626--6642}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.acl-long.358}, doi = {10.18653/V1/2024.ACL-LONG.358}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LinCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/Lee0LSH24, author = {Shu{-}Hung Lee and Chia{-}Hsin Cheng and Kuan{-}Hsien Lu and Yeong{-}Long Shiue and Yung{-}Fa Huang}, editor = {Leonard Barolli}, title = {Performance Improvement of {DE} Algorithm for Indoor Positioning in Wireless Sensor Networks}, booktitle = {Advanced Information Networking and Applications - Proceedings of the 38th International Conference on Advanced Information Networking and Applications (AINA-2024), Kitakyushu, Japan, 17-19 April, 2024, Volume 1}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {199}, pages = {216--226}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57840-3\_20}, doi = {10.1007/978-3-031-57840-3\_20}, timestamp = {Fri, 12 Apr 2024 15:34:52 +0200}, biburl = {https://dblp.org/rec/conf/aina/Lee0LSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LinTLHCYC24, author = {Fang{-}Yu Lin and Pei{-}Hua Tsai and Chia{-}Yi Lee and Yi{-}Ting Ho and Yao{-}Kuang Chen and Yu{-}Chun (Grace) Yen and Yung{-}Ju Chang}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {"I Prefer Regular Visitors to Answer My Questions": Users' Desired Experiential Background of Contributors for Location-based Crowdsourcing Platform}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {735:1--735:18}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642520}, doi = {10.1145/3613904.3642520}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LinTLHCYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/YaoLLTL24, author = {Ting{-}Chia Yao and Kai{-}Wen Lin and Chih{-}Kuo Lee and Po{-}Hsuan Tseng and Che{-}Rung Lee}, editor = {Hossain Shahriar and Hiroyuki Ohsaki and Moushumi Sharmin and Dave Towey and A. K. M. Jahangir Alam Majumder and Yoshiaki Hori and Ji{-}Jiang Yang and Michiharu Takemoto and Nazmus Sakib and Ryohei Banno and Sheikh Iqbal Ahamed}, title = {Training Sequential {CAG} Segmentation Models Without Labeled {CAG} Video Data}, booktitle = {48th {IEEE} Annual Computers, Software, and Applications Conference, {COMPSAC} 2024, Osaka, Japan, July 2-4, 2024}, pages = {934--940}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COMPSAC61105.2024.00129}, doi = {10.1109/COMPSAC61105.2024.00129}, timestamp = {Thu, 05 Sep 2024 13:56:33 +0200}, biburl = {https://dblp.org/rec/conf/compsac/YaoLLTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/ChenHLYH24, author = {Wei{-}Jia Chen and Chia{-}Yi Hsu and Wei{-}Bin Lee and Chia{-}Mu Yu and Chun{-}Ying Huang}, title = {Road Decals as Trojans: Disrupting Autonomous Vehicle Navigation with Adversarial Patterns}, booktitle = {54th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2024 - Supplemental Volume, Brisbane, Australia, June 24-27, 2024}, pages = {133--140}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/DSN-S60304.2024.00039}, doi = {10.1109/DSN-S60304.2024.00039}, timestamp = {Thu, 19 Sep 2024 11:00:54 +0200}, biburl = {https://dblp.org/rec/conf/dsn/ChenHLYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/ChiangL24, author = {Cheng{-}Han Chiang and Hung{-}yi Lee}, editor = {Yvette Graham and Matthew Purver}, title = {Over-Reasoning and Redundant Calculation of Large Language Models}, booktitle = {Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2024 - Volume 2: Short Papers, St. Julian's, Malta, March 17-22, 2024}, pages = {161--169}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.eacl-short.15}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/ChiangL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/LeeC24, author = {Kelvin Kuang{-}Chi Lee and Chiao{-}En Chen}, title = {A Sub-band Precoding Scheme for Wideband Massive {MIMO-OFDM} Systems}, booktitle = {Joint European Conference on Networks and Communications {\&} 6G Summit, EuCNC/6G Summit 2024, Antwerp, Belgium, June 3-6, 2024}, pages = {446--450}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/EuCNC/6GSummit60053.2024.10597134}, doi = {10.1109/EUCNC/6GSUMMIT60053.2024.10597134}, timestamp = {Mon, 29 Jul 2024 21:17:00 +0200}, biburl = {https://dblp.org/rec/conf/eucnc/LeeC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeTKLY24, author = {Cheng{-}Yi Lee and Cheng{-}Chang Tsai and Ching{-}Chia Kao and Chun{-}Shien Lu and Chia{-}Mu Yu}, title = {Defending against Clean-Image Backdoor Attack in Multi-Label Classification}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {5500--5504}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10447895}, doi = {10.1109/ICASSP48485.2024.10447895}, timestamp = {Mon, 05 Aug 2024 15:26:37 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeTKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ChiangLSFHL24, author = {Chia{-}Cheng Chiang and Li{-}Cheng Lan and Wei{-}Fang Sun and Chien Feng and Cho{-}Jui Hsieh and Chun{-}Yi Lee}, title = {Expert Proximity as Surrogate Rewards for Single Demonstration Imitation Learning}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=gzis9n5r7e}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/ChiangLSFHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/HsuHLLL24, author = {Chia{-}Chen Hsu and Chun{-}Lin Huang and Chao{-}Lin Lee and Jenq{-}Kuen Lee and Pei{-}Hung Lin}, title = {The Rewriting of DataRaceBench Benchmark for OpenCL Program Validations}, booktitle = {Workshop Proceedings of the 53rd International Conference on Parallel Processing, {ICPP} Workshops 2024, Gotland, Sweden, August 12-15, 2024}, pages = {15--22}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3677333.3678148}, doi = {10.1145/3677333.3678148}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icppw/HsuHLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/HuangHCL24, author = {Cheng{-}Ting Huang and Mei{-}Lin Huang and Hsin{-}Han Chiang and Ching{-}Hung Lee}, title = {Toward Personalized Car-Following Behaviors From Driver Data Using Learned and Hybrid Control Strategies}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2024, Hsinchu, Taiwan, June 26-28, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICSSE61472.2024.10608932}, doi = {10.1109/ICSSE61472.2024.10608932}, timestamp = {Thu, 15 Aug 2024 11:54:35 +0200}, biburl = {https://dblp.org/rec/conf/icsse/HuangHCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LeeMSWPYZLKWG24, author = {Pin{-}Yu Lee and Shubh P. Mehta and Anurag Sharma and Hong{-}Jian Wei and Antonios N. Pouliopoulos and Yanting Yang and Chenghao Zhang and Andrew F. Laine and Elisa E. Konofagou and Cheng{-}Chia Wu and Jia Guo}, title = {Deep Learning Enables Reduced Gadolinium Dose for Contrast-Enhanced Blood-Brain Barrier Opening Quantitative Measurement}, booktitle = {{IEEE} International Symposium on Biomedical Imaging, {ISBI} 2024, Athens, Greece, May 27-30, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISBI56570.2024.10635626}, doi = {10.1109/ISBI56570.2024.10635626}, timestamp = {Fri, 06 Sep 2024 21:02:06 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LeeMSWPYZLKWG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujiwaraMZKLPJCHHNTLLLCAAWCCLC24, author = {Hidehiro Fujiwara and Haruki Mori and Wei{-}Chang Zhao and Kinshuk Khare and Cheng{-}En Lee and Xiaochen Peng and Vineet Joshi and Chao{-}Kai Chuang and Shu{-}Huan Hsu and Takeshi Hashizume and Toshiaki Naganuma and Chen{-}Hung Tien and Yao{-}Yi Liu and Yen{-}Chien Lai and Chia{-}Fu Lee and Tan{-}Li Chou and Kerem Akarvardar and Saman Adham and Yih Wang and Yu{-}Der Chih and Yen{-}Huei Chen and Hung{-}Jen Liao and Tsung{-}Yung Jonathan Chang}, title = {34.4 {A} 3nm, 32.5TOPS/W, 55.0TOPS/mm\({}^{\mbox{2}}\) and 3.78Mb/mm\({}^{\mbox{2}}\) Fully-Digital Compute-in-Memory Macro Supporting {INT12} {\texttimes} {INT12} with a Parallel-MAC Architecture and Foundry 6T-SRAM Bit Cell}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {572--574}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454556}, doi = {10.1109/ISSCC49657.2024.10454556}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujiwaraMZKLPJCHHNTLLLCAAWCCLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLY24, author = {Tang Lee and Ting{-}Yang Chen and I{-}Hsuan Liu and Chia{-}Hsiang Yang}, title = {2.6 {A} 131mW 6.4Gbps 256{\texttimes}32 Multi-User {MIMO} {OTFS} Detector for Next-Gen Communication Systems}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {46--48}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454410}, doi = {10.1109/ISSCC49657.2024.10454410}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/00010O24, author = {Chia{-}Hsuan Lee and Hao Cheng and Mari Ostendorf}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {OrchestraLLM: Efficient Orchestration of Language Models for Dialogue State Tracking}, booktitle = {Proceedings of the 2024 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies (Volume 1: Long Papers), {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {1434--1445}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.naacl-long.79}, doi = {10.18653/V1/2024.NAACL-LONG.79}, timestamp = {Thu, 29 Aug 2024 17:13:57 +0200}, biburl = {https://dblp.org/rec/conf/naacl/00010O24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11467, author = {David Cheng{-}Han Chiang and Hung{-}Yi Lee}, title = {Over-Reasoning and Redundant Calculation of Large Language Models}, journal = {CoRR}, volume = {abs/2401.11467}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11467}, doi = {10.48550/ARXIV.2401.11467}, eprinttype = {arXiv}, eprint = {2401.11467}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11467.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-00160, author = {Simon A. Lee and Sujay Jain and Alex Chen and Arabdha Biswas and Jennifer Fang and {\'{A}}kos Rudas and Jeffrey N. Chiang}, title = {Multimodal Clinical Pseudo-notes for Emergency Department Prediction Tasks using Multiple Embedding Model for {EHR} {(MEME)}}, journal = {CoRR}, volume = {abs/2402.00160}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.00160}, doi = {10.48550/ARXIV.2402.00160}, eprinttype = {arXiv}, eprint = {2402.00160}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-00160.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01057, author = {Chia{-}Cheng Chiang and Li{-}Cheng Lan and Wei{-}Fang Sun and Chien Feng and Cho{-}Jui Hsieh and Chun{-}Yi Lee}, title = {Expert Proximity as Surrogate Rewards for Single Demonstration Imitation Learning}, journal = {CoRR}, volume = {abs/2402.01057}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01057}, doi = {10.48550/ARXIV.2402.01057}, eprinttype = {arXiv}, eprint = {2402.01057}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01057.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01741, author = {Jasmine Chiat Ling Ong and Liyuan Jin and Kabilan Elangovan and Gilbert Yong San Lim and Daniel Yan Zheng Lim and Gerald Gui Ren Sng and Yuhe Ke and Joshua Yi Min Tung and Ryan Jian Zhong and Christopher Ming Yao Koh and Keane Zhi Hao Lee and Xiang Chen and Jack Kian Chng and Aung Than and Ken Junyang Goh and Daniel Shu Wei Ting}, title = {Development and Testing of a Novel Large Language Model-Based Clinical Decision Support Systems for Medication Safety in 12 Clinical Specialties}, journal = {CoRR}, volume = {abs/2402.01741}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01741}, doi = {10.48550/ARXIV.2402.01741}, eprinttype = {arXiv}, eprint = {2402.01741}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-03988, author = {Liang{-}Hsuan Tseng and En{-}Pei Hu and David Cheng{-}Han Chiang and Yuan Tseng and Hung{-}yi Lee and Lin{-}Shan Lee and Shao{-}Hua Sun}, title = {{REBORN:} Reinforcement-Learned Boundary Segmentation with Iterative Training for Unsupervised {ASR}}, journal = {CoRR}, volume = {abs/2402.03988}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.03988}, doi = {10.48550/ARXIV.2402.03988}, eprinttype = {arXiv}, eprint = {2402.03988}, timestamp = {Fri, 16 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-03988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05629, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, title = {Merging Facts, Crafting Fallacies: Evaluating the Contradictory Nature of Aggregated Factual Claims in Long-Form Generations}, journal = {CoRR}, volume = {abs/2402.05629}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05629}, doi = {10.48550/ARXIV.2402.05629}, eprinttype = {arXiv}, eprint = {2402.05629}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12786, author = {Guan{-}Ting Lin and David Cheng{-}Han Chiang and Hung{-}yi Lee}, title = {Advancing Large Language Models to Capture Varied Speaking Styles and Respond Properly in Spoken Conversations}, journal = {CoRR}, volume = {abs/2402.12786}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12786}, doi = {10.48550/ARXIV.2402.12786}, eprinttype = {arXiv}, eprint = {2402.12786}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12786.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06009, author = {Swapnaja Achintalwar and Adriana Alvarado Garcia and Ateret Anaby{-}Tavor and Ioana Baldini and Sara E. Berger and Bishwaranjan Bhattacharjee and Djallel Bouneffouf and Subhajit Chaudhury and Pin{-}Yu Chen and Lamogha Chiazor and Elizabeth M. Daly and Rog{\'{e}}rio Abreu de Paula and Pierre L. Dognin and Eitan Farchi and Soumya Ghosh and Michael Hind and Raya Horesh and George Kour and Ja Young Lee and Erik Miehling and Keerthiram Murugesan and Manish Nagireddy and Inkit Padhi and David Piorkowski and Ambrish Rawat and Orna Raz and Prasanna Sattigeri and Hendrik Strobelt and Sarathkrishna Swaminathan and Christoph Tillmann and Aashka Trivedi and Kush R. Varshney and Dennis Wei and Shalisha Witherspoon and Marcel Zalmanovici}, title = {Detectors for Safe and Reliable LLMs: Implementations, Uses, and Limitations}, journal = {CoRR}, volume = {abs/2403.06009}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06009}, doi = {10.48550/ARXIV.2403.06009}, eprinttype = {arXiv}, eprint = {2403.06009}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10988, author = {Li{-}Yuan Tsao and Yi{-}Chen Lo and Chia{-}Che Chang and Hao{-}Wei Chen and Roy Tseng and Chien Feng and Chun{-}Yi Lee}, title = {Boosting Flow-based Generative Super-Resolution Models via Learned Prior}, journal = {CoRR}, volume = {abs/2403.10988}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10988}, doi = {10.48550/ARXIV.2403.10988}, eprinttype = {arXiv}, eprint = {2403.10988}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10988.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15791, author = {Mu{-}Yi Shen and Chia{-}Chi Hsu and Hao{-}Yu Hou and Yu{-}Chen Huang and Wei{-}Fang Sun and Chia{-}Che Chang and Yu{-}Lun Liu and Chun{-}Yi Lee}, title = {DriveEnv-NeRF: Exploration of {A} NeRF-Based Autonomous Driving Environment for Real-World Performance Validation}, journal = {CoRR}, volume = {abs/2403.15791}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15791}, doi = {10.48550/ARXIV.2403.15791}, eprinttype = {arXiv}, eprint = {2403.15791}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15791.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-15781, author = {Chih{-}Chung Hsu and Chih{-}Yu Jian and Eng{-}Shen Tu and Chia{-}Ming Lee and Guan{-}Lin Chen}, title = {Real-Time Compressed Sensing for Joint Hyperspectral Image Transmission and Restoration for CubeSat}, journal = {CoRR}, volume = {abs/2404.15781}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.15781}, doi = {10.48550/ARXIV.2404.15781}, eprinttype = {arXiv}, eprint = {2404.15781}, timestamp = {Mon, 03 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-15781.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-10118, author = {Holy Lovenia and Rahmad Mahendra and Salsabil Maulana Akbar and Lester James V. Miranda and Jennifer Santoso and Elyanah Aco and Akhdan Fadhilah and Jonibek Mansurov and Joseph Marvin Imperial and Onno Pepijn Kampman and Joel Ruben Antony Moniz and Muhammad Ravi Shulthan Habibi and Frederikus Hudi and Railey Montalan and Ryan Ignatius and Joanito Agili Lopo and William Nixon and B{\"{o}}rje F. Karlsson and James Jaya and Ryandito Diandaru and Yuze Gao and Patrick Amadeus Irawan and Bin Wang and Jan Christian Blaise Cruz and Chenxi Whitehouse and Ivan Halim Parmonangan and Maria Khelli and Wenyu Zhang and Lucky Susanto and Reynard Adha Ryanda and Sonny Lazuardi Hermawan and Dan John Velasco and Muhammad Dehan Al Kautsar and Willy Fitra Hendria and Yasmin Moslem and Noah Flynn and Muhammad Farid Adilazuarda and Haochen Li and Johanes Lee and R. Damanhuri and Shuo Sun and Muhammad Reza Qorib and Amirbek Djanibekov and Wei Qi Leong and Quyet V. Do and Niklas Muennighoff and Tanrada Pansuwan and Ilham Firdausi Putra and Yan Xu and Ngee Chia Tai and Ayu Purwarianti and Sebastian Ruder and William{-}Chandra Tjhi and Peerat Limkonchotiwat and Alham Fikri Aji and Sedrick Keh and Genta Indra Winata and Ruochen Zhang and Fajri Koto and Zheng Xin Yong and Samuel Cahyawijaya}, title = {SEACrowd: {A} Multilingual Multimodal Data Hub and Benchmark Suite for Southeast Asian Languages}, journal = {CoRR}, volume = {abs/2406.10118}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.10118}, doi = {10.48550/ARXIV.2406.10118}, eprinttype = {arXiv}, eprint = {2406.10118}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-10118.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-18871, author = {Ke{-}Han Lu and Zhehuai Chen and Szu{-}Wei Fu and He Huang and Boris Ginsburg and Yu{-}Chiang Frank Wang and Hung{-}yi Lee}, title = {DeSTA: Enhancing Speech Language Models through Descriptive Speech-Text Alignment}, journal = {CoRR}, volume = {abs/2406.18871}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.18871}, doi = {10.48550/ARXIV.2406.18871}, eprinttype = {arXiv}, eprint = {2406.18871}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-18871.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-19941, author = {Chih{-}Chung Hsu and Shao{-}Ning Chen and Mei{-}Hsuan Wu and Yi{-}Fang Wang and Chia{-}Ming Lee and Yi{-}Shiuan Chou}, title = {{GRACE:} Graph-Regularized Attentive Convolutional Entanglement with Laplacian Smoothing for Robust DeepFake Video Detection}, journal = {CoRR}, volume = {abs/2406.19941}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.19941}, doi = {10.48550/ARXIV.2406.19941}, eprinttype = {arXiv}, eprint = {2406.19941}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-19941.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-05216, author = {Cheng{-}Han Chiang and Wei{-}Chih Chen and Chun{-}Yi Kuan and Chienchou Yang and Hung{-}yi Lee}, title = {Large Language Model as an Assignment Evaluator: Insights, Feedback, and Challenges in a 1000+ Student Course}, journal = {CoRR}, volume = {abs/2407.05216}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.05216}, doi = {10.48550/ARXIV.2407.05216}, eprinttype = {arXiv}, eprint = {2407.05216}, timestamp = {Mon, 12 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-05216.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-07775, author = {Hao{-}Tien Lewis Chiang and Zhuo Xu and Zipeng Fu and Mithun George Jacob and Tingnan Zhang and Tsang{-}Wei Edward Lee and Wenhao Yu and Connor Schenck and David Rendleman and Dhruv Shah and Fei Xia and Jasmine Hsu and Jonathan Hoech and Pete Florence and Sean Kirmani and Sumeet Singh and Vikas Sindhwani and Carolina Parada and Chelsea Finn and Peng Xu and Sergey Levine and Jie Tan}, title = {Mobility {VLA:} Multimodal Instruction Navigation with Long-Context VLMs and Topological Graphs}, journal = {CoRR}, volume = {abs/2407.07775}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.07775}, doi = {10.48550/ARXIV.2407.07775}, eprinttype = {arXiv}, eprint = {2407.07775}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-07775.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-10180, author = {Cheng{-}Yi Lee and Ching{-}Chia Kao and Cheng{-}Han Yeh and Chun{-}Shien Lu and Chia{-}Mu Yu and Chu{-}Song Chen}, title = {Defending Against Repetitive-based Backdoor Attacks on Semi-supervised Learning through Lens of Rate-Distortion-Perception Trade-off}, journal = {CoRR}, volume = {abs/2407.10180}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.10180}, doi = {10.48550/ARXIV.2407.10180}, eprinttype = {arXiv}, eprint = {2407.10180}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-10180.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-15458, author = {Wenze Ren and Yi{-}Cheng Lin and Huang{-}Cheng Chou and Haibin Wu and Yi{-}Chiao Wu and Chi{-}Chun Lee and Hung{-}yi Lee and Yu Tsao}, title = {EMO-Codec: An In-Depth Look at Emotion Preservation capacity of Legacy and Neural Codec Models With Subjective and Objective Evaluations}, journal = {CoRR}, volume = {abs/2407.15458}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.15458}, doi = {10.48550/ARXIV.2407.15458}, eprinttype = {arXiv}, eprint = {2407.15458}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-15458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-11679, author = {Cheng{-}Yi Lee and Cheng{-}Chang Tsai and Chia{-}Mu Yu and Chun{-}Shien Lu}, title = {Exploring Robustness of Visual State Space model against Backdoor Attacks}, journal = {CoRR}, volume = {abs/2408.11679}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.11679}, doi = {10.48550/ARXIV.2408.11679}, eprinttype = {arXiv}, eprint = {2408.11679}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-11679.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-11841, author = {Beatriz Borges and Negar Foroutan and Deniz Bayazit and Anna Sotnikova and Syrielle Montariol and Tanya Nazaretzky and Mohammadreza Banaei and Alireza Sakhaeirad and Philippe Servant and Seyed Parsa Neshaei and Jibril Frej and Angelika Romanou and Gail Weiss and Sepideh Mamooler and Zeming Chen and Simin Fan and Silin Gao and Mete Ismayilzada and Debjit Paul and Alexandre Sch{\"{o}}pfer and Andrej Janchevski and Anja Tiede and Clarence Linden and Emanuele Troiani and Francesco Salvi and Freya Behrens and Giacomo Orsi and Giovanni Piccioli and Hadrien Sevel and Louis Coulon and Manuela Pineros{-}Rodriguez and Marin Bonnassies and Pierre Hellich and Puck van Gerwen and Sankalp Gambhir and Solal Pirelli and Thomas Blanchard and Timoth{\'{e}}e Callens and Toni Abi Aoun and Yannick Calvino Alonso and Yuri Cho and Alberto Silvio Chiappa and Antonio Sclocchi and {\'{E}}tienne Bruno and Florian Hofhammer and Gabriel Pescia and Geovani Rizk and Leello Dadi and Lucas Stoffl and Manoel Horta Ribeiro and Matthieu Bovel and Yueyang Pan and Aleksandra Radenovic and Alexandre Alahi and Alexander Mathis and Anne{-}Florence Bitbol and Boi Faltings and C{\'{e}}cile H{\'{e}}bert and Devis Tuia and Fran{\c{c}}ois Mar{\'{e}}chal and George Candea and Giuseppe Carleo and Jean{-}C{\'{e}}dric Chappelier and Nicolas Flammarion and Jean{-}Marie F{\"{u}}rbringer and Jean{-}Philippe Pellet and Karl Aberer and Lenka Zdeborov{\'{a}} and Marcel Salath{\'{e}} and Martin Jaggi and Martin Rajman and Mathias Payer and Matthieu Wyart and Michael Gastpar and Michele Ceriotti and Ola Svensson and Olivier L{\'{e}}v{\^{e}}que and Paolo Ienne and Rachid Guerraoui and Robert West and Sanidhya Kashyap and Valerio Piazza and Viesturs Simanis and Viktor Kuncak and Volkan Cevher and Philippe Schwaller and Sacha Friedli and Patrick Jermann and Tanja Kaser and Antoine Bosselut}, title = {Could ChatGPT get an Engineering Degree? Evaluating Higher Education Vulnerability to {AI} Assistants}, journal = {CoRR}, volume = {abs/2408.11841}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.11841}, doi = {10.48550/ARXIV.2408.11841}, eprinttype = {arXiv}, eprint = {2408.11841}, timestamp = {Sat, 28 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-11841.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengCKSLLCLCCH23, author = {Chih{-}Han Cheng and Ching{-}Te Chiu and Chia{-}Yu Kuan and Yu{-}Chi Su and Kuan{-}Hsien Liu and Tsung{-}Chan Lee and Jia{-}Lin Chen and Jie{-}Yu Luo and Wei{-}Chang Chung and Yao{-}Ren Chang and Kuan{-}Ying Ho}, title = {Multiple Training Stage Image Enhancement Enrolled With {CCRGAN} Pseudo Templates for Large Area Dry Fingerprint Recognition}, journal = {{IEEE} Access}, volume = {11}, pages = {86790--86800}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3303532}, doi = {10.1109/ACCESS.2023.3303532}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChengCKSLLCLCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeRCCLLCMM23, author = {Yi Chen Lee and Harikrishnan Ramiah and Alexander Choo Chia Chun and Kishore Kumar Pakkirisami Churchill and Nai Shyan Lai and Chee{-}Cheow Lim and Yong Chen and Pui{-}In Mak and Rui Paulo Martins}, title = {High-Performance Multiband Ambient {RF} Energy Harvesting Front-End System for Sustainable IoT Applications - {A} Review}, journal = {{IEEE} Access}, volume = {11}, pages = {11143--11164}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3241458}, doi = {10.1109/ACCESS.2023.3241458}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeRCCLLCMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinLCLCC23, author = {Shih{-}Hsiang Lin and Jun{-}Yi Lee and Chia{-}Chou Chuang and Narn{-}Yih Lee and Pei{-}Yin Chen and Wen{-}Long Chin}, title = {Hardware Implementation of High-Throughput S-Box in {AES} for Information Security}, journal = {{IEEE} Access}, volume = {11}, pages = {59049--59058}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3284142}, doi = {10.1109/ACCESS.2023.3284142}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinLCLCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ChiuLCLSC23, author = {Sheng{-}Min Chiu and Yow{-}Shin Liou and Yi{-}Chung Chen and Chiang Lee and Rong{-}Kang Shang and Tzu{-}Yin Chang}, title = {Identifying key grid cells for crowd flow predictions based on CNN-based models with the Grad-CAM kit}, journal = {Appl. Intell.}, volume = {53}, number = {11}, pages = {13323--13351}, year = {2023}, url = {https://doi.org/10.1007/s10489-022-03988-1}, doi = {10.1007/S10489-022-03988-1}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/ChiuLCLSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/ChenHLHTCLL23, author = {Hsin{-}Hua Chen and Chun{-}Wei Hsueh and Chia{-}Hwa Lee and Ting{-}Yi Hao and Tzu{-}Ying Tu and Lan{-}Yun Chang and Jih{-}Chin Lee and Chun{-}Yu Lin}, title = {{SWEET:} a single-sample network inference method for deciphering individual features in disease}, journal = {Briefings Bioinform.}, volume = {24}, number = {2}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad032}, doi = {10.1093/BIB/BBAD032}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/ChenHLHTCLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodatamining/OuTLTYCBCLSCT23, author = {Shuo{-}Ming Ou and Ming{-}Tsun Tsai and Kuo{-}Hua Lee and Wei{-}Cheng Tseng and Chih{-}Yu Yang and Tz{-}Heng Chen and Pin{-}Jie Bin and Tzeng{-}Ji Chen and Yao{-}Ping Lin and Wayne Huey{-}Herng Sheu and Yuan{-}Chia Chu and Der{-}Cherng Tarng}, title = {Prediction of the risk of developing end-stage renal diseases in newly diagnosed type 2 diabetes mellitus using artificial intelligence algorithms}, journal = {BioData Min.}, volume = {16}, number = {1}, year = {2023}, url = {https://doi.org/10.1186/s13040-023-00324-2}, doi = {10.1186/S13040-023-00324-2}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodatamining/OuTLTYCBCLSCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/TsaiLCLCLLT23, author = {Chia{-}Wen Tsai and Michael Yu{-}Ching Lin and Yih{-}Ping Cheng and Lan{-}Yu Lee and Wen{-}Li Chyr and Chih{-}Hsien Lin and Jian{-}Wei Lin and Meng{-}Chuan Tsai}, title = {The effects of online peer-facilitated learning and distributed pair programming on students' learning}, journal = {Comput. Educ.}, volume = {203}, pages = {104849}, year = {2023}, url = {https://doi.org/10.1016/j.compedu.2023.104849}, doi = {10.1016/J.COMPEDU.2023.104849}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/TsaiLCLCLLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/HuangWNWKLRHYL23, author = {Chih{-}Wei Huang and Bethany C. Y. Wu and Phung Anh Nguyen and Hsiao Han Wang and Chih{-}Chung Kao and Pei{-}Chen Lee and Annisa Ristya Rahmanti and Jason C. Hsu and Hsuan{-}Chia Yang and Yu{-}Chuan (Jack) Li}, title = {Emotion recognition in doctor-patient interactions from real-world clinical video database: Initial development of artificial empathy}, journal = {Comput. Methods Programs Biomed.}, volume = {233}, pages = {107480}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107480}, doi = {10.1016/J.CMPB.2023.107480}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/HuangWNWKLRHYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeYLLWCWGW23, author = {Wei{-}Kai Lee and Huai{-}Che Yang and Cheng{-}Chia Lee and Chia{-}Feng Lu and Chih{-}Chun Wu and Wen{-}Yuh Chung and Hsiu{-}Mei Wu and Wan{-}Yuo Guo and Yu{-}Te Wu}, title = {Lesion delineation framework for vestibular schwannoma, meningioma and brain metastasis for gamma knife radiosurgery using stereotactic magnetic resonance images}, journal = {Comput. Methods Programs Biomed.}, volume = {229}, pages = {107311}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2022.107311}, doi = {10.1016/J.CMPB.2022.107311}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeYLLWCWGW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LouLFLL23, author = {Yu{-}Sheng Lou and Chin{-}Sheng Lin and Wen{-}Hui Fang and Chia{-}Cheng Lee and Chin Lin}, title = {Extensive deep learning model to enhance electrocardiogram application via latent cardiovascular feature extraction from identity identification}, journal = {Comput. Methods Programs Biomed.}, volume = {231}, pages = {107359}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107359}, doi = {10.1016/J.CMPB.2023.107359}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LouLFLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/games/LeeFT23, author = {Jen{-}Yao Lee and Chen{-}Chia Fan and Chien{-}Shu Tsai}, title = {Network Externalities and Downstream Collusion under Asymmetric Costs: {A} Note}, journal = {Games}, volume = {14}, number = {2}, pages = {29}, year = {2023}, url = {https://doi.org/10.3390/g14020029}, doi = {10.3390/G14020029}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/games/LeeFT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/health/BehYLLW23, author = {Win{-}Ken Beh and Yu{-}Chia Yang and Yi{-}Cheng Lo and Yun{-}Chieh Lee and An{-}Yeu Andy Wu}, title = {Machine-aided {PPG} Signal Quality Assessment {(SQA)} for Multi-mode Physiological Signal Monitoring}, journal = {{ACM} Trans. Comput. Heal.}, volume = {4}, number = {2}, pages = {14:1--14:20}, year = {2023}, url = {https://doi.org/10.1145/3587256}, doi = {10.1145/3587256}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/health/BehYLLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/LeeCSHW23, author = {Chia{-}Rong Lee and Edward T.{-}H. Chu and Hong{-}Cheng Shen and Juin Hsu and Hui{-}Mei Wu}, title = {An indoor location-based hospital porter management system and trace analysis}, journal = {Health Informatics J.}, volume = {29}, number = {2}, year = {2023}, url = {https://doi.org/10.1177/14604582231183399}, doi = {10.1177/14604582231183399}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/LeeCSHW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/YehYLLH23, author = {Kuan{-}Cheng Yeh and Chia{-}Hsing Yang and Ming{-}Chun Lee and Ta{-}Sung Lee and Hsiang{-}Hsuan Hung}, title = {Parameter Selection and Radar Fusion for Tracking in Roadside Units}, journal = {{IEICE} Trans. Commun.}, volume = {106}, number = {9}, pages = {855--863}, year = {2023}, url = {https://doi.org/10.1587/transcom.2022ebp3146}, doi = {10.1587/TRANSCOM.2022EBP3146}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetb/YehYLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inffus/HuangCLKH23, author = {Wei{-}Chia Huang and Chiao{-}Ting Chen and Chi Lee and Fan{-}Hsuan Kuo and Szu{-}Hao Huang}, title = {Attentive gated graph sequence neural network-based time-series information fusion for financial trading}, journal = {Inf. Fusion}, volume = {91}, pages = {261--276}, year = {2023}, url = {https://doi.org/10.1016/j.inffus.2022.10.006}, doi = {10.1016/J.INFFUS.2022.10.006}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/inffus/HuangCLKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/LeeCLH23, author = {Shu{-}Hung Lee and Chia{-}Hsin Cheng and Chien{-}Chih Lin and Yung{-}Fa Huang}, title = {Target Positioning and Tracking in WSNs Based on {AFSA}}, journal = {Inf.}, volume = {14}, number = {4}, pages = {246}, year = {2023}, url = {https://doi.org/10.3390/info14040246}, doi = {10.3390/INFO14040246}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/LeeCLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ZhangCLLF23, author = {Yu{-}Ming Zhang and Chia{-}Yuan Cheng and Chih{-}Lung Lin and Chun{-}Chieh Lee and Kuo{-}Chin Fan}, title = {Develop a Lightweight Convolutional Neural Network to Recognize Palms Using 3D Point Clouds}, journal = {Inf.}, volume = {14}, number = {7}, pages = {381}, year = {2023}, url = {https://doi.org/10.3390/info14070381}, doi = {10.3390/INFO14070381}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/ZhangCLLF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcal/WangCLLH23, author = {Wei{-}Sheng Wang and Yu{-}Ping Cheng and Hsin{-}Yu Lee and Chia{-}Ju Lin and Yueh{-}Min Huang}, title = {Impact of anxiety and confidence in virtual reality-mediated learning transferred to hands-on tasks}, journal = {J. Comput. Assist. Learn.}, volume = {39}, number = {4}, pages = {1368--1381}, year = {2023}, url = {https://doi.org/10.1111/jcal.12805}, doi = {10.1111/JCAL.12805}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcal/WangCLLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/GuanYCXZDCL23, author = {Jiahui Guan and Lantian Yao and Chia{-}Ru Chung and Peilin Xie and Yilun Zhang and Junyang Deng and Ying{-}Chih Chiang and Tzong{-}Yi Lee}, title = {Predicting Anti-inflammatory Peptides by Ensemble Machine Learning and Deep Learning}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {24}, pages = {7886--7898}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c01602}, doi = {10.1021/ACS.JCIM.3C01602}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/GuanYCXZDCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChenLLTFLWC23, author = {Yu{-}Hsuan Jamie Chen and Chin{-}Sheng Lin and Chin Lin and Dung{-}Jang Tsai and Wen{-}Hui Fang and Chia{-}Cheng Lee and Chih{-}Hung Wang and Sy{-}Jou Chen}, title = {An AI-Enabled Dynamic Risk Stratification for Emergency Department Patients with {ECG} and {CXR} Integration}, journal = {J. Medical Syst.}, volume = {47}, number = {1}, pages = {81}, year = {2023}, url = {https://doi.org/10.1007/s10916-023-01980-x}, doi = {10.1007/S10916-023-01980-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChenLLTFLWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChenLLTSCL23, author = {Wanshi Chen and Xingqin Lin and Juho Lee and Antti Toskala and Shu Sun and Carla{-}Fabiana Chiasserini and Lingjia Liu}, title = {Guest Editorial Special Issue on 3GPP Technologies: 5G-Advanced and Beyond}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {41}, number = {6}, pages = {1587--1591}, year = {2023}, url = {https://doi.org/10.1109/JSAC.2023.3274048}, doi = {10.1109/JSAC.2023.3274048}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChenLLTSCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ChenLLTSCL23a, author = {Wanshi Chen and Xingqin Lin and Juho Lee and Antti Toskala and Shu Sun and Carla{-}Fabiana Chiasserini and Lingjia Liu}, title = {5G-Advanced Toward 6G: Past, Present, and Future}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {41}, number = {6}, pages = {1592--1619}, year = {2023}, url = {https://doi.org/10.1109/JSAC.2023.3274037}, doi = {10.1109/JSAC.2023.3274037}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ChenLLTSCL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiuCLCML23, author = {Yao{-}Chia Liu and Wei{-}Zen Chen and Yuan{-}Sheng Lee and Yu{-}Hsiang Chen and Shawn Ming and Ying{-}Hsi Lin}, title = {A 103 fJ/b/dB, 10-26 Gb/s Receiver With a Dual Feedback Nested Loop {CDR} for Wide Bandwidth Jitter Tolerance Enhancement}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2801--2811}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3278622}, doi = {10.1109/JSSC.2023.3278622}, timestamp = {Wed, 18 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiuCLCML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/HsiaoKHMCWCKHL23, author = {Shih{-}Ming Hsiao and Mei{-}Chuan Kuo and Pei{-}Ni Hsiao and Sin{-}Hua Moi and Yi{-}Wen Chiu and Shu{-}Li Wang and Tzu{-}Hui Chen and Lan{-}Fang Kung and Shang{-}Jyh Hwang and Chia{-}Lun Lee}, title = {Shared decision-making for renal replacement treatment and illness perception in patients with advanced chronic kidney disease}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {23}, number = {1}, pages = {159}, year = {2023}, url = {https://doi.org/10.1186/s12911-023-02261-w}, doi = {10.1186/S12911-023-02261-W}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/HsiaoKHMCWCKHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/WuLWL23, author = {Cheng{-}Yu Wu and Yu{-}Kai Lin and Chun{-}Kuan Wu and Chia{-}Han Lee}, title = {Deep Learning-Based End-to-End Design for {OFDM} Systems With Hardware Impairments}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {4}, pages = {2468--2482}, year = {2023}, url = {https://doi.org/10.1109/OJCOMS.2023.3322989}, doi = {10.1109/OJCOMS.2023.3322989}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ojcs/WuLWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCCCC23, author = {Chi{-}Yuan Lee and Chia{-}Hung Chen and Hsian{-}Chun Chuang and Shan{-}Yu Chen and Yu{-}Chen Chiang}, title = {Flexible Seven-in-One Microsensor Embedded in High-Pressure Proton Exchange Membrane Water Electrolyzer for Real-Time Microscopic Monitoring}, journal = {Sensors}, volume = {23}, number = {12}, pages = {5489}, year = {2023}, url = {https://doi.org/10.3390/s23125489}, doi = {10.3390/S23125489}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCCHC23, author = {Chi{-}Yuan Lee and Chia{-}Hung Chen and Hsian{-}Chun Chuang and Hsiao{-}Te Hsieh and Yen{-}Chen Chiu}, title = {Long-Acting Real-Time Microscopic Monitoring Inside the Proton Exchange Membrane Water Electrolyzer}, journal = {Sensors}, volume = {23}, number = {12}, pages = {5595}, year = {2023}, url = {https://doi.org/10.3390/s23125595}, doi = {10.3390/S23125595}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJKCH23, author = {Jeng{-}Dao Lee and En{-}Shuo Jheng and Chia{-}Chen Kuo and Hong{-}Ming Chen and Ying{-}Hsiu Hung}, title = {Novel Robotic Arm Working-Area {AI} Protection System}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2765}, year = {2023}, url = {https://doi.org/10.3390/s23052765}, doi = {10.3390/S23052765}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJKCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeSCWLW23, author = {Chi{-}Yuan Lee and Jiann{-}Shing Shieh and Jerry Chen and Xin{-}Wen Wang and Chen{-}Kai Liu and Chia{-}Hsin Wei}, title = {The Application of a Self-Made Integrated Three-in-One Microsensor and Commercially Available Wind Speed Sensor to the Cold Air Pipe of the Heating, Ventilation, and Air Conditioning in a Factory for Real-Time Wireless Measurement}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4471}, year = {2023}, url = {https://doi.org/10.3390/s23094471}, doi = {10.3390/S23094471}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeSCWLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinLHHWLH23, author = {Yi{-}Jia Lin and Chia{-}Chien Lee and Tzu{-}Wei Huang and Wei{-}Chun Hsu and Li{-}Wei Wu and Chen{-}Chun Lin and Hsin Hsiu}, title = {Using Arterial Pulse and Laser Doppler Analyses to Discriminate between the Cardiovascular Effects of Different Running Levels}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3855}, year = {2023}, url = {https://doi.org/10.3390/s23083855}, doi = {10.3390/S23083855}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinLHHWLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/HuLL23, author = {Chia{-}Chang Hu and Wei{-}Chen Lin and Chi{-}Jui Lee}, title = {Generalized Spatial Modulation Aided mmWave Massive {MIMO} Systems With Switch-and-Inverter Hybrid Precoding Design}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {1}, pages = {536--545}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3179867}, doi = {10.1109/JSYST.2022.3179867}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/HuLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/XieGHSLWLCZ23, author = {Wangdong Xie and Liangyu Gan and Leilei Huang and Chunqi Shi and Boxiao Liu and Chia{-}Hsin Wu and Yueh{-}Ting Lee and Jinghong Chen and Runxi Zhang}, title = {A Real-Time Respiration Monitoring System Using WiFi Sensing Based on the Concentric Circle Model}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {2}, pages = {157--168}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2022.3229435}, doi = {10.1109/TBCAS.2022.3229435}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/XieGHSLWLCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/YangWCLHY23, author = {Chung{-}Hsuan Yang and Yi{-}Chung Wu and Yen{-}Lung Chen and Chao{-}Hsi Lee and Jui{-}Hung Hung and Chia{-}Hsiang Yang}, title = {An FM-Index Based High-Throughput Memory-Efficient {FPGA} Accelerator for Paired-End Short-Read Mapping}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {6}, pages = {1331--1341}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3293721}, doi = {10.1109/TBCAS.2023.3293721}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/YangWCLHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YenCWCLKWC23, author = {Chia{-}Heng Yen and Chun{-}Teng Chen and Cheng{-}Yen Wen and Ying{-}Yen Chen and Jih{-}Nung Lee and Shu{-}Yi Kao and Kai{-}Chiang Wu and Mango Chia{-}Tso Chao}, title = {CNN-Based Stochastic Regression for {IDDQ} Outlier Identification}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {11}, pages = {4282--4295}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3253043}, doi = {10.1109/TCAD.2023.3253043}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/YenCWCLKWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ChunLRCMM23, author = {Alexander Choo Chia Chun and Yi Chen Lee and Harikrishnan Ramiah and Yong Chen and Pui{-}In Mak and Rui Paulo Martins}, title = {A High-PCE Range-Extension {CMOS} Rectifier Employing Advanced Topology Amalgamation Technique for Ambient {RF} Energy Harvesting}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {10}, pages = {3747--3751}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3285977}, doi = {10.1109/TCSII.2023.3285977}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ChunLRCMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/MorawskiLACC23, author = {Igor Morawski and Wen{-}Nung Lie and Lee Aing and Jui{-}Chiu Chiang and Kuan{-}Ting Chen}, title = {Deep-Learning Technique for Risk-Based Action Prediction Using Extremely Low-Resolution Thermopile Sensor Array}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {33}, number = {6}, pages = {2852--2863}, year = {2023}, url = {https://doi.org/10.1109/TCSVT.2022.3229059}, doi = {10.1109/TCSVT.2022.3229059}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/MorawskiLACC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/ChungLWL23, author = {Pi{-}Hui Chung and Chia{-}Jung Lee and Hsueh{-}Liang Wu and Cheng{-}Yu Lee}, title = {Innovation Promoter or Inhibitor? Non-Family CEO's Effect on Innovation in Family Businesses}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {9}, pages = {3143--3155}, year = {2023}, url = {https://doi.org/10.1109/TEM.2021.3080115}, doi = {10.1109/TEM.2021.3080115}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/ChungLWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/LeeGC23, author = {Hang Lee and Ruey{-}Shan Guo and Chialin Chen}, title = {E-Learning in the Postpandemic Era: {A} Case Study in Taiwan}, journal = {{IEEE} Trans. Engineering Management}, volume = {70}, number = {10}, pages = {3526--3538}, year = {2023}, url = {https://doi.org/10.1109/TEM.2021.3098605}, doi = {10.1109/TEM.2021.3098605}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tem/LeeGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SrivastavaRRSAF23, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=uyTL5Bvosj}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SrivastavaRRSAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ChangLCL23, author = {Chia{-}Ming Chang and Yi{-}Jheng Lin and Cheng{-}Shang Chang and Duan{-}Shin Lee}, title = {On the Stability Regions of Coded Poisson Receivers With Multiple Classes of Users and Receivers}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {31}, number = {1}, pages = {234--247}, year = {2023}, url = {https://doi.org/10.1109/TNET.2022.3188757}, doi = {10.1109/TNET.2022.3188757}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/ChangLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HsuLCH23, author = {Pai{-}Hsiang Hsu and Yueh{-}Ru Lee and Chia{-}Hung Chen and Chung{-}Chih Hung}, title = {A Low-Noise Area-Efficient Column-Parallel {ADC} With an Input Triplet for a 120-dB High Dynamic Range {CMOS} Image Sensor}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {31}, number = {12}, pages = {1939--1949}, year = {2023}, url = {https://doi.org/10.1109/TVLSI.2023.3323363}, doi = {10.1109/TVLSI.2023.3323363}, timestamp = {Wed, 13 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/HsuLCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/uais/TsaiLTLH23, author = {Chia{-}Wen Tsai and Lan{-}Yu Lee and Hui{-}Wen Tang and Chih{-}Hsien Lin and Lynne Cheng Hsu}, title = {Applying web-mediated co-curricular learning and phenomenon-based learning to improve students' programming skills and self-efficacy in an online programming course}, journal = {Univers. Access Inf. Soc.}, volume = {22}, number = {2}, pages = {555--568}, year = {2023}, url = {https://doi.org/10.1007/s10209-021-00860-w}, doi = {10.1007/S10209-021-00860-W}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/uais/TsaiLTLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChenLL23, author = {Kuan{-}Hsun Chen and Yung{-}Chia Lin and Jenq{-}Kuen Lee}, title = {Guest Editorial: Special Issue on Systems Optimizations for {DSP} and {AI} Applications}, journal = {J. Signal Process. Syst.}, volume = {95}, number = {5}, pages = {569--570}, year = {2023}, url = {https://doi.org/10.1007/s11265-023-01854-y}, doi = {10.1007/S11265-023-01854-Y}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/ChenLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/LeeMCW23, author = {Hao{-}Wei Lee and Abdelkader Medles and Chun{-}Chia Chen and Hung{-}Yu Wei}, title = {Feasibility and Opportunities of Terrestrial Network and Non-Terrestrial Network Spectrum Sharing}, journal = {{IEEE} Wirel. Commun.}, volume = {30}, number = {6}, pages = {36--42}, year = {2023}, url = {https://doi.org/10.1109/MWC.001.2300209}, doi = {10.1109/MWC.001.2300209}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/LeeMCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChiangL23, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Can Large Language Models Be an Alternative to Human Evaluations?}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {15607--15631}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.870}, doi = {10.18653/V1/2023.ACL-LONG.870}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChiangL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ChiangL23a, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Are Synonym Substitution Attacks Really Synonym Substitution Attacks?}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {1853--1878}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.117}, doi = {10.18653/V1/2023.FINDINGS-ACL.117}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ChiangL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HsiaoTLLCLWLGL23, author = {Hung{-}Chang Hsiao and Chia{-}Ping Tsai and Zheng{-}Xian Li and Chao{-}Heng Lee and Jia{-}Sheng Chen and Yu{-}Chen Lai and Jia{-}Chi Wang and Shao{-}Chi Li and Jhih{-}Cyuan Gao and Yi{-}Huan Lee}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Load Balancing Algorithms and Their Impacts on Apache Kafka}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {1726--1735}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386734}, doi = {10.1109/BIGDATA59044.2023.10386734}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/HsiaoTLLCLWLGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HsuCLCL23, author = {Chia Hung Hsu and Yu Chen and Yu{-}Jung Liu and Yu Cheng Chang and Min{-}Jui Lee}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters}, title = {Spelland: Situated Language Learning with a Mixed-Reality Spelling Game through Everyday Objects}, booktitle = {Extended Abstracts of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {597:1--597:6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544549.3583830}, doi = {10.1145/3544549.3583830}, timestamp = {Mon, 24 Apr 2023 09:50:16 +0200}, biburl = {https://dblp.org/rec/conf/chi/HsuCLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/LiWL23, author = {Chia{-}Chang Li and Po{-}Cheng Wu and Che{-}Rung Lee}, title = {{GSLAC:} {GPU} Software Level Access Control for Information Isolation on Cloud Platforms}, booktitle = {{IEEE} International Conference on Cloud Computing Technology and Science, CloudCom 2023, Naples, Italy, December 4-6, 2023}, pages = {34--41}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CloudCom59040.2023.00019}, doi = {10.1109/CLOUDCOM59040.2023.00019}, timestamp = {Thu, 11 Apr 2024 16:38:29 +0200}, biburl = {https://dblp.org/rec/conf/cloudcom/LiWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ShutovaEPEBTCETZRBBSLWWLFSWWLXZWGSLDKO23, author = {Alina Shutova and Egor I. Ershov and Georgy Perevozchikov and Ivan Ermakov and Nikola Banic and Radu Timofte and Richard Collins and Maria Efimova and Arseniy P. Terekhin and Simone Zini and Claudio Rota and Marco Buzzelli and Simone Bianco and Raimondo Schettini and Chunxia Lei and Tingniao Wang and Song Wang and Shuai Liu and Chaoyu Feng and Guangqi Shao and Hao Wang and Xiaotao Wang and Lei Lei and Lu Xu and Chao Zhang and Yasi Wang and Jin Guo and Yangfan Sun and Tianli Liu and Hao Dejun and Furkan Kinli and Baris {\"{O}}zcan and Furkan Kira{\c{c}} and Hyerin Chung and Nakyung Lee and Sungkeun Kwak and Marcos V. Conde and Tim Seizinger and Florin{-}Alexandru Vasluianu and Omar Elezabi and Chia{-}Hsuan Hsieh and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Zhi{-}Kai Huang and Hua{-}En Chang and I{-}Hsiang Chen and Yi{-}Chung Chen and Yuan{-}Chun Chiang}, title = {{NTIRE} 2023 Challenge on Night Photography Rendering}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1982--1993}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00192}, doi = {10.1109/CVPRW59228.2023.00192}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ShutovaEPEBTCETZRBBSLWWLFSWWLXZWGSLDKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YaoTLTCL23, author = {Jie{-}En Yao and Li{-}Yuan Tsao and Yi{-}Chen Lo and Roy Tseng and Chia{-}Che Chang and Chun{-}Yi Lee}, title = {Local Implicit Normalizing Flow for Arbitrary-Scale Image Super-Resolution}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1776--1785}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00177}, doi = {10.1109/CVPR52729.2023.00177}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YaoTLTCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23, author = {Yulun Zhang and Kai Zhang and Zheng Chen and Yawei Li and Radu Timofte and Junpei Zhang and Kexin Zhang and Rui Peng and Yanbiao Ma and Licheng Jia and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Yajun Qiu and Qiang Zhu and Pengfei Li and Qianhui Li and Shuyuan Zhu and Dafeng Zhang and Jia Li and Fan Wang and Chunmiao Li and TaeHyung Kim and Jungkeong Kil and Eon Kim and Yeonseung Yu and Beomyeol Lee and Subin Lee and Seokjae Lim and Somi Chae and Heungjun Choi and Zhi{-}Kai Huang and YiChung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Ui{-}Jin Choi and Marcos V. Conde and Sunder Ali Khowaja and Jiseok Yoon and Ik Hyun Lee and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Jiahuan Ren and Jiayu Wei and Yanfeng Li and Jia Sun and Zhanyi Cheng and Zhiyuan Li and Xu Yao and Xinyi Wang and Danxu Li and Xuan Cui and Jun Cao and Cheng Li and Jianbin Zheng and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 Challenge on Image Super-Resolution ({\texttimes}4): Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1865--1884}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00185}, doi = {10.1109/CVPRW59228.2023.00185}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangZCLTZZPMJHZAHQZLLZZLWLKKKYLLLCCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChiangL23, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {A Closer Look into Using Large Language Models for Automatic Evaluation}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {8928--8942}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.599}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.599}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChiangL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/LinHKCLHWMTLCWR23, author = {W.{-}C. Lin and H.{-}P. Huang and Kuo{-}Hsing Kao and Meng{-}Hsueh Chiang and Darsen D. Lu and Wei{-}Chou Hsu and Yeong{-}Her Wang and William Cheng{-}Yu Ma and Hann{-}Huei Tsai and Y.{-}J. Lee and H.{-}L. Chiang and J.{-}F. Wang and Iuliana P. Radu}, title = {{MOSFET} Characterization with Reduced Supply Voltage at Low Temperatures for Power Efficiency Maximization}, booktitle = {53rd {IEEE} European Solid-State Device Research Conference, {ESSDERC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {9--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSDERC59256.2023.10268514}, doi = {10.1109/ESSDERC59256.2023.10268514}, timestamp = {Fri, 01 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/essderc/LinHKCLHWMTLCWR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcaiot/ChangLCW23, author = {Ray{-}I Chang and Cheng{-}Yen Lee and Po{-}Wei Chen and Chia{-}Hui Wang}, title = {Machine Learning of k-Anonymity Data by using Feature Importance and Margin Preservation}, booktitle = {{IEEE} Global Conference on Artificial Intelligence and Internet of Things, GCAIoT 2023, Dubai, United Arab Emirates, December 10-11, 2023}, pages = {91--96}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCAIoT61060.2023.10385127}, doi = {10.1109/GCAIOT61060.2023.10385127}, timestamp = {Fri, 09 Feb 2024 20:38:48 +0100}, biburl = {https://dblp.org/rec/conf/gcaiot/ChangLCW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChenSLSLS23, author = {Jerry Chen and Jiann{-}Shing Shieh and Chi{-}Yuan Lee and Chuan{-}Jun Su and Yun{-}Chia Liang and Tien{-}Lung Sun}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Autonomous System with Cyber-Physical Integrating Features on Public Utility of Chemical Fiber Factory}, booktitle = {{HCI} International 2023 Posters - 25th International Conference on Human-Computer Interaction, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {IV}}, series = {Communications in Computer and Information Science}, volume = {1835}, pages = {454--460}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36001-5\_58}, doi = {10.1007/978-3-031-36001-5\_58}, timestamp = {Sun, 12 Nov 2023 02:12:38 +0100}, biburl = {https://dblp.org/rec/conf/hci/ChenSLSLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/WangLLHYC23, author = {Jen{-}Hang Wang and Mahesh Liyanawatta and Chia{-}Ying Lee and Yu{-}Ling Huang and Su{-}Hang Yang and Gwo{-}Dong Chen}, editor = {Maiga Chang and Nian{-}Shing Chen and Rita Kuo and George Rudolph and Demetrios G. Sampson and Ahmed Tlili}, title = {Embodied Learning Through Drama-Based Situatedness Using Immersive Technology in the Classroom}, booktitle = {{IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2023, Orem, UT, USA, July 10-13, 2023}, pages = {274--276}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICALT58122.2023.00086}, doi = {10.1109/ICALT58122.2023.00086}, timestamp = {Wed, 11 Oct 2023 10:11:29 +0200}, biburl = {https://dblp.org/rec/conf/icalt/WangLLHYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HuangCCTL23, author = {Sung{-}Feng Huang and Chia{-}Ping Chen and Zhi{-}Sheng Chen and Yu{-}Pao Tsai and Hung{-}Yi Lee}, title = {Personalized Lightweight Text-to-Speech: Voice Cloning with Adaptive Structured Pruning}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10097178}, doi = {10.1109/ICASSP49357.2023.10097178}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/HuangCCTL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/LeeCLSH23, author = {Shu{-}Hung Lee and Chia{-}Hsing Cheng and Kuan{-}Hsien Lu and Yeong{-}Long Shiue and Yung{-}Fa Huang}, title = {A {K-NN} based Area Positioning System in Wireless Sensor Networks}, booktitle = {12th International Conference on Awareness Science and Technology, iCAST 2023, Taichung, Taiwan, November 9-11, 2023}, pages = {46--49}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/iCAST57874.2023.10359293}, doi = {10.1109/ICAST57874.2023.10359293}, timestamp = {Mon, 22 Jan 2024 20:34:12 +0100}, biburl = {https://dblp.org/rec/conf/icawst/LeeCLSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccm/FengTHHLH23, author = {Chung{-}Shun Feng and Chia{-}Wen Tsai and Tsu{-}Wu Hu and Ming{-}Yu Hsiao and Yann{-}Long Lee and Yu{-}Che Huang}, title = {Research on User Experience and Cognitive Psychology Evaluation of Augmented Reality facial effects in Meta Spark, Line, and Snapchat Apps}, booktitle = {Proceedings of the 2023 11th International Conference on Computer and Communications Management, {ICCCM} 2023, Nagoya, Japan, August 4-6, 2023}, pages = {83--89}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3617733.3617747}, doi = {10.1145/3617733.3617747}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccm/FengTHHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChiangCL23, author = {Wei{-}Cheng Chiang and Hsien{-}Sung Chiu and Jin{-}Shyan Lee}, title = {Road Damage Detection Using Deep Learning and Cloud Platforms}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {859--860}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226984}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226984}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChiangCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/TzengHLS23, author = {Jian{-}Wei Tzeng and Cheng{-}Yu Hsueh and Chia{-}An Lee and Wei{-}Yun Shih}, title = {Identifying the Correlation Between Online Exam Answer Trajectory and Test Behavior Based on Artificial Intelligence and Eye Movement Detection Technology}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {503--504}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226745}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226745}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/TzengHLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/TsaiLBXS23, author = {Chi{-}An Tsai and Pei{-}Jun Lee and Trong{-}An Bui and Guo{-}Cheng Xu and Meng{-}Lieh Sheu}, title = {Moving Object Detection for Remote Sensing Video with Satellite Jitter}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043483}, doi = {10.1109/ICCE56470.2023.10043483}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/TsaiLBXS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icemt/LeeWCCY23, author = {Huang{-}Liang Lee and Jung{-}Hua Wu and Yu{-}Chen Chien and Chia{-}Yun Chung and Wei{-}Chieh Yeh}, title = {Research on the Location Selection of Healing Parks - {A} Case Research of Nantun District, Taichung City, Taiwan}, booktitle = {Proceedings of the 7th International Conference on Education and Multimedia Technology, {ICEMT} 2023, Tokyo, Japan, August 29-31, 2023}, pages = {391--396}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3625704.3625739}, doi = {10.1145/3625704.3625739}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icemt/LeeWCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmhi/IzgudenEBKLPCSB23, author = {Dilruba Izguden and Ramazan Erdem and Sedat Bostan and Hao{-}Yun Kao and Yen{-}Chiao Angel Lu and Bolormaa Purevdorj and Chalong Cheewakriangkrai and Kaung Myat Shwe and Jargalsaikhan Badarch and Chiu{-}Hsiang Lee and Chi{-}Chang Chang}, title = {Attitudes towards the Covid-19 Vaccine among Healthcare Workers in Asia: {A} Cross-Sectional Multi-Country Comparison}, booktitle = {The 7th International Conference on Medical and Health Informatics, {ICMHI} 2023, Kyoto, Japan, May 12-14, 2023}, pages = {365--371}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3608298.3608366}, doi = {10.1145/3608298.3608366}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmhi/IzgudenEBKLPCSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/LeeSCC23, author = {Ya{-}Ting Lee and Chao{-}Hung Sun and Chian{-}Song Chiu and Yu{-}Ting Chen}, title = {Design of {A} Hand Back Acupoint Massage Aid}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2023, Ho Chi Minh, Vietnam, July 27-28, 2023}, pages = {508--513}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSSE58758.2023.10227180}, doi = {10.1109/ICSSE58758.2023.10227180}, timestamp = {Fri, 08 Sep 2023 15:28:11 +0200}, biburl = {https://dblp.org/rec/conf/icsse/LeeSCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/Lee0LH23, author = {Shu{-}Hung Lee and Chia{-}Hsin Cheng and Chien{-}Chih Lin and Yung{-}Fa Huang}, editor = {Leonard Barolli}, title = {Applications of Artificial Fish Swarm Algorithms for Indoor Positioning and Target Tracking}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 17th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2023), Toronto, ON, Canada, 5-7 July 2023}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {177}, pages = {229--239}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35836-4\_25}, doi = {10.1007/978-3-031-35836-4\_25}, timestamp = {Tue, 20 Jun 2023 15:24:35 +0200}, biburl = {https://dblp.org/rec/conf/imis/Lee0LH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChiangHL23, author = {Cheng{-}Han Chiang and Wei{-}Ping Huang and Hung{-}yi Lee}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Why We Should Report the Details in Subjective Evaluation of {TTS} More Rigorously}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {5551--5555}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-416}, doi = {10.21437/INTERSPEECH.2023-416}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChiangHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShihTHLL23, author = {Huang{-}Chia Shih and Shih{-}Kai Tai and Cheng{-}You Hu and Wei{-}Syuan Lee and Hsuan{-}Yu Liu}, title = {PhotoSaver: Group Photographing Guidance System Using Multi-Task Cascaded Convolutional Networks}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181414}, doi = {10.1109/ISCAS46773.2023.10181414}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShihTHLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenYWLCLCLCHY23, author = {Yen{-}Lung Chen and Chung{-}Hsuan Yang and Yi{-}Chung Wu and Chao{-}Hsi Lee and Wen{-}Ching Chen and Liang{-}Yi Lin and Nian{-}Shyang Chang and Chun{-}Pin Lin and Chi{-}Shi Chen and Jui{-}Hung Hung and Chia{-}Hsiang Yang}, title = {A Fully Integrated End-to-End Genome Analysis Accelerator for Next-Generation Sequencing}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {44--45}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067532}, doi = {10.1109/ISSCC42615.2023.10067532}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChenYWLCLCLCHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsiehWXLTCYCLLLLLCK23, author = {Sung{-}En Hsieh and Chun{-}Hao Wei and Cheng{-}Xin Xue and Hung{-}Wei Lin and Wei{-}Hsuan Tu and En{-}Jui Chang and Kai{-}Taing Yang and Po{-}Heng Chen and Wei{-}Nan Liao and Li Lian Low and Chia{-}Da Lee and Allen{-}Cl Lu and Jenwei Liang and Chih{-}Chung Cheng and Tzung{-}Hung Kang}, title = {A 70.85-86.27TOPS/W PVT-Insensitive 8b Word-Wise {ACIM} with Post-Processing Relaxation}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {136--137}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067335}, doi = {10.1109/ISSCC42615.2023.10067335}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HsiehWXLTCYCLLLLLCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLSLCLCLCKCWWWCWCC23, author = {Po{-}Hao Lee and Chia{-}Fu Lee and Yi{-}Chun Shih and Hon{-}Jarn Lin and Yen{-}An Chang and Cheng{-}Han Lu and Yu{-}Lin Chen and Chieh{-}Pu Lo and Chung{-}Chieh Chen and Cheng{-}Hsiung Kuo and Tan{-}Li Chou and Chia{-}Yu Wang and J. J. Wu and Roger Wang and Harry Chuang and Yih Wang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {A 16nm 32Mb Embedded {STT-MRAM} with a 6ns Read-Access Time, a 1M-Cycle Write Endurance, 20-Year Retention at 150{\textdegree}C and {MTJ-OTP} Solutions for Magnetic Immunity}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {494--495}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067837}, doi = {10.1109/ISSCC42615.2023.10067837}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLSLCLCLCKCWWWCWCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MoriZLLHCHTLWACFWCCLC23, author = {Haruki Mori and Wei{-}Chang Zhao and Cheng{-}En Lee and Chia{-}Fu Lee and Yu{-}Hao Hsu and Chao{-}Kai Chuang and Takeshi Hashizume and Hao{-}Chun Tung and Yao{-}Yi Liu and Shin{-}Rung Wu and Kerem Akarvardar and Tan{-}Li Chou and Hidehiro Fujiwara and Yih Wang and Yu{-}Der Chih and Yen{-}Huei Chen and Hung{-}Jen Liao and Tsung{-}Yung Jonathan Chang}, title = {A 4nm 6163-TOPS/W/b {\textdollar}{\textbackslash}mathbf\{4790-TOPS/mm\{2\}/b\}{\textdollar} {SRAM} Based Digital-Computing-in-Memory Macro Supporting Bit-Width Flexibility and Simultaneous {MAC} and Weight Update}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {132--133}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067555}, doi = {10.1109/ISSCC42615.2023.10067555}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MoriZLLHCHTLWACFWCCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkACENGLKLKRSACZWTCPHA23, author = {Henry Park and Mohammed Abdullatif and Ehung Chen and Ahmed Elmallah and Qaiser Nehal and Miguel Gandara and Tsz{-}Bin Liu and Amr Khashaba and Joonyeong Lee and Chih{-}Yi Kuan and Dhinessh Ramachandran and Ruey{-}Bo Sun and Atharav Atharav and Yusang Chun and Mantian Zhang and Deng{-}Fu Weng and Chung{-}Hsien Tsai and Chen{-}Hao Chang and Chia{-}Sheng Peng and Sheng{-}Tsung Hsu and Tamer A. Ali}, title = {A 4.63pJ/b 112Gb/s DSP-Based {PAM-4} Transceiver for a Large-Scale Switch in 5nm FinFET}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {110--111}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067613}, doi = {10.1109/ISSCC42615.2023.10067613}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkACENGLKLKRSACZWTCPHA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iv/ChenHCLW23, author = {Kuan{-}Chen Chen and Chung{-}Chian Hsu and Teng{-}Wen Chang and Chang{-}Franw Lee and Cheng{-}Gang Wang}, editor = {Ebad Banissi and Harri Siirtola and Anna Ursyn and Jo{\~{a}}o Moura Pires and Nuno Datia and Kawa Nazemi and Boris Kovalerchuk and Razvan Andonie and Minoru Nakayama and Marco Temperini and Filippo Sciarrone and Quang Vinh Nguyen and Mabule Samuel Mabakane and Adrian Rusu and Urska Cvek and Marjan Trutschl and Heimo M{\"{u}}ller and Rita Francese and Fatma Bouali and Gilles Venturini}, title = {Relational Structure Visualization in Composition}, booktitle = {27th International Conference Information Visualisation, {IV} 2023, Tampere, Finland, July 25-28, 2023}, pages = {23--28}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IV60283.2023.00015}, doi = {10.1109/IV60283.2023.00015}, timestamp = {Fri, 17 Nov 2023 08:57:24 +0100}, biburl = {https://dblp.org/rec/conf/iv/ChenHCLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/HouSHHHXWL23, author = {Hao{-}Yu Hou and Mu{-}Yi Shen and Chia{-}Chi Hsu and En{-}Ming Huang and Yu{-}Chen Huang and Yu{-}Cheng Xia and Chien{-}Yao Wang and Chun{-}Yi Lee}, title = {Ensemble Fusion for Small Object Detection}, booktitle = {18th International Conference on Machine Vision and Applications, {MVA} 2023, Hamamatsu, Japan, July 23-25, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/MVA57639.2023.10215748}, doi = {10.23919/MVA57639.2023.10215748}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/HouSHHHXWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/KondoUYHSHHHXWLHKLKHKISLLY23, author = {Yuki Kondo and Norimichi Ukita and Takayuki Yamaguchi and Hao{-}Yu Hou and Mu{-}Yi Shen and Chia{-}Chi Hsu and En{-}Ming Huang and Yu{-}Chen Huang and Yu{-}Cheng Xia and Chien{-}Yao Wang and Chun{-}Yi Lee and Da Huo and Marc A. Kastner and Tingwei Liu and Yasutomo Kawanishi and Takatsugu Hirayama and Takahiro Komamizu and Ichiro Ide and Yosuke Shinya and Xinyao Liu and Guang Liang and Syusuke Yasui}, title = {{MVA2023} Small Object Detection Challenge for Spotting Birds: Dataset, Methods, and Results}, booktitle = {18th International Conference on Machine Vision and Applications, {MVA} 2023, Hamamatsu, Japan, July 23-25, 2023}, pages = {1--11}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/MVA57639.2023.10215935}, doi = {10.23919/MVA57639.2023.10215935}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mva/KondoUYHSHHHXWLHKLKHKISLLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ram/DingKHAKR23, author = {Tan Jian Ding and Chia Chao Kang and Wang Han and Mohammadmahdi Ariannejad and Lee Yan Kang and Cheng Khai Ren}, title = {Advancements and Challenges of Information Integration in Swarm Robotics}, booktitle = {{IEEE} International Conference on Cybernetics and Intelligent Systems, {CIS} 2023 and {IEEE} Conference on Robotics, Automation and Mechatronics, {RAM} 2023, Penang, Malaysia, June 9-12, 2023}, pages = {89--95}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CIS-RAM55796.2023.10370011}, doi = {10.1109/CIS-RAM55796.2023.10370011}, timestamp = {Tue, 16 Jan 2024 21:01:23 +0100}, biburl = {https://dblp.org/rec/conf/ram/DingKHAKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ram/DingKHAKR23a, author = {Tan Jian Ding and Chia Chao Kang and Wang Han and Mohammadmahdi Ariannejad and Lee Yan Kang and Cheng Khai Ren}, title = {Development Trend of Robotic Exoskeletons}, booktitle = {{IEEE} International Conference on Cybernetics and Intelligent Systems, {CIS} 2023 and {IEEE} Conference on Robotics, Automation and Mechatronics, {RAM} 2023, Penang, Malaysia, June 9-12, 2023}, pages = {114--121}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CIS-RAM55796.2023.10370016}, doi = {10.1109/CIS-RAM55796.2023.10370016}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ram/DingKHAKR23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rep4nlp/ChiangLCG23, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee and Yung{-}Sung Chuang and James R. Glass}, editor = {Burcu Can and Maximilian Mozes and Samuel Cahyawijaya and Naomi Saphra and Nora Kassner and Shauli Ravfogel and Abhilasha Ravichander and Chen Zhao and Isabelle Augenstein and Anna Rogers and Kyunghyun Cho and Edward Grefenstette and Lena Voita}, title = {Revealing the Blind Spot of Sentence Encoder Evaluation by {HEROS}}, booktitle = {Proceedings of the 8th Workshop on Representation Learning for NLP, RepL4NLP@ACL 2023, Toronto, Canada, July 13, 2023}, pages = {289--302}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.repl4nlp-1.24}, doi = {10.18653/V1/2023.REPL4NLP-1.24}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rep4nlp/ChiangLCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smartcloud/LawTFWCCLLL23, author = {Po Ying Law and Chia{-}Cheng Tsai and Tsz Wun Fok and Ching{-}Ting Wang and Chi{-}Hsien Chang and Tsung{-}Yu Chin and Yi{-}Chen Liao and Jen{-}Kuang Lee and Chung{-}Wei Lin}, title = {Secure Medical Data Management Based on Homomorphic Encryption and Secret Sharing}, booktitle = {8th {IEEE} International Conference on Smart Cloud, SmartCloud 2023, Tokyo, Japan, September 16-18, 2023}, pages = {95--98}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SmartCloud58862.2023.00025}, doi = {10.1109/SMARTCLOUD58862.2023.00025}, timestamp = {Mon, 29 Jan 2024 10:01:33 +0100}, biburl = {https://dblp.org/rec/conf/smartcloud/LawTFWCCLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChangXDJLCLLKJG23, author = {En{-}Jui Chang and Cheng{-}Xin Xue and Chetan Deshpande and Gajanan Jedhe and Jenwei Liang and Chih{-}Chung Cheng and Hung{-}Wei Lin and Chia{-}Da Lee and Sushil Kumar and Kim Soon Jway and Zijie Guo and Ritesh Garg and Allen{-}Cl Lu and Chien{-}Hung Lin and Meng{-}Han Hsieh and Tsung{-}Yao Lin and Chih{-}Cheng Chen}, title = {A 12-nm 0.62-1.61 mW Ultra-Low Power Digital CIM-based Deep-Learning System for End-to-End Always-on Vision}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185296}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185296}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChangXDJLCLLKJG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LuLCLCWYCCCCCHL23, author = {C. A. Lu and H. P. Lee and H. C. Chen and Y. C. Lin and Y. H. Chung and S. H. Wang and J. Y. Yeh and V. S. Chang and M. C. Chiang and W. Chang and H. C. Chung and C. F. Cheng and H. H. Hsu and H. H. Liu and William P. N. Chen and C. Y. Lin}, title = {Characterizing and Reducing the Layout Dependent Effect and Gate Resistance to Enable Multiple-Vt Scaling for a 3nm {CMOS} Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185282}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185282}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LuLCLCWYCCCCCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-02731, author = {Hsuan{-}Kung Yang and Yu{-}Ying Chen and Tsung{-}Chih Chiang and Chia{-}Chuan Hsu and Chun{-}Chia Huang and Chun{-}Wei Huang and Jou{-}Min Liu and Ting{-}Ru Liu and Tsu{-}Ching Hsiao and Chun{-}Yi Lee}, title = {Vision based Virtual Guidance for Navigation}, journal = {CoRR}, volume = {abs/2303.02731}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.02731}, doi = {10.48550/ARXIV.2303.02731}, eprinttype = {arXiv}, eprint = {2303.02731}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-02731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-05156, author = {Jie{-}En Yao and Li{-}Yuan Tsao and Yi{-}Chen Lo and Roy Tseng and Chia{-}Che Chang and Chun{-}Yi Lee}, title = {Local Implicit Normalizing Flow for Arbitrary-Scale Image Super-Resolution}, journal = {CoRR}, volume = {abs/2303.05156}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.05156}, doi = {10.48550/ARXIV.2303.05156}, eprinttype = {arXiv}, eprint = {2303.05156}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-05156.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-06274, author = {Simon Graham and Quoc Dang Vu and Mostafa Jahanifar and Martin Weigert and Uwe Schmidt and Wenhua Zhang and Jun Zhang and Sen Yang and Jinxi Xiang and Xiyue Wang and Josef Lorenz Rumberger and Elias Baumann and Peter Hirsch and Lihao Liu and Chenyang Hong and Angelica I. Avil{\'{e}}s{-}Rivero and Ayushi Jain and Heeyoung Ahn and Yiyu Hong and Hussam Azzuni and Min Xu and Mohammad Yaqub and Marie{-}Claire Blache and Beno{\^{\i}}t Pi{\'{e}}gu and Bertrand Vernay and Tim Scherr and Moritz B{\"{o}}hland and Katharina L{\"{o}}ffler and Jiachen Li and Weiqin Ying and Chixin Wang and Dagmar Kainmueller and Carola{-}Bibiane Sch{\"{o}}nlieb and Shuolin Liu and Dhairya Talsania and Yughender Meda and Prakash Mishra and Muhammad Ridzuan and Oliver Neumann and Marcel P. Schilling and Markus Reischl and Ralf Mikut and Banban Huang and Hsiang{-}Chin Chien and Ching{-}Ping Wang and Chia{-}Yen Lee and Hong{-}Kun Lin and Zaiyi Liu and Xipeng Pan and Chu Han and Jijun Cheng and Muhammad Dawood and Srijay Deshpande and Raja Muhammad Saad Bashir and Adam Shephard and Pedro Costa and Jo{\~{a}}o D. Nunes and Aur{\'{e}}lio Campilho and Jaime S. Cardoso and Hrishikesh P. S and Densen Puthussery and Devika R. G and Jiji C V and Ye Zhang and Zijie Fang and Zhifan Lin and Yongbing Zhang and Chunhui Lin and Liukun Zhang and Lijian Mao and Min Wu and Thi Tuong Vi Vo and Soo{-}Hyung Kim and Taebum Lee and Satoshi Kondo and Satoshi Kasai and Pranay Dumbhare and Vedant Phuse and Yash Dubey and Ankush Jamthikar and Trinh Thi Le Vuong and Jin Tae Kwak and Dorsa Ziaei and Hyun Jung and Tianyi Miao and David R. J. Snead and Shan{-}E{-}Ahmed Raza and Fayyaz Minhas and Nasir M. Rajpoot}, title = {CoNIC Challenge: Pushing the Frontiers of Nuclear Detection, Segmentation, Classification and Counting}, journal = {CoRR}, volume = {abs/2303.06274}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.06274}, doi = {10.48550/ARXIV.2303.06274}, eprinttype = {arXiv}, eprint = {2303.06274}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-06274.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-07456, author = {Wanshi Chen and Xingqin Lin and Juho Lee and Antti Toskala and Shu Sun and Carla{-}Fabiana Chiasserini and Lingjia Liu}, title = {5G-Advanced Towards 6G: Past, Present, and Future}, journal = {CoRR}, volume = {abs/2303.07456}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.07456}, doi = {10.48550/ARXIV.2303.07456}, eprinttype = {arXiv}, eprint = {2303.07456}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-07456.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-11816, author = {Sung{-}Feng Huang and Chia{-}Ping Chen and Zhi{-}Sheng Chen and Yu{-}Pao Tsai and Hung{-}yi Lee}, title = {Personalized Lightweight Text-to-Speech: Voice Cloning with Adaptive Structured Pruning}, journal = {CoRR}, volume = {abs/2303.11816}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.11816}, doi = {10.48550/ARXIV.2303.11816}, eprinttype = {arXiv}, eprint = {2303.11816}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-11816.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-01937, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, title = {Can Large Language Models Be an Alternative to Human Evaluations?}, journal = {CoRR}, volume = {abs/2305.01937}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.01937}, doi = {10.48550/ARXIV.2305.01937}, eprinttype = {arXiv}, eprint = {2305.01937}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-01937.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-05083, author = {David Cheng{-}Han Chiang and Yung{-}Sung Chuang and James R. Glass and Hung{-}yi Lee}, title = {Revealing the Blind Spot of Sentence Encoder Evaluation by {HEROS}}, journal = {CoRR}, volume = {abs/2306.05083}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.05083}, doi = {10.48550/ARXIV.2306.05083}, eprinttype = {arXiv}, eprint = {2306.05083}, timestamp = {Wed, 14 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-05083.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-16740, author = {Anthony G. Francis and Claudia P{\'{e}}rez{-}D'Arpino and Chengshu Li and Fei Xia and Alexandre Alahi and Rachid Alami and Aniket Bera and Abhijat Biswas and Joydeep Biswas and Rohan Chandra and Hao{-}Tien Lewis Chiang and Michael Everett and Sehoon Ha and Justin W. Hart and Jonathan P. How and Haresh Karnan and Tsang{-}Wei Edward Lee and Luis J. Manso and Reuth Mirsky and S{\"{o}}ren Pirk and Phani{-}Teja Singamaneni and Peter Stone and Ada V. Taylor and Peter Trautman and Nathan Tsoi and Marynel V{\'{a}}zquez and Xuesu Xiao and Peng Xu and Naoki Yokoyama and Alexander Toshev and Roberto Martin Martin}, title = {Principles and Guidelines for Evaluating Social Robot Navigation Algorithms}, journal = {CoRR}, volume = {abs/2306.16740}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.16740}, doi = {10.48550/ARXIV.2306.16740}, eprinttype = {arXiv}, eprint = {2306.16740}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-16740.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-07047, author = {Bo{-}Ru Lu and Nikita Haduong and Chia{-}Hsuan Lee and Zeqiu Wu and Hao Cheng and Paul Koester and Jean Utke and Tao Yu and Noah A. Smith and Mari Ostendorf}, title = {{DIALGEN:} Collaborative Human-LM Generated Dialogues for Improved Understanding of Human-Human Conversations}, journal = {CoRR}, volume = {abs/2307.07047}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.07047}, doi = {10.48550/ARXIV.2307.07047}, eprinttype = {arXiv}, eprint = {2307.07047}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-07047.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-09143, author = {Yuki Kondo and Norimichi Ukita and Takayuki Yamaguchi and Hao{-}Yu Hou and Mu{-}Yi Shen and Chia{-}Chi Hsu and En{-}Ming Huang and Yu{-}Chen Huang and Yu{-}Cheng Xia and Chien{-}Yao Wang and Chun{-}Yi Lee and Da Huo and Marc A. Kastner and Tingwei Liu and Yasutomo Kawanishi and Takatsugu Hirayama and Takahiro Komamizu and Ichiro Ide and Yosuke Shinya and Xinyao Liu and Guang Liang and Syusuke Yasui}, title = {{MVA2023} Small Object Detection Challenge for Spotting Birds: Dataset, Methods, and Results}, journal = {CoRR}, volume = {abs/2307.09143}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.09143}, doi = {10.48550/ARXIV.2307.09143}, eprinttype = {arXiv}, eprint = {2307.09143}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-09143.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-05657, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, title = {A Closer Look into Automatic Evaluation Using Large Language Models}, journal = {CoRR}, volume = {abs/2310.05657}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.05657}, doi = {10.48550/ARXIV.2310.05657}, eprinttype = {arXiv}, eprint = {2310.05657}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-05657.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04241, author = {Li{-}Hsiang Shen and Kai{-}Ten Feng and Ta{-}Sung Lee and Yuan{-}Chun Lin and Shih{-}Cheng Lin and Chia{-}Chan Chang and Sheng{-}Fuh Chang}, title = {AI-Enabled Unmanned Vehicle-Assisted Reconfigurable Intelligent Surfaces: Deployment, Prototyping, Experiments, and Opportunities}, journal = {CoRR}, volume = {abs/2311.04241}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04241}, doi = {10.48550/ARXIV.2311.04241}, eprinttype = {arXiv}, eprint = {2311.04241}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04241.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-09758, author = {Chia{-}Hsuan Lee and Hao Cheng and Mari Ostendorf}, title = {OrchestraLLM: Efficient Orchestration of Language Models for Dialogue State Tracking}, journal = {CoRR}, volume = {abs/2311.09758}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.09758}, doi = {10.48550/ARXIV.2311.09758}, eprinttype = {arXiv}, eprint = {2311.09758}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-09758.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BuiLCCLL22, author = {Trong{-}An Bui and Pei{-}Jun Lee and Kuan{-}Yu Chen and Chia{-}Ray Chen and Cynthia S. J. Liu and Hsin{-}Chia Lin}, title = {Edge Computing-Based SAT-Video Coding for Remote Sensing}, journal = {{IEEE} Access}, volume = {10}, pages = {52840--52852}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3174553}, doi = {10.1109/ACCESS.2022.3174553}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BuiLCCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLTYYKC22, author = {Mei{-}Juan Chen and Cheng{-}An Lee and Yu{-}Hsiang Tsai and Chieh{-}Ming Yang and Chia{-}Hung Yeh and Lih{-}Jen Kau and Chuan{-}Yu Chang}, title = {Efficient Partition Decision Based on Visual Perception and Machine Learning for H.266/Versatile Video Coding}, journal = {{IEEE} Access}, volume = {10}, pages = {42127--42136}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3168155}, doi = {10.1109/ACCESS.2022.3168155}, timestamp = {Fri, 20 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLTYYKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChengHLL22, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Daeyeal Lee and Bill Lin}, title = {Monolithic 3D Semiconductor Footprint Scaling Exploration Based on {VFET} Standard Cell Layout Methodology, Design Flow, and {EDA} Platform}, journal = {{IEEE} Access}, volume = {10}, pages = {65971--65981}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3184008}, doi = {10.1109/ACCESS.2022.3184008}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChengHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuangLLCLY22, author = {Ching{-}Lon Huang and Feng{-}Chi Lee and Chia{-}Jung Liu and Jyun{-}You Chen and Yi{-}Jen Lin and Shih{-}Chin Yang}, title = {Torque Ripple Reduction for {BLDC} Permanent Magnet Motor Drive Using DC-Link Voltage and Current Modulation}, journal = {{IEEE} Access}, volume = {10}, pages = {51272--51284}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3173325}, doi = {10.1109/ACCESS.2022.3173325}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HuangLLCLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KhanCPZHLCLLFS22, author = {Zuhaib Khan and Yung{-}Hao Chang and Te{-}Lieh Pan and Yaung{-}Cheng Zhao and Yen{-}Yu Huang and Chia{-}Hung Lee and Jui{-}Sheng Chang and Cheng{-}Yi Liu and Cheng{-}Yuan Lee and Chao{-}Yi Fang and Jin{-}Wei Shi}, title = {High-Brightness, High-Speed, and Low-Noise {VCSEL} Arrays for Optical Wireless Communication}, journal = {{IEEE} Access}, volume = {10}, pages = {2303--2317}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2021.3133436}, doi = {10.1109/ACCESS.2021.3133436}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KhanCPZHLCLLFS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCYCWHC22, author = {Ko{-}Feng Lee and Xiu{-}Zhi Chen and Chao{-}Wei Yu and Kai{-}Yi Chin and Yih{-}Chen Wang and Chia{-}Yu Hsiao and Yen{-}Lin Chen}, title = {An Intelligent Driving Assistance System Based on Lightweight Deep Learning Models}, journal = {{IEEE} Access}, volume = {10}, pages = {111888--111900}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3213328}, doi = {10.1109/ACCESS.2022.3213328}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeCYCWHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/LeeCH22, author = {Chia{-}Yen Lee and Bai{-}Jian Chou and Chen{-}Feng Huang}, title = {Data science and reinforcement learning for price forecasting and raw material procurement in petrochemical industry}, journal = {Adv. Eng. Informatics}, volume = {51}, pages = {101443}, year = {2022}, url = {https://doi.org/10.1016/j.aei.2021.101443}, doi = {10.1016/J.AEI.2021.101443}, timestamp = {Fri, 18 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aei/LeeCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/ChiuCL22, author = {Sheng{-}Min Chiu and Yi{-}Chung Chen and Chiang Lee}, title = {Estate price prediction system based on temporal and spatial features and lightweight deep learning model}, journal = {Appl. Intell.}, volume = {52}, number = {1}, pages = {808--834}, year = {2022}, url = {https://doi.org/10.1007/s10489-021-02472-6}, doi = {10.1007/S10489-021-02472-6}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apin/ChiuCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/LinLCMK22, author = {Yu{-}Da Lin and Yi{-}Chen Lee and Chih{-}Po Chiang and Sin{-}Hua Moi and Jung{-}Yu Kan}, title = {{MOAI:} a multi-outcome interaction identification approach reveals an interaction between vaspin and carcinoembryonic antigen on colorectal cancer prognosis}, journal = {Briefings Bioinform.}, volume = {23}, number = {1}, year = {2022}, url = {https://doi.org/10.1093/bib/bbab427}, doi = {10.1093/BIB/BBAB427}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bib/LinLCMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/KungLHTCLLCWL22, author = {Yi{-}Chia Kung and Chia{-}Wei Li and Fan{-}Chi Hsiao and Pei{-}Jung Tsai and Shuo Chen and Ming{-}Kang Li and Hsin{-}Chien Lee and Chun{-}Yen Chang and Changwei W. Wu and Ching{-}Po Lin}, title = {Cross-Scale Dynamicity of Entropy and Connectivity in the Sleeping Brain}, journal = {Brain Connect.}, volume = {12}, number = {9}, pages = {835--845}, year = {2022}, url = {https://doi.org/10.1089/brain.2021.0174}, doi = {10.1089/BRAIN.2021.0174}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/brain/KungLHTCLLCWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ShihLCLHWTCW22, author = {Ming{-}Lang Shih and Jih{-}Chin Lee and Sheng{-}Yao Cheng and Bashir Lawal and Ching{-}Liang Ho and Cheng{-}Chia Wu and David T. W. Tzeng and Jia{-}Hong Chen and Alexander T. H. Wu}, title = {Transcriptomic discovery of a theranostic signature (S\emph{ERPINE1/MMP3/COL1A1/SPP1}) for head and neck squamous cell carcinomas and identification of antrocinol as a candidate drug}, journal = {Comput. Biol. Medicine}, volume = {150}, pages = {106185}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.106185}, doi = {10.1016/J.COMPBIOMED.2022.106185}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/ShihLCLHWTCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/GharleghiAEOECG22, author = {Ramtin Gharleghi and Dona Adikari and Katy Ellenberger and Sze{-}Yuan Ooi and Chris Ellis and Chung{-}Ming Chen and Ruochen Gao and Yuting He and Raabid Hussain and Chia{-}Yen Lee and Jun Li and Jun Ma and Ziwei Nie and Bruno Oliveira and Yaolei Qi and Youssef Skandarani and Jo{\~{a}}o L. Vila{\c{c}}a and Xiyue Wang and Sen Yang and Arcot Sowmya and Susann Beier}, title = {Automated segmentation of normal and diseased coronary arteries - The {ASOCA} challenge}, journal = {Comput. Medical Imaging Graph.}, volume = {97}, pages = {102049}, year = {2022}, url = {https://doi.org/10.1016/j.compmedimag.2022.102049}, doi = {10.1016/J.COMPMEDIMAG.2022.102049}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/GharleghiAEOECG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/electronicmarkets/HuangCHL22, author = {Cheng{-}Kui Huang and Shin{-}Horng Chen and Chia{-}Chen Hu and Ming{-}Ching Lee}, title = {Understanding the adoption of the mask-supply information platforms during the {COVID-19}}, journal = {Electron. Mark.}, volume = {32}, number = {4}, pages = {2405--2427}, year = {2022}, url = {https://doi.org/10.1007/s12525-022-00602-7}, doi = {10.1007/S12525-022-00602-7}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/electronicmarkets/HuangCHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/ChangLCL22, author = {Jui{-}Hung Chang and Chia{-}Lun Lee and Fu{-}Hsing Chen and Chih{-}Lung Lin}, title = {Optical properties of a-Si: {H} thin-film transistors by illumination by white light with different colour temperatures}, journal = {{IET} Circuits Devices Syst.}, volume = {16}, number = {5}, pages = {399--409}, year = {2022}, url = {https://doi.org/10.1049/cds2.12114}, doi = {10.1049/CDS2.12114}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/ChangLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/LinCCLW22, author = {Yen{-}Hsun Lin and Yi{-}Chung Chen and Sheng{-}Min Chiu and Chiang Lee and Fu{-}Cheng Wang}, title = {Applying Check-in Data and User Profiles to Identify Optimal Store Locations in a Road Network}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {11}, number = {5}, pages = {314}, year = {2022}, url = {https://doi.org/10.3390/ijgi11050314}, doi = {10.3390/IJGI11050314}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/LinCCLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijira/WangLCL22, author = {Jen{-}Wei Wang and Chia{-}Lien Li and Jian{-}Lun Chen and Jyh{-}Jone Lee}, title = {Robot grasping in dense clutter via view-based experience transfer}, journal = {Int. J. Intell. Robotics Appl.}, volume = {6}, number = {1}, pages = {23--37}, year = {2022}, url = {https://doi.org/10.1007/s41315-021-00179-y}, doi = {10.1007/S41315-021-00179-Y}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijira/WangLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijst/KwekTLTA22, author = {Lee{-}Chung Kwek and Alan Wee{-}Chiat Tan and Heng{-}Siong Lim and Cheah Heng Tan and Khaled A. Alaghbari}, title = {Sparse representation and reproduction of speech signals in complex Fourier basis}, journal = {Int. J. Speech Technol.}, volume = {25}, number = {1}, pages = {211--217}, year = {2022}, url = {https://doi.org/10.1007/s10772-021-09941-w}, doi = {10.1007/S10772-021-09941-W}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijst/KwekTLTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeCCLH22, author = {Chi{-}Han Lee and Ronald Y. Chang and Shin{-}Ming Cheng and Chia{-}Hsiang Lin and Chiu{-}Han Hsiao}, title = {Joint Beamforming and Power Allocation for {M2M/H2H} Co-Existence in Green Dynamic {TDD} Networks: Low-Complexity Optimal Designs}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {6}, pages = {4799--4815}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3109697}, doi = {10.1109/JIOT.2021.3109697}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeeCCLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/istr/LinPSLHLLLC22, author = {Ying{-}Dar Lin and Jehoshua{-}Hanky Pratama and Didik Sudyana and Yuan{-}Cheng Lai and Ren{-}Hung Hwang and Po{-}Ching Lin and Hsuan{-}Yu Lin and Wei{-}Bin Lee and Chen{-}Kuo Chiang}, title = {{ELAT:} Ensemble Learning with Adversarial Training in defending against evaded intrusions}, journal = {J. Inf. Secur. Appl.}, volume = {71}, pages = {103348}, year = {2022}, url = {https://doi.org/10.1016/j.jisa.2022.103348}, doi = {10.1016/J.JISA.2022.103348}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/istr/LinPSLHLLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/HungCL22, author = {Shiu{-}Wan Hung and Min{-}Jhih Cheng and Chia{-}Jung Lee}, title = {A new mechanism for purchasing through personal interactions: fairness, trust and social influence in online group buying}, journal = {Inf. Technol. People}, volume = {35}, number = {5}, pages = {1563--1589}, year = {2022}, url = {https://doi.org/10.1108/ITP-05-2020-0329}, doi = {10.1108/ITP-05-2020-0329}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itp/HungCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/WangCKL22, author = {San{-}Fu Wang and Hua{-}Pin Chen and Yitsen Ku and Chia{-}Ling Lee}, title = {Voltage-Mode Biquad Filter Using Four OTAs and Its Application in Quadrature Oscillator with Noninteractive Control of the Oscillation Condition and Frequency}, journal = {J. Circuits Syst. Comput.}, volume = {31}, number = {4}, pages = {2250078:1--2250078:21}, year = {2022}, url = {https://doi.org/10.1142/S0218126622500785}, doi = {10.1142/S0218126622500785}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/WangCKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/LeeC22, author = {Chia{-}Yen Lee and Chen{-}Fu Chien}, title = {Pitfalls and protocols of data science in manufacturing practice}, journal = {J. Intell. Manuf.}, volume = {33}, number = {5}, pages = {1189--1207}, year = {2022}, url = {https://doi.org/10.1007/s10845-020-01711-w}, doi = {10.1007/S10845-020-01711-W}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/LeeC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeASZKVCFGCMOL22, author = {Sae Kyu Lee and Ankur Agrawal and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matthew Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and Monodeep Kar and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 7-nm Four-Core Mixed-Precision {AI} Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS {INT4} Inference, and Workload-Aware Throttling}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {182--197}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3120113}, doi = {10.1109/JSSC.2021.3120113}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeASZKVCFGCMOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HsuLLY22, author = {Chih{-}Chung Hsu and Chia{-}Yen Lee and Cheng{-}Jhong Lin and Hung Yeh}, title = {A comprehensive study of age-related macular degeneration detection}, journal = {Multim. Tools Appl.}, volume = {81}, number = {9}, pages = {11897--11916}, year = {2022}, url = {https://doi.org/10.1007/s11042-021-11896-8}, doi = {10.1007/S11042-021-11896-8}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HsuLLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HuangLCHTXBLWZW22, author = {Hsi{-}Yuan Huang and Yang{-}Chi{-}Dung Lin and Shi{-}Dong Cui and Yixian Huang and Yun Tang and Jia{-}Tong Xu and Jiayang Bao and Yulin Li and Jia Wen and Hua{-}Li Zuo and Weijuan Wang and Jing Li and Jie Ni and Yini Ruan and Liping Li and Yidan Chen and Yue{-}Yang Xie and Zihao Zhu and Xiao{-}Xuan Cai and Xin{-}Yi Chen and Lantian Yao and Yi{-}Gang Chen and Yijun Luo and Shupeng Luxu and Mengqi Luo and Chih{-}Min Chiu and Kun Ma and Lizhe Zhu and Gui{-}Juan Cheng and Chen Bai and Ying{-}Chih Chiang and Liping Wang and Feng{-}Xiang Wei and Tzong{-}Yi Lee and Hsien{-}Da Huang}, title = {miRTarBase update 2022: an informative resource for experimentally validated miRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {222--230}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1079}, doi = {10.1093/NAR/GKAB1079}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HuangLCHTXBLWZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/JhongYPLCWLLLMH22, author = {Jhih{-}Hua Jhong and Lantian Yao and Yuxuan Pang and Zhongyan Li and Chia{-}Ru Chung and Rulan Wang and Shangfu Li and Wenshuo Li and Mengqi Luo and Renfei Ma and Yuqi Huang and Xiaoning Zhu and Jiahong Zhang and Hexiang Feng and Qifan Cheng and Chunxuan Wang and Kun Xi and Li{-}Ching Wu and Tzu{-}Hao Chang and Jorng{-}Tzong Horng and Lizhe Zhu and Ying{-}Chih Chiang and Zhuo Wang and Tzong{-}Yi Lee}, title = {dbAMP 2.0: updated resource for antimicrobial peptides with an enhanced scanning method for genomic and proteomic data}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {460--470}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1080}, doi = {10.1093/NAR/GKAB1080}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/JhongYPLCWLLLMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LeeCYCLC22, author = {Ming{-}Che Lee and Jia{-}Wei Chang and Sheng{-}Cheng Yeh and Tsorng{-}Lin Chia and Jie{-}Shan Liao and Xu{-}Ming Chen}, title = {Applying attention-based BiLSTM and technical indicators in the design and performance analysis of stock trading strategies}, journal = {Neural Comput. Appl.}, volume = {34}, number = {16}, pages = {13267--13279}, year = {2022}, url = {https://doi.org/10.1007/s00521-021-06828-4}, doi = {10.1007/S00521-021-06828-4}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/LeeCYCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/MaffeiGSAAZWMHS22, author = {Chiara Maffei and Gabriel Girard and Kurt G. Schilling and Dogu Baran Aydogan and Nagesh Adluru and Andrey Zhylka and Ye Wu and Matteo Mancini and Andac Hamamci and Alessia Sarica and Achille Teillac and Steven H. Baete and Davood Karimi and Fang{-}Cheng Yeh and Mert E. Yildiz and Ali Gholipour and Yann Bihan{-}Poudec and Bassem Hiba and Andrea Quattrone and Aldo Quattrone and Tommy Boshkovski and Nikola Stikov and Pew{-}Thian Yap and Alberto De Luca and Josien P. W. Pluim and Alexander Leemans and Vivek Prabhakaran and Barbara B. Bendlin and Andrew L. Alexander and Bennett A. Landman and Erick Jorge Canales{-}Rodr{\'{\i}}guez and Muhamed Barakovic and Jonathan Rafael{-}Patino and Thomas Yu and Ga{\"{e}}tan Rensonnet and Simona Schiavi and Alessandro Daducci and Marco Pizzolato and Elda Fischi Gomez and Jean{-}Philippe Thiran and George Dai and Giorgia Grisot and Nikola Lazovski and Santi Puch and Marc Ramos and Paulo Rodrigues and Vesna Prckovska and Robert Jones and Julia Lehman and Suzanne N. Haber and Anastasia Yendiki}, title = {Insights from the IronTract challenge: Optimal methods for mapping brain pathways from multi-shell diffusion {MRI}}, journal = {NeuroImage}, volume = {257}, pages = {119327}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119327}, doi = {10.1016/J.NEUROIMAGE.2022.119327}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/MaffeiGSAAZWMHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LinCLSFLLTWL22, author = {Chin Lin and Tom Chau and Chin{-}Sheng Lin and Hung{-}Sheng Shang and Wen{-}Hui Fang and Ding{-}Jie Lee and Chia{-}Cheng Lee and Shi{-}Hung Tsai and Chih{-}Hung Wang and Shih{-}Hua Lin}, title = {Point-of-care artificial intelligence-enabled {ECG} for dyskalemia: a retrospective cohort analysis for accuracy and outcome prediction}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-021-00550-0}, doi = {10.1038/S41746-021-00550-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LinCLSFLLTWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/WuTLCYCCHPHCLSL22, author = {I{-}Wen Wu and Tsung{-}Hsien Tsai and Chi{-}Jen Lo and Yi{-}Ju Chou and Chi{-}Hsiao Yeh and Yun{-}Hsuan Chan and Jun{-}Hong Chen and Paul Wei{-}Che Hsu and Heng{-}Chih Pan and Heng{-}Jung Hsu and Chun{-}Yu Chen and Chin{-}Chan Lee and Yu{-}Chiau Shyu and Chih{-}Lang Lin and Mei{-}Ling Cheng and Chi{-}Chun Lai and Huey{-}Kang Sytwu and Ting{-}Fen Tsai}, title = {Discovering a trans-omics biomarker signature that predisposes high risk diabetic patients to diabetic kidney disease}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00713-7}, doi = {10.1038/S41746-022-00713-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/WuTLCYCCHPHCLSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/ChiangHCCL22, author = {Chi{-}Lun Chiang and Chin{-}Yu Huang and Chang{-}Yu Chiu and Kai{-}Wen Chen and Chen{-}Hua Lee}, title = {Analysis and assessment of weighted combinatorial criterion for test suite reduction}, journal = {Qual. Reliab. Eng. Int.}, volume = {38}, number = {1}, pages = {358--388}, year = {2022}, url = {https://doi.org/10.1002/qre.2984}, doi = {10.1002/QRE.2984}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qre/ChiangHCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ShenLL22, author = {Po{-}Cheng Shen and Meng{-}Xiu Lu and Chia{-}Yen Lee}, title = {Spatio-Temporal Anomaly Detection for Substrate Strip Bin Map in Semiconductor Assembly Process}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {4}, pages = {9493--9500}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3191185}, doi = {10.1109/LRA.2022.3191185}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/ShenLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChengSKYLCL22, author = {Kuo{-}Sheng Cheng and Ya{-}Ling Su and Li{-}Chieh Kuo and Tai{-}Hua Yang and Chia{-}Lin Lee and Wenxi Chen and Shing{-}Hong Liu}, title = {Muscle Mass Measurement Using Machine Learning Algorithms with Electrical Impedance Myography}, journal = {Sensors}, volume = {22}, number = {8}, pages = {3087}, year = {2022}, url = {https://doi.org/10.3390/s22083087}, doi = {10.3390/S22083087}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChengSKYLCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChuangLSYC22, author = {Chia{-}Chun Chuang and Chien{-}Ching Lee and Edmund Cheung So and Chia{-}Hong Yeng and Yeou{-}Jiunn Chen}, title = {Multi-Task Learning-Based Deep Neural Network for Steady-State Visual Evoked Potential-Based Brain-Computer Interfaces}, journal = {Sensors}, volume = {22}, number = {21}, pages = {8303}, year = {2022}, url = {https://doi.org/10.3390/s22218303}, doi = {10.3390/S22218303}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChuangLSYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HsiuLWHCLC22, author = {Hsin Hsiu and Shun{-}Ku Lin and Wan{-}Ling Weng and Chaw{-}Mew Hung and Che{-}Kai Chang and Chia{-}Chien Lee and Chao{-}Tsung Chen}, title = {Discrimination of the Cognitive Function of Community Subjects Using the Arterial Pulse Spectrum and Machine-Learning Analysis}, journal = {Sensors}, volume = {22}, number = {3}, pages = {806}, year = {2022}, url = {https://doi.org/10.3390/s22030806}, doi = {10.3390/S22030806}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HsiuLWHCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsaiHCWDHSKLHLK22, author = {Cheng{-}Yu Tsai and Huei{-}Tyng Huang and Hsueh{-}Chien Cheng and Jieni Wang and Ping{-}Jung Duh and Wen{-}Hua Hsu and Marc Stettler and Yi{-}Chun Kuan and Yin{-}Tzu Lin and Chia{-}Rung Hsu and Kang{-}Yun Lee and Jiunn{-}Horng Kang and Dean Wu and Hsin{-}Chien Lee and Cheng{-}Jung Wu and Arnab Majumdar and Wen{-}Te Liu}, title = {Screening for Obstructive Sleep Apnea Risk by Using Machine Learning Approaches and Anthropometric Features}, journal = {Sensors}, volume = {22}, number = {22}, pages = {8630}, year = {2022}, url = {https://doi.org/10.3390/s22228630}, doi = {10.3390/S22228630}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TsaiHCWDHSKLHLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ChenLCC22, author = {Yi{-}Hui Chen and Jia{-}Ye Lee and Min{-}Hsien Chiang and Shih{-}Hsin Chen}, title = {Verifiable (2, n) Image Secret Sharing Scheme Using Sudoku Matrix}, journal = {Symmetry}, volume = {14}, number = {7}, pages = {1445}, year = {2022}, url = {https://doi.org/10.3390/sym14071445}, doi = {10.3390/SYM14071445}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ChenLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/ChengLHMML22, author = {Fan{-}Tien Cheng and Chia{-}Yen Lee and Min{-}Hsiung Hung and Lars M{\"{o}}nch and James R. Morrison and Kaibo Liu}, title = {Special Issue on Automation Analytics Beyond Industry 4.0: From Hybrid Strategy to Zero-Defect Manufacturing}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {3}, pages = {1472--1476}, year = {2022}, url = {https://doi.org/10.1109/TASE.2022.3180525}, doi = {10.1109/TASE.2022.3180525}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/ChengLHMML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeLKSC22, author = {Shuenn{-}Yuh Lee and Hao{-}Yun Lee and Chia{-}Ho Kung and Po{-}Han Su and Ju{-}Yi Chen}, title = {A 0.8-{\(\mu\)}W and 74-dB High-Pass Sigma-Delta Modulator With {OPAMP} Sharing and Noise-Coupling Techniques for Biomedical Signal Acquisition}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {16}, number = {5}, pages = {742--751}, year = {2022}, url = {https://doi.org/10.1109/TBCAS.2022.3201328}, doi = {10.1109/TBCAS.2022.3201328}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LeeLKSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LuLWHCCHOLCCLLL22, author = {Ting{-}Heng Lu and Chi{-}Wei Liu and Chuan{-}Yi Wu and Cong{-}Sheng Huang and Jing{-}Siang Chen and Ling{-}Chia Chen and Yao{-}Wei Huang and I{-}Che Ou and Sook{-}Kuan Lee and Yen{-}Chi Chen and Po{-}Hung Chen and Chi{-}Te Liu and Ying{-}Chih Liao and Yu{-}Te Liao}, title = {A Wireless Soil pH and Conductance Monitoring Chip Powered by Soil Microbial and Photovoltaic Energy Cells}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {16}, number = {6}, pages = {1008--1020}, year = {2022}, url = {https://doi.org/10.1109/TBCAS.2022.3222089}, doi = {10.1109/TBCAS.2022.3222089}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LuLWHCCHOLCCLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/NienWLCCCLKC22, author = {Yu{-}Teng Nien and Kai{-}Chiang Wu and Dong{-}Zhen Lee and Ying{-}Yen Chen and Po{-}Lin Chen and Mason Chern and Jih{-}Nung Lee and Shu{-}Yi Kao and Mango Chia{-}Tso Chao}, title = {Methodology of Generating Timing-Slack-Based Cell-Aware Tests}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {11}, pages = {5057--5070}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2021.3135785}, doi = {10.1109/TCAD.2021.3135785}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/NienWLCCCLKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChiuDLCWHLCLTCL22, author = {Ching{-}Te Chiu and Yu{-}Chun Ding and Wei{-}Chen Lin and Wei{-}Jyun Chen and Shu{-}Yun Wu and Chao{-}Tsung Huang and Chun{-}Yeh Lin and Chia{-}Yu Chang and Meng{-}Jui Lee and Shimazu Tatsunori and Tsung Chen and Fan{-}Yi Lin and Yuan{-}Hao Huang}, title = {Chaos LiDAR Based {RGB-D} Face Classification System With Embedded {CNN} Accelerator on FPGAs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {12}, pages = {4847--4859}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2022.3190430}, doi = {10.1109/TCSI.2022.3190430}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/ChiuDLCWHLCLTCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/ChenSHBLLMC22, author = {Kuan{-}Hsun Chen and Chiahui Su and Christian Hakert and Sebastian Buschj{\"{a}}ger and Chao{-}Lin Lee and Jenq{-}Kuen Lee and Katharina Morik and Jian{-}Jia Chen}, title = {Efficient Realization of Decision Trees for Real-Time Inference}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {21}, number = {6}, pages = {68:1--68:26}, year = {2022}, url = {https://doi.org/10.1145/3508019}, doi = {10.1145/3508019}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/ChenSHBLLMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ChiuCKHHCL22, author = {Sheng{-}Min Chiu and Yi{-}Chung Chen and Cheng{-}Ju Kuo and Li{-}Chun Hung and Min{-}Hsiung Hung and Chao{-}Chun Chen and Chiang Lee}, title = {Development of Lightweight {RBF-DRNN} and Automated Framework for {CNC} Tool-Wear Prediction}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--11}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3164063}, doi = {10.1109/TIM.2022.3164063}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ChiuCKHHCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ChenTWCLCTSY22, author = {Chun{-}Chuan Chen and Meng{-}Chang Tsai and Eric Hsiao{-}Kuang Wu and Chia{-}Ru Chung and Yuchi Lee and Po{-}Ru Chiu and Po{-}Yi Tsai and Shao{-}Rong Sheng and Shih{-}Ching Yeh}, title = {Neuronal Abnormalities Induced by an Intelligent Virtual Reality System for Methamphetamine Use Disorder}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {7}, pages = {3458--3465}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3154759}, doi = {10.1109/JBHI.2022.3154759}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ChenTWCLCTSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/FangLFSCLSKQMSC22, author = {Huihui Fang and Fei Li and Huazhu Fu and Xu Sun and Xingxing Cao and Fengbin Lin and Jaemin Son and Sunho Kim and Gwenol{\'{e}} Quellec and Sarah Matta and Sharath M. Shankaranarayana and Yi{-}Ting Chen and Chuen{-}heng Wang and Nisarg A. Shah and Chia{-}Yen Lee and Chih{-}Chung Hsu and Hai Xie and Baiying Lei and Ujjwal Baid and Shubham Innani and Kang Dang and Wenxiu Shi and Ravi Kamble and Nitin Singhal and Ching{-}Wei Wang and Shih{-}Chang Lo and Jos{\'{e}} Ignacio Orlando and Hrvoje Bogunovic and Xiulan Zhang and Yanwu Xu}, title = {{ADAM} Challenge: Detecting Age-Related Macular Degeneration From Fundus Images}, journal = {{IEEE} Trans. Medical Imaging}, volume = {41}, number = {10}, pages = {2828--2847}, year = {2022}, url = {https://doi.org/10.1109/TMI.2022.3172773}, doi = {10.1109/TMI.2022.3172773}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/FangLFSCLSKQMSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/LiuYLCCL22, author = {Tzu{-}Hsuan Liu and Che{-}Hao Yu and Yi{-}Jheng Lin and Chia{-}Ming Chang and Cheng{-}Shang Chang and Duan{-}Shin Lee}, title = {{ALOHA} Receivers: {A} Network Calculus Approach for Analyzing Coded Multiple Access With {SIC}}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {30}, number = {2}, pages = {840--854}, year = {2022}, url = {https://doi.org/10.1109/TNET.2021.3123685}, doi = {10.1109/TNET.2021.3123685}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/LiuYLCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChengHHLL22, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Chester Holtz and Daeyeal Lee and Bill Lin}, title = {Machine Learning Prediction for Design and System Technology Co-Optimization Sensitivity Analysis}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {30}, number = {8}, pages = {1059--1072}, year = {2022}, url = {https://doi.org/10.1109/TVLSI.2022.3172938}, doi = {10.1109/TVLSI.2022.3172938}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChengHHLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChiangL22, author = {David Cheng{-}Han Chiang and Hung{-}Yi Lee}, title = {On the Transferability of Pre-trained Language Models: {A} Study from Artificial Datasets}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {10518--10525}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i10.21295}, doi = {10.1609/AAAI.V36I10.21295}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChiangL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/LiaoLYYTLCCCLL22, author = {Ting{-}Hsuan Liao and Huang{-}Ru Liao and Shan{-}Ya Yang and Jie{-}En Yao and Li{-}Yuan Tsao and Hsu{-}Shen Liu and Chen{-}Hao Chao and Bo{-}Wun Cheng and Chia{-}Che Chang and Yi{-}Chen Lo and Chun{-}Yi Lee}, title = {{ELDA:} Using Edges to Have an Edge on Semantic Segmentation Based {UDA}}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {108}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/108/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/LiaoLYYTLCCCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LinLHCYC22, author = {Fang{-}Yu Lin and Chia{-}Yi Lee and Yi{-}Ting Ho and Yao{-}Kuang Chen and Yu{-}Chun (Grace) Yen and Yung{-}Ju Chang}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {What Kinds of Experiences Do You Desire? {A} Preliminary Study of the Desired Experiences of Contributors to Location-Based Mobile Crowdsourcing}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {220:1--220:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3519744}, doi = {10.1145/3491101.3519744}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LinLHCYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/ChiangLHCP22, author = {Yen{-}Han Chiang and Hsin{-}Yu Lee and Yi{-}Wei Huang and Hui{-}Shan Chen and Yi{-}Lun Pan}, title = {Cloud-Based Sepsis Prediction System with Neural Architecture Search Service}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2022, Las Vegas, NV, USA, December 14-16, 2022}, pages = {19--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSCI58124.2022.00011}, doi = {10.1109/CSCI58124.2022.00011}, timestamp = {Mon, 22 Apr 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/csci/ChiangLHCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeCWC22, author = {Dong{-}Zhen Lee and Ying{-}Yen Chen and Kai{-}Chiang Wu and Mango C.{-}T. Chao}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Improving Cell-Aware Test for Intra-Cell Short Defects}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {436--441}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774502}, doi = {10.23919/DATE54114.2022.9774502}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeCWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTCKXLLCCYSCJXZBSZGLWZZLWSWLZYDZ22, author = {Andrey Ignatov and Radu Timofte and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Yu{-}Syuan Xu and Man{-}Yu Lee and Allen Lu and Chia{-}Ming Cheng and Chih{-}Cheng Chen and Jia{-}Ying Yong and Hong{-}Han Shuai and Wen{-}Huang Cheng and Zhuang Jia and Tianyu Xu and Yijian Zhang and Long Bao and Heng Sun and Diankai Zhang and Si Gao and Shaoli Liu and Biao Wu and Xiaofeng Zhang and Chengjian Zheng and Kaidi Lu and Ning Wang and Xiao Sun and Haodong Wu and Xuncheng Liu and Weizhan Zhang and Caixia Yan and Haipeng Du and Qinghua Zheng and Qi Wang and Wangdu Chen and Ran Duan and Mengdi Sun and Dan Zhu and Guannan Chen and Hojin Cho and Steve Kim and Shijie Yue and Chenghua Li and Zhengyang Zhuge and Wei Chen and Wenxu Wang and Yufeng Zhou and Xiaochen Cai and Hengxing Cai and Kele Xu and Li Liu and Zehua Cheng and Wenyi Lian and Wenjing Lian}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Power Efficient Video Super-Resolution on Mobile NPUs with Deep Learning, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {130--152}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_6}, doi = {10.1007/978-3-031-25066-8\_6}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTCKXLLCCYSCJXZBSZGLWZZLWSWLZYDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChangCCHSLO22, author = {Wan{-}Jung Chang and Ming{-}Che Chen and Tsung{-}Sheng Cheng and Chia{-}Hao Hsu and Jian{-}Ping Su and Shih{-}Hsiung Lee and Yang{-}Kun Ou}, title = {ThermalPose: {A} Real-Time 2D Human Skeleton Recognition System Using Thermal Imaging}, booktitle = {11th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2022, Osaka, Japan, October 18-21, 2022}, pages = {290--291}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCCE56475.2022.10014222}, doi = {10.1109/GCCE56475.2022.10014222}, timestamp = {Sat, 28 Jan 2023 23:52:06 +0100}, biburl = {https://dblp.org/rec/conf/gcce/ChangCCHSLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/ChiuCLJ22, author = {C. L. Chiu and Ting{-}Rong Chen and Chia{-}Wei Lee and Jau{-}Ji Jou}, title = {The Study of 60 GHz Wideband Conductor-Backed Coplanar Waveguide on a {TGV} Substrate}, booktitle = {11th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2022, Osaka, Japan, October 18-21, 2022}, pages = {816--817}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCCE56475.2022.10014135}, doi = {10.1109/GCCE56475.2022.10014135}, timestamp = {Sat, 28 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/ChiuCLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/LeeLCC22, author = {Sheng{-}Huei Lee and Jian{-}Hong Liu and Bin{-}Yi Chen and Chia{-}Chi Chu}, title = {A Two-Stage Data-Driven Algorithm to Estimate the System Inertia Utilizing Event-Driven Disturbed {PMU} Measurements}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2022, Detroit, MI, USA, October 9-14, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IAS54023.2022.9939958}, doi = {10.1109/IAS54023.2022.9939958}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/LeeLCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdh/ChenHCHHLCKLW22, author = {Chi{-}Yu Chen and Po{-}Chien Hsu and Tang{-}Chen Chang and Huan Ho and Min{-}Chun Hu and Chi{-}Chun Lee and Hui{-}Ju Chen and Mary Hsin{-}Ju Ko and Chia{-}Fan Lee and Pei{-}Yi Wang}, editor = {Sheikh Iqbal Ahamed and Claudio Agostino Ardagna and Hongyi Bian and Mario A. Bochicchio and Carl K. Chang and Rong N. Chang and Ernesto Damiani and Lin Liu and Misha Pavel and Corrado Priami and Hossain Shahriar and Robert Ward and Fatos Xhafa and Jia Zhang and Farhana H. Zulkernine}, title = {Computer Vision Based Cognition Assessment for Developmental-Behavioral Screening}, booktitle = {{IEEE} International Conference on Digital Health, {ICDH} 2022, Barcelona, Spain, July 10-16, 2022}, pages = {151--156}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDH55609.2022.00031}, doi = {10.1109/ICDH55609.2022.00031}, timestamp = {Tue, 20 Aug 2024 07:54:45 +0200}, biburl = {https://dblp.org/rec/conf/icdh/ChenHCHHLCKLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceit/ChenHTLHH22, author = {Yi{-}Hsien Chen and Nen{-}Fu Huang and Jian{-}Wei Tzeng and Chia{-}An Lee and You{-}Xuan Huang and Hao{-}Hsuan Huang}, title = {A Personalized Learning Path Recommender System with {LINE} Bot in MOOCs Based on {LSTM}}, booktitle = {11th International Conference on Educational and Information Technology, {ICEIT} 2022, Chengdu, China, January 6-8, 2022}, pages = {40--45}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIT54416.2022.9690754}, doi = {10.1109/ICEIT54416.2022.9690754}, timestamp = {Fri, 18 Feb 2022 10:36:39 +0100}, biburl = {https://dblp.org/rec/conf/iceit/ChenHTLHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChaoSCLCLCCL22, author = {Chen{-}Hao Chao and Wei{-}Fang Sun and Bo{-}Wun Cheng and Yi{-}Chen Lo and Chia{-}Che Chang and Yu{-}Lun Liu and Yu{-}Lin Chang and Chia{-}Ping Chen and Chun{-}Yi Lee}, title = {Denoising Likelihood Score Matching for Conditional Score-based Data Generation}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=LcF-EEt8cCC}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChaoSCLCLCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/NiTCCKLCHHKHG22, author = {Yu{-}Shu Ni and Chia{-}Chi Tsai and Chih{-}Cheng Chen and Po{-}Yu Chen and Hsien{-}Kai Kuo and Man{-}Yu Lee and Kuo Chin{-}Chuan and Zhe{-}Ln Hu and Po{-}Chi Hu and Ted T. Kuo and Jenq{-}Neng Hwang and Jiun{-}In Guo}, title = {Summary of the 2022 Low-Power Deep Learning Semantic Segmentation Model Compression Competition for Traffic Scene In Asian Countries}, booktitle = {{IEEE} International Conference on Multimedia and Expo Workshops, {ICME} Workshops 2022, Taipei, Taiwan, July 18-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICMEW56448.2022.9859367}, doi = {10.1109/ICMEW56448.2022.9859367}, timestamp = {Wed, 31 Aug 2022 10:57:44 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/NiTCCKLCHHKHG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphys/LeeC22, author = {Wei{-}chen Lee and Wei{-}hsun Chiang}, title = {Development of a Leaf-Sweeping Robot}, booktitle = {5th {IEEE} International Conference on Industrial Cyber-Physical Systems, {ICPS} 2022, Coventry, United Kingdom, May 24-26, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPS51978.2022.9816868}, doi = {10.1109/ICPS51978.2022.9816868}, timestamp = {Mon, 06 Nov 2023 13:38:03 +0100}, biburl = {https://dblp.org/rec/conf/icphys/LeeC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/ChenWCLL22, author = {Chia{-}Mei Chen and Yu{-}Xuan Wang and Zheng{-}Xun Cai and Boyi Lee and Gu{-}Hsin Lai}, editor = {Sun{-}Yuan Hsieh and Ling{-}Ju Hung and Ralf Klasing and Chia{-}Wei Lee and Sheng{-}Lung Peng}, title = {Automatic Summarization of Critical Threat Intelligence Using Transfer Learning}, booktitle = {New Trends in Computer Technologies and Applications - 25th International Computer Symposium, {ICS} 2022, Taoyuan, Taiwan, December 15-17, 2022, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1723}, pages = {343--348}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-981-19-9582-8\_30}, doi = {10.1007/978-981-19-9582-8\_30}, timestamp = {Wed, 15 Feb 2023 15:44:27 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/ChenWCLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKSCL22, author = {Hao{-}Yun Lee and Chia{-}Ho Kung and Po{-}Han Su and Ju{-}Yi Chen and Shuenn{-}Yuh Lee}, title = {High-Pass Sigma-Delta Modulator with Operational Amplifier Sharing and Noise-Coupling Technique for Biomedical Signal Acquisition}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {2580--2583}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937857}, doi = {10.1109/ISCAS48785.2022.9937857}, timestamp = {Thu, 17 Nov 2022 15:59:17 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LeeKSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/ChenKLTKLLCLC22, author = {Po{-}Yan Chen and Bing{-}Ting Ke and Tai{-}Cheng Lee and I{-}Ching Tsai and Tai{-}Wei Kung and Li{-}Yi Lin and En{-}Cheng Liu and Yun{-}Chih Chang and Yih{-}Lang Li and Mango C.{-}T. Chao}, editor = {Laleh Behjat and Stephen Yang}, title = {A Reinforcement Learning Agent for Obstacle-Avoiding Rectilinear Steiner Tree Construction}, booktitle = {{ISPD} 2022: International Symposium on Physical Design, Virtual Event, Canada, March 27 - 30, 2022}, pages = {107--115}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3505170.3506721}, doi = {10.1145/3505170.3506721}, timestamp = {Thu, 14 Apr 2022 14:53:52 +0200}, biburl = {https://dblp.org/rec/conf/ispd/ChenKLTKLLCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChenSLLCTKLC22, author = {Li{-}Wei Chen and Yao{-}Nien Sui and Tai{-}Cheng Lee and Yih{-}Lang Li and Mango C.{-}T. Chao and I{-}Ching Tsai and Tai{-}Wei Kung and En{-}Cheng Liu and Yun{-}Chih Chang}, title = {Path-Based Pre-Routing Timing Prediction for Modern Very Large-Scale Integration Designs}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806225}, doi = {10.1109/ISQED54688.2022.9806225}, timestamp = {Mon, 04 Jul 2022 17:06:19 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ChenSLLCTKLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujiwaraMZCNCHS22, author = {Hidehiro Fujiwara and Haruki Mori and Wei{-}Chang Zhao and Mei{-}Chen Chuang and Rawan Naous and Chao{-}Kai Chuang and Takeshi Hashizume and Dar Sun and Chia{-}Fu Lee and Kerem Akarvardar and Saman Adham and Tan{-}Li Chou and Mahmut Ersin Sinangil and Yih Wang and Yu{-}Der Chih and Yen{-}Huei Chen and Hung{-}Jen Liao and Tsung{-}Yung Jonathan Chang}, title = {A 5-nm 254-TOPS/W 221-TOPS/mm\({}^{\mbox{2}}\) Fully-Digital Computing-in-Memory Macro Supporting Wide-Range Dynamic-Voltage-Frequency Scaling and Simultaneous {MAC} and Write Operations}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731754}, doi = {10.1109/ISSCC42614.2022.9731754}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujiwaraMZCNCHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuWCLLWLLHHLC0L22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Chung Kuang Chen and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Chao Lin and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Han{-}Sung Chen and Yuan{-}Hao Chang and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Keh{-}Chung Wang and Meng{-}Fan Chang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {A 512Gb In-Memory-Computing 3D-NAND Flash Supporting Similar-Vector-Matching Operations on Edge-AI Devices}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {138--140}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731775}, doi = {10.1109/ISSCC42614.2022.9731775}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuWCLLWLLHHLC0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/VermaBSWNLYEJSZ22, author = {Ashutosh Verma and Venumadhav Bhagavatula and Amitoj Singh and Wanghua Wu and Hariharan Nagarajan and Pak{-}Kim Lau and Xiaohua Yu and Omar Elsayed and Ajaypat Jain and Anirban Sarkar and Fan Zhang and Che{-}Chun Kuo and Patrick McElwee and Pei{-}Yuan Chiang and Chengkai Guo and Zhanjun Bai and Tienyu Chang and Abishek Mann and Andreas Rydin and Xingliang Zhao and Jeiyoung Lee and Daeyoung Yoon and Chih{-}Wei Yao and Siuchuang{-}Ivan Lu and Sang Won Son and Thomas Byunghak Cho}, title = {A 16-Channel, 28/39GHz Dual-Polarized 5G {FR2} Phased-Array Transceiver {IC} with a Quad-Stream {IF} Transceiver Supporting Non-Contiguous Carrier Aggregation up to 1.6GHz {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731664}, doi = {10.1109/ISSCC42614.2022.9731664}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/VermaBSWNLYEJSZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuLCHLCOLCCLLL22, author = {Chuan{-}Yi Wu and Chi{-}Wei Liu and Jing{-}Siang Chen and Cong{-}Sheng Huang and Ting{-}Heng Lu and Ling{-}Chia Chen and I{-}Che Ou and Sook{-}Kuan Lee and Yen{-}Chi Chen and Po{-}Hung Chen and Chi{-}Te Liu and Ying{-}Chih Liao and Yu{-}Te Liao}, title = {A Self-powering Wireless Soil-pH and Electrical Conductance Monitoring {IC} with Hybrid Microbial Electrochemical and Photovoltaic Energy Harvesting}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731723}, doi = {10.1109/ISSCC42614.2022.9731723}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WuLCHLCOLCCLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YanHYLZYMYYLCH22, author = {Bonan Yan and Jeng{-}Long Hsu and Pang{-}Cheng Yu and Chia{-}Chi Lee and Yaojun Zhang and Wenshuo Yue and Guoqiang Mei and Yuchao Yang and Yue Yang and Hai Li and Yiran Chen and Ru Huang}, title = {A 1.041-Mb/mm\({}^{\mbox{2}}\) 27.38-TOPS/W Signed-INT8 Dynamic-Logic-Based ADC-less {SRAM} Compute-in-Memory Macro in 28nm with Reconfigurable Bitwise Operation for {AI} and Embedded Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {188--190}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731545}, doi = {10.1109/ISSCC42614.2022.9731545}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YanHYLZYMYYLCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuW0LLWLHLSHHLC22, author = {Han{-}Wen Hu and Wei{-}Chen Wang and Yuan{-}Hao Chang and Yung{-}Chun Lee and Bo{-}Rong Lin and Huai{-}Mu Wang and Yen{-}Po Lin and Yu{-}Ming Huang and Chong{-}Ying Lee and Tzu{-}Hsiang Su and Chih{-}Chang Hsieh and Chia{-}Ming Hu and Yi{-}Ting Lai and Chung Kuang Chen and Han{-}Sung Chen and Hsiang{-}Pang Li and Tei{-}Wei Kuo and Meng{-}Fan Chang and Keh{-}Chung Wang and Chun{-}Hsiung Hung and Chih{-}Yuan Lu}, title = {{ICE:} An Intelligent Cognition Engine with 3D NAND-based In-Memory Computing for Vector Similarity Search Acceleration}, booktitle = {55th {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2022, Chicago, IL, USA, October 1-5, 2022}, pages = {763--783}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MICRO56248.2022.00058}, doi = {10.1109/MICRO56248.2022.00058}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuW0LLWLHLSHHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/ChangCCL22, author = {Ting{-}Hsuan Chang and Sheng{-}Min Chiu and Yi{-}Chung Chen and Chiang Lee}, title = {Using spatial, temporal, and external factors to enhance prediction of shared-transport users}, booktitle = {The 9th Multidisciplinary International Social Networks Conference, {MISNC} 2022, Matsuyama, Japan, October 29-31, 2022}, pages = {5--11}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3561278.3561282}, doi = {10.1145/3561278.3561282}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/misnc/ChangCCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/ChengL0WKLL22, author = {Chih{-}Hsien Cheng and Shao{-}Yung Lee and Xin Chen and Chia{-}Hsuan Wang and Hao{-}Chung Kuo and Ming{-}Jun Li and Gong{-}Ru Lin}, title = {Beyond 66-Gbps Error-Free {NRZ-OOK} Encoded Dual-Mode {VCSEL} Back-to-Back Data Link}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9850167}, doi = {10.23919/OECC/PSC53152.2022.9850167}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ps/ChengL0WKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/JainCQLCHRC22, author = {Vivek A. Jain and Hao{-}Tse Chu and Shixiong Qi and Chia{-}An Lee and Hung{-}Cheng Chang and Cheng{-}Ying Hsieh and K. K. Ramakrishnan and Jyh{-}Cheng Chen}, editor = {Fernando Kuipers and Ariel Orda}, title = {L\({}^{\mbox{2}}\)5GC: a low latency 5G core network based on high-performance {NFV} platforms}, booktitle = {{SIGCOMM} '22: {ACM} {SIGCOMM} 2022 Conference, Amsterdam, The Netherlands, August 22 - 26, 2022}, pages = {143--157}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544216.3544267}, doi = {10.1145/3544216.3544267}, timestamp = {Mon, 15 Aug 2022 15:08:50 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/JainCQLCHRC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/KaoWCCTL22, author = {Wei{-}Tsung Kao and Yuan{-}Kuei Wu and Chia{-}Ping Chen and Zhi{-}Sheng Chen and Yu{-}Pao Tsai and Hung{-}Yi Lee}, title = {On the Efficiency of Integrating Self-Supervised Learning and Meta-Learning for User-Defined Few-Shot Keyword Spotting}, booktitle = {{IEEE} Spoken Language Technology Workshop, {SLT} 2022, Doha, Qatar, January 9-12, 2023}, pages = {414--421}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SLT54892.2023.10022697}, doi = {10.1109/SLT54892.2023.10022697}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slt/KaoWCCTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ChangTHLCC0G22, author = {Ruei{-}Che Chang and Chao{-}Hsien Ting and Chia{-}Sheng Hung and Wan{-}Chen Lee and Liang{-}Jin Chen and Yu{-}Tzu Chao and Bing{-}Yu Chen and Anhong Guo}, editor = {Maneesh Agrawala and Jacob O. Wobbrock and Eytan Adar and Vidya Setlur}, title = {OmniScribe: Authoring Immersive Audio Descriptions for 360{\textdegree} Videos}, booktitle = {The 35th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2022, Bend, OR, USA, 29 October 2022 - 2 November 2022}, pages = {15:1--15:14}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526113.3545613}, doi = {10.1145/3526113.3545613}, timestamp = {Mon, 31 Oct 2022 17:28:09 +0100}, biburl = {https://dblp.org/rec/conf/uist/ChangTHLCC0G22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LeeLYCW022, author = {Chi{-}Jung Lee and Rong{-}Hao Liang and Ling{-}Chien Yang and Chi{-}Huan Chiang and Te{-}Yen Wu and Bing{-}Yu Chen}, editor = {Maneesh Agrawala and Jacob O. Wobbrock and Eytan Adar and Vidya Setlur}, title = {NFCStack: Identifiable Physical Building Blocks that Support Concurrent Construction and Frictionless Interaction}, booktitle = {The 35th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2022, Bend, OR, USA, 29 October 2022 - 2 November 2022}, pages = {26:1--26:12}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3526113.3545658}, doi = {10.1145/3526113.3545658}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/LeeLYCW022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChiangWLNWHCCZL22, author = {H.{-}L. Chiang and J.{-}F. Wang and K.{-}H. Lin and C.{-}H. Nien and J.{-}J. Wu and Kuo{-}Yu Hsiang and C.{-}P. Chuu and Y.{-}W. Chen and X. W. Zhang and C. W. Liu and Tahui Wang and C. C. Wang and Min{-}Hung Lee and M.{-}F. Chang and C.{-}S. Chang and T. C. Chen}, title = {Interfacial-Layer Design for Hf1-xZrxO2-Based {FTJ} Devices: From Atom to Array}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {361--362}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830462}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830462}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/ChiangWLNWHCCZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeLCLHHWLSC22, author = {Shuenn{-}Yuh Lee and Hao{-}Yun Lee and Ding{-}Siang Ciou and Zhan{-}Xian Liao and Peng{-}Wei Huang and Yi{-}Ting Hsieh and Yi{-}Chieh Wei and Chia{-}Yu Lin and Meng{-}Dar Shieh and Ju{-}Yi Chen}, title = {A Wireless Urine Detection System and Platform with Power-Efficient Electrochemical Readout {ASIC} and {ABTS-CNT} Biosensor}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {246--247}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830325}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830325}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeeLCLHHWLSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeLLMFSCCC22, author = {Chia{-}Fu Lee and Cheng{-}Han Lu and Cheng{-}En Lee and Haruki Mori and Hidehiro Fujiwara and Yi{-}Chun Shih and Tan{-}Li Chou and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {A 12nm 121-TOPS/W 41.6-TOPS/mm2 All Digital Full Precision SRAM-based Compute-in-Memory with Configurable Bit-width For {AI} Edge Applications}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {24--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830438}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830438}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeeLLMFSCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-07983, author = {Huihui Fang and Fei Li and Huazhu Fu and Xu Sun and Xingxing Cao and Fengbin Lin and Jaemin Son and Sunho Kim and Gwenol{\'{e}} Quellec and Sarah Matta and Sharath M. Shankaranarayana and Yi{-}Ting Chen and Chuen{-}heng Wang and Nisarg A. Shah and Chia{-}Yen Lee and Chih{-}Chung Hsu and Hai Xie and Baiying Lei and Ujjwal Baid and Shubham Innani and Kang Dang and Wenxiu Shi and Ravi Kamble and Nitin Singhal and Jos{\'{e}} Ignacio Orlando and Hrvoje Bogunovic and Xiulan Zhang and Yanwu Xu}, title = {{ADAM} Challenge: Detecting Age-related Macular Degeneration from Fundus Images}, journal = {CoRR}, volume = {abs/2202.07983}, year = {2022}, url = {https://arxiv.org/abs/2202.07983}, eprinttype = {arXiv}, eprint = {2202.07983}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-07983.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-14206, author = {Chen{-}Hao Chao and Wei{-}Fang Sun and Bo{-}Wun Cheng and Yi{-}Chen Lo and Chia{-}Che Chang and Yu{-}Lun Liu and Yu{-}Lin Chang and Chia{-}Ping Chen and Chun{-}Yi Lee}, title = {Denoising Likelihood Score Matching for Conditional Score-based Data Generation}, journal = {CoRR}, volume = {abs/2203.14206}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.14206}, doi = {10.48550/ARXIV.2203.14206}, eprinttype = {arXiv}, eprint = {2203.14206}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-14206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-00352, author = {Wei{-}Tsung Kao and Yuen{-}Kwei Wu and Chia{-}Ping Chen and Zhi{-}Sheng Chen and Yu{-}Pao Tsai and Hung{-}Yi Lee}, title = {On the Efficiency of Integrating Self-supervised Learning and Meta-learning for User-defined Few-shot Keyword Spotting}, journal = {CoRR}, volume = {abs/2204.00352}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.00352}, doi = {10.48550/ARXIV.2204.00352}, eprinttype = {arXiv}, eprint = {2204.00352}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-00352.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-04458, author = {David Cheng{-}Han Chiang and Hung{-}Yi Lee}, title = {Understanding, Detecting, and Separating Out-of-Distribution Samples and Adversarial Samples in Text Classification}, journal = {CoRR}, volume = {abs/2204.04458}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.04458}, doi = {10.48550/ARXIV.2204.04458}, eprinttype = {arXiv}, eprint = {2204.04458}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-04458.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-04580, author = {David Cheng{-}Han Chiang and Hung{-}Yi Lee}, title = {Re-Examining Human Annotations for Interpretable {NLP}}, journal = {CoRR}, volume = {abs/2204.04580}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.04580}, doi = {10.48550/ARXIV.2204.04580}, eprinttype = {arXiv}, eprint = {2204.04580}, timestamp = {Wed, 13 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-04580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-02758, author = {Cheng{-}Chun Lee and Akhil Rajput and Chia{-}Wei Hsu and Chao Fan and Faxi Yuan and Shangjia Dong and Amir Esmalian and Hamed Farahmand and Flavia Ioana Patrascu and Chia{-}Fu Liu and Bo Li and Junwei Ma and Ali Mostafavi}, title = {Quantitative Measures for Integrating Resilience into Transportation Planning Practice: Study in Texas}, journal = {CoRR}, volume = {abs/2205.02758}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.02758}, doi = {10.48550/ARXIV.2205.02758}, eprinttype = {arXiv}, eprint = {2205.02758}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-02758.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04615, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {CoRR}, volume = {abs/2206.04615}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04615}, doi = {10.48550/ARXIV.2206.04615}, eprinttype = {arXiv}, eprint = {2206.04615}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-13969, author = {Hsiang{-}Chin Chien and Ching{-}Ping Wang and Jung{-}Chih Chen and Chia{-}Yen Lee}, title = {Airway Tree Modeling Using Dual-channel 3D UNet 3+ with Vesselness Prior}, journal = {CoRR}, volume = {abs/2208.13969}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.13969}, doi = {10.48550/ARXIV.2208.13969}, eprinttype = {arXiv}, eprint = {2208.13969}, timestamp = {Thu, 01 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-13969.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-02844, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, title = {How Far Are We from Real Synonym Substitution Attacks?}, journal = {CoRR}, volume = {abs/2210.02844}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.02844}, doi = {10.48550/ARXIV.2210.02844}, eprinttype = {arXiv}, eprint = {2210.02844}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-02844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05256, author = {Andrey Ignatov and Radu Timofte and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Yu{-}Syuan Xu and Man{-}Yu Lee and Allen Lu and Chia{-}Ming Cheng and Chih{-}Cheng Chen and Jia{-}Ying Yong and Hong{-}Han Shuai and Wen{-}Huang Cheng and Zhuang Jia and Tianyu Xu and Yijian Zhang and Long Bao and Heng Sun and Diankai Zhang and Si Gao and Shaoli Liu and Biao Wu and Xiaofeng Zhang and Chengjian Zheng and Kaidi Lu and Ning Wang and Xiao Sun and Haodong Wu and Xuncheng Liu and Weizhan Zhang and Caixia Yan and Haipeng Du and Qinghua Zheng and Qi Wang and Wangdu Chen and Ran Duan and Ran Duan and Mengdi Sun and Dan Zhu and Guannan Chen and Hojin Cho and Steve Kim and Shijie Yue and Chenghua Li and Zhengyang Zhuge and Wei Chen and Wenxu Wang and Yufeng Zhou and Xiaochen Cai and Hengxing Cai and Kele Xu and Li Liu and Zehua Cheng and Wenyi Lian and Wenjing Lian}, title = {Power Efficient Video Super-Resolution on Mobile NPUs with Deep Learning, Mobile {AI} {\&} {AIM} 2022 challenge: Report}, journal = {CoRR}, volume = {abs/2211.05256}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05256}, doi = {10.48550/ARXIV.2211.05256}, eprinttype = {arXiv}, eprint = {2211.05256}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-08888, author = {Ting{-}Hsuan Liao and Huang{-}Ru Liao and Shan{-}Ya Yang and Jie{-}En Yao and Li{-}Yuan Tsao and Hsu{-}Shen Liu and Bo{-}Wun Cheng and Chen{-}Hao Chao and Chia{-}Che Chang and Yi{-}Chen Lo and Chun{-}Yi Lee}, title = {{ELDA:} Using Edges to Have an Edge on Semantic Segmentation Based {UDA}}, journal = {CoRR}, volume = {abs/2211.08888}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.08888}, doi = {10.48550/ARXIV.2211.08888}, eprinttype = {arXiv}, eprint = {2211.08888}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-08888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhmadLKCCNLHCCG21, author = {Zohauddin Ahmad and Yan{-}Min Liao and Sheng{-}I Kuo and You{-}Chia Chang and Rui{-}Lin Chao and Naseem and Yi{-}Shan Lee and Yung{-}Jr Hung and Huang{-}Ming Chen and Jyehong Chen and Jiun{-}In Guo and Jin{-}Wei Shi}, title = {High-Power and High-Responsivity Avalanche Photodiodes for Self-Heterodyne {FMCW} Lidar System Applications}, journal = {{IEEE} Access}, volume = {9}, pages = {85661--85671}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3089082}, doi = {10.1109/ACCESS.2021.3089082}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhmadLKCCNLHCCG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TinTYKTLTTP21, author = {Tze Chiang Tin and Saw Chin Tan and Hing Yong and Jimmy Ook Hyun Kim and Eric Ken Yong Teo and Ching Kwang Lee and Peter Than and Angela Pei San Tan and Siew Chee Phang}, title = {A Realizable Overlay Virtual Metrology System in Semiconductor Manufacturing: Proposal, Challenges and Future Perspective}, journal = {{IEEE} Access}, volume = {9}, pages = {65418--65439}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3076193}, doi = {10.1109/ACCESS.2021.3076193}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TinTYKTLTTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TinTYKTWLTTP21, author = {Tze Chiang Tin and Saw Chin Tan and Hing Yong and Jimmy Ook Hyun Kim and Eric Ken Yong Teo and Joanne Ching Yee Wong and Ching Kwang Lee and Peter Than and Angela Pei San Tan and Siew Chee Phang}, title = {The Implementation of a Smart Sampling Scheme {C2O} Utilizing Virtual Metrology in Semiconductor Manufacturing}, journal = {{IEEE} Access}, volume = {9}, pages = {114255--114266}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3103235}, doi = {10.1109/ACCESS.2021.3103235}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TinTYKTWLTTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/ChenCL21, author = {Yu{-}Ting Chen and Chian{-}Song Chiu and Ya{-}Ting Lee}, title = {Grey Estimator-Based Tracking Controller Applied to Swarm Robot Formation}, journal = {Axioms}, volume = {10}, number = {4}, pages = {298}, year = {2021}, url = {https://doi.org/10.3390/axioms10040298}, doi = {10.3390/AXIOMS10040298}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/ChenCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChenLLPHL21, author = {Ping{-}Nan Chen and Chia{-}Chiang Lee and Chang{-}Min Liang and Shu{-}I Pao and Ke{-}Hao Huang and Ke{-}Feng Lin}, title = {General deep learning model for detecting diabetic retinopathy}, journal = {{BMC} Bioinform.}, volume = {22-S}, number = {5}, pages = {84}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04005-x}, doi = {10.1186/S12859-021-04005-X}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChenLLPHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/YangBLC21, author = {Fan Pei Gloria Yang and Sukhdeep Singh Bal and Jia{-}Fu Lee and Chia{-}Chi Chen}, title = {White Matter Differences in Networks in Elders with Mild Cognitive Impairment and Alzheimer's Disease}, journal = {Brain Connect.}, volume = {11}, number = {3}, pages = {180--188}, year = {2021}, url = {https://doi.org/10.1089/brain.2020.0767}, doi = {10.1089/BRAIN.2020.0767}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/brain/YangBLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/TanCLST21, author = {Alvin Pengshi Tan and Chee Hoong Cheong and Tong Lee and Kok{-}Yong Seng and Chiang Juay Teo}, title = {Computer modelling of heat strain responses of exercising personnel in tropical climate}, journal = {Comput. Biol. Medicine}, volume = {134}, pages = {104530}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104530}, doi = {10.1016/J.COMPBIOMED.2021.104530}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/TanCLST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LinHLLLLPSF21, author = {Ching{-}Heng Lin and Kai{-}Cheng Hsu and Chih{-}Kuang Liang and Tsong{-}Hai Lee and Chia{-}Wei Liou and Jiann{-}Der Lee and Tsung{-}I Peng and Ching{-}Sen Shih and Yang C. Fann}, title = {A disease-specific language representation model for cerebrovascular disease research}, journal = {Comput. Methods Programs Biomed.}, volume = {211}, pages = {106446}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106446}, doi = {10.1016/J.CMPB.2021.106446}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LinHLLLLPSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LinCPYL21, author = {Shih{-}Wei Lin and Chen{-}Yang Cheng and Pourya Pourhejazy and Kuo{-}Ching Ying and Chia{-}Hui Lee}, title = {New benchmark algorithm for hybrid flowshop scheduling with identical machines}, journal = {Expert Syst. Appl.}, volume = {183}, pages = {115422}, year = {2021}, url = {https://doi.org/10.1016/j.eswa.2021.115422}, doi = {10.1016/J.ESWA.2021.115422}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LinCPYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/ChengL21, author = {Chih{-}Chiang Cheng and Jyun{-}Jie Lee}, title = {Design of adaptive terminal super-twisting controllers for nonlinear systems with mismatched perturbations}, journal = {Int. J. Control}, volume = {94}, number = {8}, pages = {2021--2031}, year = {2021}, url = {https://doi.org/10.1080/00207179.2019.1690692}, doi = {10.1080/00207179.2019.1690692}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/ChengL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ChenHCL21, author = {Yi{-}Chung Chen and Hsi{-}Ho Huang and Sheng{-}Min Chiu and Chiang Lee}, title = {Joint Promotion Partner Recommendation Systems Using Data from Location-Based Social Networks}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {10}, number = {2}, pages = {57}, year = {2021}, url = {https://doi.org/10.3390/ijgi10020057}, doi = {10.3390/IJGI10020057}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/ChenHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijimai/JuanWLCYCS21, author = {Chun{-}Jung Juan and Chen{-}Shu Wang and Bo{-}Yi Lee and Shang{-}Yu Chiang and Chun{-}Chang Yeh and Der{-}Yang Cho and Wu{-}Chung Shen}, title = {Integration of Genetic Programming and {TABU} Search Mechanism for Automatic Detection of Magnetic Resonance Imaging in Cervical Spondylosis}, journal = {Int. J. Interact. Multim. Artif. Intell.}, volume = {6}, number = {7}, pages = {109}, year = {2021}, url = {https://doi.org/10.9781/ijimai.2021.08.006}, doi = {10.9781/IJIMAI.2021.08.006}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijimai/JuanWLCYCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpe/LiangDCLCZH21, author = {Wen{-}Hsuan Liang and Dun{-}Wei Cheng and Chih{-}Wei Hsu and Chia{-}Wei Lee and Chih{-}Heng Ke and Albert Y. Zomaya and Sun{-}Yuan Hsieh}, title = {Dynamic Flow Scheduling Technique for Load Balancing in Fat-Tree Data Center Networks}, journal = {Int. J. Perform. Eng.}, volume = {17}, number = {6}, pages = {491}, year = {2021}, url = {https://doi.org/10.23940/ijpe.21.06.p1.491503}, doi = {10.23940/IJPE.21.06.P1.491503}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpe/LiangDCLCZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsn/ChenLCCL21, author = {Chia{-}Mei Chen and Gu Hsin Lai and Zheng{-}Xun Cai and Tzu{-}Ching Chang and Boyi Lee}, title = {Detecting {PE} infection-based malware}, journal = {Int. J. Secur. Networks}, volume = {16}, number = {3}, pages = {191--199}, year = {2021}, url = {https://doi.org/10.1504/IJSN.2021.117871}, doi = {10.1504/IJSN.2021.117871}, timestamp = {Mon, 08 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsn/ChenLCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isj/LeeCC21a, author = {Jung{-}Chieh Lee and I{-}Chia Chou and Chung{-}Yang Chen}, title = {The effect of process tailoring on software project performance: The role of team absorptive capacity and its knowledge-based enablers}, journal = {Inf. Syst. J.}, volume = {31}, number = {1}, pages = {120--147}, year = {2021}, url = {https://doi.org/10.1111/isj.12303}, doi = {10.1111/ISJ.12303}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isj/LeeCC21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/MaLLCL21, author = {Shang{-}Pin Ma and Chi{-}Chia Li and Shin{-}Jie Lee and Hsi{-}Min Chen and Wen{-}Tin Lee}, title = {Cache-Enabled and Context-Aware Approach to Building Composite Mobile Apps}, journal = {J. Inf. Sci. Eng.}, volume = {37}, number = {1}, pages = {123--138}, year = {2021}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=178\_2390}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/MaLLCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jitt/LeeCWX21, author = {Chien{-}Chiang Lee and Mei{-}Ping Chen and Wenmin Wu and Wenwu Xing}, title = {The impacts of ICTs on tourism development: International evidence based on a panel quantile approach}, journal = {J. Inf. Technol. Tour.}, volume = {23}, number = {4}, pages = {509--547}, year = {2021}, url = {https://doi.org/10.1007/s40558-021-00215-4}, doi = {10.1007/S40558-021-00215-4}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jitt/LeeCWX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/WangYHLLZ21, author = {Chun{-}Yi Wang and Chi{-}Yu You and Fu{-}Hau Hsu and Chia{-}Hao Lee and Che{-}Hao Liu and YungYu Zhuang}, title = {{SMS} Observer: {A} dynamic mechanism to analyze the behavior of SMS-based malware}, journal = {J. Parallel Distributed Comput.}, volume = {156}, pages = {25--37}, year = {2021}, url = {https://doi.org/10.1016/j.jpdc.2021.05.004}, doi = {10.1016/J.JPDC.2021.05.004}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/WangYHLLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangHCTTCLYHLKW21, author = {Sung{-}Hao Wang and Yu{-}Kai Huang and Ching{-}Yuan Chen and Li{-}Yang Tang and Yen{-}Fu Tu and Po{-}Chih Chang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design of a Bone-Guided Cochlear Implant Microsystem With Monopolar Biphasic Multiple Stimulations and Evoked Compound Action Potential Acquisition and Its In Vivo Verification}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {10}, pages = {3062--3076}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3087629}, doi = {10.1109/JSSC.2021.3087629}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangHCTTCLYHLKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WuCYLYCCCLCCHY21, author = {Yi{-}Chung Wu and Yen{-}Lung Chen and Chung{-}Hsuan Yang and Chao{-}Hsi Lee and Chao{-}Yang Yu and Nian{-}Shyang Chang and Ling{-}Chien Chen and Jia{-}Rong Chang and Chun{-}Pin Lin and Hung{-}Lieh Chen and Chi{-}Shi Chen and Jui{-}Hung Hung and Chia{-}Hsiang Yang}, title = {A 975-mW Fully Integrated Genetic Variant Discovery System-on-Chip in 28 nm for Next-Generation Sequencing}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {123--135}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3031183}, doi = {10.1109/JSSC.2020.3031183}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/WuCYLYCCCLCCHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ShihCL21, author = {Chiao{-}Yin Shih and Ya{-}Hsuan Chen and Tong{-}Yee Lee}, title = {Map art style transfer with multi-stage framework}, journal = {Multim. Tools Appl.}, volume = {80}, number = {3}, pages = {4279--4293}, year = {2021}, url = {https://doi.org/10.1007/s11042-020-09788-4}, doi = {10.1007/S11042-020-09788-4}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ShihCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LinLAJYLCK21, author = {Fa{-}Hsuan Lin and Hsin{-}Ju Lee and Jyrki Ahveninen and Iiro P. J{\"{a}}{\"{a}}skel{\"{a}}inen and Hsiang{-}Yu Yu and Cheng{-}Chia Lee and Chien{-}Chen Chou and Wen{-}Jui Kuo}, title = {Distributed source modeling of intracranial stereoelectro-encephalographic measurements}, journal = {NeuroImage}, volume = {230}, pages = {117746}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117746}, doi = {10.1016/J.NEUROIMAGE.2021.117746}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LinLAJYLCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SchillingRPHNYG21, author = {Kurt G. Schilling and Fran{\c{c}}ois Rheault and Laurent Petit and Colin B. Hansen and Vishwesh Nath and Fang{-}Cheng Yeh and Gabriel Girard and Muhamed Barakovic and Jonathan Rafael{-}Patino and Thomas Yu and Elda Fischi Gomez and Marco Pizzolato and Mario Ocampo{-}Pineda and Simona Schiavi and Erick Jorge Canales{-}Rodr{\'{\i}}guez and Alessandro Daducci and Cristina Granziera and Giorgio M. Innocenti and Jean{-}Philippe Thiran and Laura Mancini and Stephen J. Wastling and Sirio Cocozza and Maria Petracca and Giuseppe Pontillo and Matteo Mancini and Sjoerd B. Vos and Vejay N. Vakharia and John S. Duncan and Helena Melero and Lidia Manzanedo and Emilio Sanz{-}Morales and {\'{A}}ngel Pe{\~{n}}a{-}Meli{\'{a}}n and Fernando Calamante and Arnaud Attye and Ryan P. Cabeen and Laura Korobova and Arthur W. Toga and Anupa Ambili Vijayakumari and Drew Parker and Ragini Verma and Ahmed M. Radwan and Stefan Sunaert and Louise Emsell and Alberto De Luca and Alexander Leemans and Claude J. Bajada and Hamied A. Haroon and Hojjatollah Azadbakht and Maxime Chamberland and Sila Genc and Chantal M. W. Tax and Ping Hong Yeh and Rujirutana Srikanchana and Colin D. Mcknight and Joseph Yuan{-}Mou Yang and Jian Chen and Claire E. Kelly and Chun{-}Hung Yeh and J{\'{e}}r{\^{o}}me Cochereau and Jerome J. Maller and Thomas Welton and Fabien Almairac and Kiran K. Seunarine and Chris A. Clark and Fan Zhang and Nikos Makris and Alexandra J. Golby and Yogesh Rathi and Lauren J. O'Donnell and Yihao Xia and Dogu Baran Aydogan and Yonggang Shi and Francisco Guerreiro Fernandes and Mathijs Raemaekers and Shaun Warrington and Stijn Michielse and Alonso Ramirez{-}Manzanares and Luis Concha and Ram{\'{o}}n Aranda and Mariano Rivera Meraz and Garikoitz Lerma{-}Usabiaga and Lucas Roitman and Lucius S. Fekonja and Navona Calarco and Michael Joseph and Hajer Nakua and Aristotle N. Voineskos and Philippe Karan and Gabrielle Grenier and Jon Haitz Legarreta and Nagesh Adluru and Veena A. Nair and Vivek Prabhakaran and Andrew L. Alexander and Koji Kamagata and Yuya Saito and Wataru Uchida and Christina Andica and Masahiro Abe and Roza G. Bayrak and Claudia A. M. Gandini Wheeler{-}Kingshott and Egidio D'Angelo and Fulvia Palesi and Giovanni Savini and Nicol{\`{o}} Rolandi and Pamela Guevara and Josselin Houenou and Narciso L{\'{o}}pez{-}L{\'{o}}pez and Jean{-}Fran{\c{c}}ois Mangin and Cyril Poupon and Claudio Rom{\'{a}}n and Andrea V{\'{a}}zquez and Chiara Maffei and Mavilde Arantes and Jos{\'{e}} Paulo Andrade and Susana Maria Silva and Vince D. Calhoun and Eduardo Caverzasi and Simone Sacco and Michael Lauricella and Franco Pestilli and Daniel Bullock and Yang Zhan and Edith Brignoni{-}P{\'{e}}rez and Catherine Lebel and Jess E Reynolds and Igor Nestrasil and Ren{\'{e}} Labounek and Christophe Lenglet and Amy Paulson and Stefania Aulicka and Sarah R. Heilbronner and Katja Heuer and Bramsh Qamar Chandio and Javier Guaje and Wei Tang and Eleftherios Garyfallidis and Rajikha Raja and Adam W. Anderson and Bennett A. Landman and Maxime Descoteaux}, title = {Tractography dissection variability: What happens when 42 groups dissect 14 white matter bundles on the same dataset?}, journal = {NeuroImage}, volume = {243}, pages = {118502}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118502}, doi = {10.1016/J.NEUROIMAGE.2021.118502}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/SchillingRPHNYG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LiuLTLHMLLWKLWC21, author = {Wen{-}Te Liu and Shang{-}Yang Lin and Cheng{-}Yu Tsai and Yi{-}Shin Liu and Wen{-}Hua Hsu and Arnab Majumdar and Chia{-}Mo Lin and Kang{-}Yun Lee and Dean Wu and Yi{-}Chun Kuan and Hsin{-}Chien Lee and Cheng{-}Jung Wu and Wun{-}Hao Cheng and Ying{-}Shuo Hsu}, title = {Comparison of Hospital-Based and Home-Based Obstructive Sleep Apnoea Severity Measurements with a Single-Lead Electrocardiogram Patch}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8097}, year = {2021}, url = {https://doi.org/10.3390/s21238097}, doi = {10.3390/S21238097}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LiuLTLHMLLWKLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeLCLHHWLSC21, author = {Shuenn{-}Yuh Lee and Hao{-}Yun Lee and Ding{-}Siang Ciou and Zhan{-}Xian Liao and Peng{-}Wei Huang and Yi{-}Ting Hsieh and Yi{-}Chieh Wei and Chia{-}Yu Lin and Meng{-}Dar Shieh and Ju{-}Yi Chen}, title = {A Portable Wireless Urine Detection System With Power-Efficient Electrochemical Readout {ASIC} and {ABTS-CNT} Biosensor for {UACR} Detection}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {3}, pages = {537--548}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3087475}, doi = {10.1109/TBCAS.2021.3087475}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LeeLCLHHWLSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenHL21, author = {Yu{-}Hsiang Chen and Chia{-}Ming Hsu and Kuen{-}Jong Lee}, title = {Test Chips With Scan-Based Logic Arrays}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {4}, pages = {790--802}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3010478}, doi = {10.1109/TCAD.2020.3010478}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChenHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeePHKKGLC21, author = {Daeyeal Lee and Dongwon Park and Chia{-}Tung Ho and Ilgweon Kang and Hayoung Kim and Sicun Gao and Bill Lin and Chung{-}Kuan Cheng}, title = {SP{\&}R: SMT-Based Simultaneous Place-and-Route for Standard Cell Synthesis of Advanced Nodes}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {10}, pages = {2142--2155}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3037885}, doi = {10.1109/TCAD.2020.3037885}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LeePHKKGLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HsuLWWTWHLC21, author = {Yvonne Chiung{-}Fang Hsu and Hsiao{-}Ting Lee and Yu{-}Jen Wang and Miao{-}Ci Wang and Chiao{-}Ling Tsai and Jian{-}Kuen Wu and Tzu{-}Jie Huang and Chii{-}Wann Lin and Jason Chia{-}Hsien Cheng}, title = {Using Megavoltage Computed Tomography to Estimate Radiotherapy Dose for High-Density Metallic Implants}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--11}, year = {2021}, url = {https://doi.org/10.1109/TIM.2021.3061259}, doi = {10.1109/TIM.2021.3061259}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/HsuLWWTWHLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/KungHHLYK21, author = {Bo{-}Han Kung and Po{-}Yuan Hu and Chiu{-}Chang Huang and Cheng{-}Che Lee and Chia{-}Yu Yao and Chieh{-}Hsiung Kuan}, title = {An Efficient {ECG} Classification System Using Resource-Saving Architecture and Random Forest}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {6}, pages = {1904--1914}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2020.3035191}, doi = {10.1109/JBHI.2020.3035191}, timestamp = {Sat, 31 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/KungHHLYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChengHLLP21, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Daeyeal Lee and Bill Lin and Dongwon Park}, title = {Complementary-FET {(CFET)} Standard Cell Synthesis Framework for Design and System Technology Co-Optimization Using {SMT}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {29}, number = {6}, pages = {1178--1191}, year = {2021}, url = {https://doi.org/10.1109/TVLSI.2021.3065639}, doi = {10.1109/TVLSI.2021.3065639}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChengHLLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HuCCSHXWPSTLLWL21, author = {Xiao Hu and Ming{-}Ching Chang and Yuwei Chen and Rahul Sridhar and Zhenyu Hu and Yunhe Xue and Zhenyu Wu and Pengcheng Pi and Jiayi Shen and Jianchao Tan and Xiangru Lian and Ji Liu and Zhangyang Wang and Chia{-}Hsiang Liu and Yu{-}Shin Han and Yuan{-}Yao Sung and Yi Lee and Kai{-}Chiang Wu and Wei{-}Xiang Guo and Rick Lee and Shengwen Liang and Zerun Wang and Guiguang Ding and Gang Zhang and Teng Xi and Yubei Chen and Han Cai and Ligeng Zhu and Zhekai Zhang and Song Han and Seonghwan Jeong and YoungMin Kwon and Tianzhe Wang and Jeffery Pan}, title = {The 2020 Low-Power Computer Vision Challenge}, booktitle = {3rd {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2021, Washington, DC, USA, June 6-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/AICAS51828.2021.9458522}, doi = {10.1109/AICAS51828.2021.9458522}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HuCCSHXWPSTLLWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KanCLL21, author = {Yao{-}Chiang Kan and Kuan{-}Tzu Chen and Hsueh{-}Chun Lin and Junghsi Lee}, title = {A Parking Monitoring System Using {FMCW} Radars}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1931--1934}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689577}, timestamp = {Wed, 09 Feb 2022 09:03:08 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/KanCLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChenCLZY21, author = {Po{-}Shao Chen and Yen{-}Lung Chen and Yu{-}Chi Lee and Zih{-}Sing Fu and Chia{-}Hsiang Yang}, title = {A 28.8mW Accelerator {IC} for Dark Channel Prior Based Blind Image Deblurring}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634738}, doi = {10.1109/A-SSCC53895.2021.9634738}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChenCLZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChiangCLFWLYC21, author = {Chia{-}En Chiang and Yu{-}Chun Chen and Fang{-}Yu Lin and Felicia Feng and Hao{-}An Wu and Hao{-}Ping Lee and Chang{-}Hsuan Yang and Yung{-}Ju Chang}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {"I Got Some Free Time": Investigating Task-execution and Task-effort Metrics in Mobile Crowdsourcing Tasks}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {648:1--648:14}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445477}, doi = {10.1145/3411764.3445477}, timestamp = {Mon, 17 May 2021 13:31:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChiangCLFWLYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csci/LeeLC21, author = {Greg C. Lee and Yu{-}Che Lee and Cheng{-}Chieh Chiang}, title = {Low-Resolution Face Recognition in Multi-person Indoor Environments Using Convolutional Neural Networks}, booktitle = {International Conference on Computational Science and Computational Intelligence, {CSCI} 2021, Las Vegas, NV, USA, December 15-17, 2021}, pages = {1629--1633}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CSCI54926.2021.00313}, doi = {10.1109/CSCI54926.2021.00313}, timestamp = {Tue, 23 Apr 2024 12:44:18 +0200}, biburl = {https://dblp.org/rec/conf/csci/LeeLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/LeeLHHCS21, author = {Shan{-}Hsin Lee and Shen{-}Chieh Lan and Hsiu{-}Chuan Huang and Chia{-}Wei Hsu and Yung{-}Shiu Chen and Shiuhpyng Shieh}, title = {EC-Model: An Evolvable Malware Classification Model}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2021, Aizuwakamatsu, Japan, January 30 - February 2, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSC49826.2021.9346248}, doi = {10.1109/DSC49826.2021.9346248}, timestamp = {Wed, 17 Feb 2021 11:46:28 +0100}, biburl = {https://dblp.org/rec/conf/desec/LeeLHHCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChangLTLCWWT21, author = {Yu{-}Chi Chang and Cheng{-}Hsuan Lin and Zong{-}Ru Tu and Jing{-}Hua Lee and Sheng Chuan Cheng and Ching{-}Chiang Wu and Ken Wu and H. J. Tsai}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat and Ralf Widenhorn}, title = {0.8 um Color Pixels with Wave-Guiding Structures for Low Optical Crosstalk Image Sensors}, booktitle = {Imaging Sensors and Systems 2021, online, January 11-28, 2021}, pages = {1--5}, publisher = {Society for Imaging Science and Technology}, year = {2021}, url = {https://doi.org/10.2352/ISSN.2470-1173.2021.7.ISS-093}, doi = {10.2352/ISSN.2470-1173.2021.7.ISS-093}, timestamp = {Thu, 20 Jul 2023 16:45:52 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChangLTLCWWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KuoLL21, author = {Pei{-}Hsuan Kuo and Cheng{-}Chia Lee and Chia{-}Feng Lu}, title = {Radiomics-based Prediction of Re-hemorrhage in Cerebral Cavernous Malformation after Gamma Knife Radiosurgery}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {3668--3671}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9629762}, doi = {10.1109/EMBC46164.2021.9629762}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/KuoLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/00010O21, author = {Chia{-}Hsuan Lee and Hao Cheng and Mari Ostendorf}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Dialogue State Tracking with a Language Model using Schema-Driven Prompting}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {4937--4949}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.404}, doi = {10.18653/V1/2021.EMNLP-MAIN.404}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/00010O21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/WuLWLCLL21, author = {Chen{-}Han Wu and Ching{-}Jung Liao and Yung{-}Fu Wang and Chun{-}Feng Lin and Chia{-}Chi Chu and Sheng{-}Huei Lee and Yu{-}Jen Lin}, title = {The Refinement of Generation Scheduling and Underfrequency Load Shedding Protection Scheme for Nangan-Beigan Power System in Taiwan}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2021, Vancouver, BC, Canada, October 10-14, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IAS48185.2021.9677145}, doi = {10.1109/IAS48185.2021.9677145}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/WuLWLCLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ChenLLL21, author = {Kuan{-}Fu Chen and Chia{-}Hung Lin and Ming{-}Chun Lee and Ta{-}Sung Lee}, title = {Deep Learning-Based Multi-Fault Diagnosis for Self-Organizing Networks}, booktitle = {{ICC} 2021 - {IEEE} International Conference on Communications, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICC42927.2021.9500296}, doi = {10.1109/ICC42927.2021.9500296}, timestamp = {Mon, 09 Aug 2021 11:13:44 +0200}, biburl = {https://dblp.org/rec/conf/icc/ChenLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChiuLC21, author = {Chen Chiu and Jin{-}Shyan Lee and Hsin{-}Han Chiang}, title = {Design and Implementation of Smart Agricultural Systems Based on Networked {PLC} and Mobile App}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9603185}, doi = {10.1109/ICCE-TW52618.2021.9603185}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/ChiuLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/FangHWLW21, author = {Tung Jing Fang and Chen Han and Chuan Chia Wang and Lai{-}Chung Lee and Whei{-}Jane Wei}, title = {Towards Personalized Real-time Cardiodynamic Status Monitoring: Multi-scale Modeling of the Heart Sound}, booktitle = {{IEEE} International Conference on Consumer Electronics-Taiwan, {ICCE-TW} 2021, Penghu, Taiwan, September 15-17, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE-TW52618.2021.9602890}, doi = {10.1109/ICCE-TW52618.2021.9602890}, timestamp = {Tue, 23 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/FangHWLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/WuLHLSHH21, author = {Tsung{-}Han Wu and Yueh{-}Cheng Liu and Yu{-}Kai Huang and Hsin{-}Ying Lee and Hung{-}Ting Su and Ping{-}Chia Huang and Winston H. Hsu}, title = {ReDAL: Region-based and Diversity-aware Active Learning for Point Cloud Semantic Segmentation}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {15490--15499}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01522}, doi = {10.1109/ICCV48922.2021.01522}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/WuLHLSHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/LinLLWH21, author = {Che{-}Chia Lin and Chao{-}Lin Lee and Jenq{-}Kuen Lee and Howard Wang and Ming{-}Yu Hung}, editor = {Federico Silla and Osni Marques}, title = {Accelerate Binarized Neural Networks with Processing-in-Memory Enabled by {RISC-V} Custom Instructions}, booktitle = {{ICPP} Workshops 2021: 50th International Conference on Parallel Processing, Virtual Event / Lemont (near Chicago), IL, USA, August 9-12, 2021}, pages = {15:1--15:8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458744.3473351}, doi = {10.1145/3458744.3473351}, timestamp = {Tue, 28 Sep 2021 14:37:48 +0200}, biburl = {https://dblp.org/rec/conf/icppw/LinLLWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvisp/AparowHWHYHHYW21, author = {Vimal Rau Aparow and Cheok Jun Hong and Ng Yuan Weun and Chai Chee Huei and Tiong Kai Yen and Lee Chen Hong and Chia Yu Hang and Teoh Xin Yi and Khoo Kai Wen}, title = {Scenario based Simulation Testing of Autonomous Vehicle using Malaysian Road}, booktitle = {5th International Conference on Vision, Image and Signal Processing, {ICVISP} 2021, Kuala Lumpur, Malaysia, December 18-20, 2021}, pages = {33--38}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICVISP54630.2021.00015}, doi = {10.1109/ICVISP54630.2021.00015}, timestamp = {Mon, 21 Feb 2022 14:42:14 +0100}, biburl = {https://dblp.org/rec/conf/icvisp/AparowHWHYHHYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ChungLRLSY21, author = {Chiao{-}Teng Jordan Chung and Chih{-}Cheng Lu and Wei{-}Shu Rih and Ching{-}Feng Lee and Cheng{-}Ming Shih and Yu{-}Li Yeh}, title = {An Ultra-low Power Voice Interface Design for {MEMS} Microphones Sensor}, booktitle = {2021 {IEEE} Sensors, Sydney, Australia, October 31 - Nov. 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SENSORS47087.2021.9639861}, doi = {10.1109/SENSORS47087.2021.9639861}, timestamp = {Wed, 14 Dec 2022 15:07:35 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/ChungLRLSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/WuHLPHTWT21, author = {Yi{-}Chiao Wu and Cheng{-}Hung Hu and Hung{-}Shin Lee and Yu{-}Huai Peng and Wen{-}Chin Huang and Yu Tsao and Hsin{-}Min Wang and Tomoki Toda}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {Relational Data Selection for Data Augmentation of Speaker-Dependent Multi-Band MelGAN Vocoder}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {3630--3634}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-806}, doi = {10.21437/INTERSPEECH.2021-806}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/WuHLPHTWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LiangL21, author = {Chia{-}Chun Liang and Che{-}Rung Lee}, title = {Automatic Selection of Tensor Decomposition for Compressing Convolutional Neural Networks {A} Case Study on VGG-type Networks}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2021, Portland, OR, USA, June 17-21, 2021}, pages = {770--778}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPDPSW52791.2021.00115}, doi = {10.1109/IPDPSW52791.2021.00115}, timestamp = {Mon, 28 Jun 2021 11:45:26 +0200}, biburl = {https://dblp.org/rec/conf/ipps/LiangL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/VenkataramaniSW21, author = {Swagath Venkataramani and Vijayalakshmi Srinivasan and Wei Wang and Sanchari Sen and Jintao Zhang and Ankur Agrawal and Monodeep Kar and Shubham Jain and Alberto Mannari and Hoang Tran and Yulong Li and Eri Ogawa and Kazuaki Ishizaki and Hiroshi Inoue and Marcel Schaal and Mauricio J. Serrano and Jungwook Choi and Xiao Sun and Naigang Wang and Chia{-}Yu Chen and Allison Allain and James Bonanno and Nianzheng Cao and Robert Casatuta and Matthew Cohen and Bruce M. Fleischer and Michael Guillorn and Howard Haynie and Jinwook Jung and Mingu Kang and Kyu{-}Hyoun Kim and Siyu Koswatta and Sae Kyu Lee and Martin Lutz and Silvia M. Mueller and Jinwook Oh and Ashish Ranjan and Zhibin Ren and Scot Rider and Kerstin Schelm and Michael Scheuermann and Joel Silberman and Jie Yang and Vidhi Zalani and Xin Zhang and Ching Zhou and Matthew M. Ziegler and Vinay Shah and Moriyoshi Ohara and Pong{-}Fei Lu and Brian W. Curran and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {RaPiD: {AI} Accelerator for Ultra-low Precision Training and Inference}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {153--166}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00021}, doi = {10.1109/ISCA52012.2021.00021}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/VenkataramaniSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LeeLCW21, author = {Hsin{-}Tsung Lee and Chia{-}Chun Lin and Yung{-}Chih Chen and Chun{-}Yao Wang}, title = {On Synthesizing Memristor-Based Logic Circuits in Area-Constrained Crossbar Arrays}, booktitle = {22nd International Symposium on Quality Electronic Design, {ISQED} 2021, Santa Clara, CA, USA, April 7-9, 2021}, pages = {316}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISQED51717.2021.9424249}, doi = {10.1109/ISQED51717.2021.9424249}, timestamp = {Mon, 17 May 2021 16:05:56 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LeeLCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AgrawalLSZKVCFG21, author = {Ankur Agrawal and Sae Kyu Lee and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matt Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Monodeep Kar and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {A 7nm 4-Core {AI} Chip with 25.6TFLOPS Hybrid {FP8} Training, 102.4TOPS {INT4} Inference and Workload-Aware Throttling}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {144--146}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365791}, doi = {10.1109/ISSCC42613.2021.9365791}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AgrawalLSZKVCFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChenLNMMWGHRYMJ21, author = {HsinChen Chen and Rolf Lagerquist and Ashish Nayak and Hugh Mair and Gokulakrishnan Manoharan and Ericbill Wang and Gordon Gammie and Efron Ho and Anand Rajagopalan and Lee{-}Kee Yong and Ramu Madhavaram and Madhur Jagota and Chi{-}Jui Chung and Sudhakar Maruthi and Jenny Wiedemeier and Tao Chen and Henry Hsieh and Daniel Dia and Amjad Sikiligiri and Manzur Rahman and Barry Chen and Curtis Lin and Vincent Lin and Elly Chiang and Cheng{-}Yuh Wu and Po{-}Yang Hsu and Jason Tsai and Wade Wu and Achuta Thippana and S. A. Huang}, title = {A 7nm 5G Mobile SoC Featuring a 3.0GHz Tri-Gear Application Processor Subsystem}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {54--56}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365774}, doi = {10.1109/ISSCC42613.2021.9365774}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChenLNMMWGHRYMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChihLFSLNCLLMZS21, author = {Yu{-}Der Chih and Po{-}Hao Lee and Hidehiro Fujiwara and Yi{-}Chun Shih and Chia{-}Fu Lee and Rawan Naous and Yu{-}Lin Chen and Chieh{-}Pu Lo and Cheng{-}Han Lu and Haruki Mori and Wei{-}Cheng Zhao and Dar Sun and Mahmut E. Sinangil and Yen{-}Huei Chen and Tan{-}Li Chou and Kerem Akarvardar and Hung{-}Jen Liao and Yih Wang and Meng{-}Fan Chang and Tsung{-}Yung Jonathan Chang}, title = {An 89TOPS/W and 16.3TOPS/mm\({}^{\mbox{2}}\) All-Digital SRAM-Based Full-Precision Compute-In Memory Macro in 22nm for Machine-Learning Edge Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {252--254}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365766}, doi = {10.1109/ISSCC42613.2021.9365766}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChihLFSLNCLLMZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/ChiuCLLYLL21, author = {Sheng{-}Min Chiu and Yi{-}Chung Chen and Yow{-}Shin Liou and Chiang Lee and Jia{-}Ching Ying and Chee{-}Hoe Loh and Jou{-}Wei Lin}, title = {A Fast, Interactive, Location-Based Food Recommendation Application}, booktitle = {{MISNC} 2021: The 8th Multidisciplinary International Social Networks Conference, Bergen, Norway, November 15 - 17, 2021}, pages = {21--25}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3504006.3504010}, doi = {10.1145/3504006.3504010}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/misnc/ChiuCLLYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/WangCCTLXC21, author = {Chia{-}Cheng Wang and Jyh{-}Cheng Chen and Yi Chen and Rui{-}Heng Tu and Jia{-}Jiun Lee and Yu{-}Xin Xiao and Shan{-}Yu Cai}, title = {{MVP:} magnetic vehicular positioning system for GNSS-denied environments}, booktitle = {{ACM} MobiCom '21: The 27th Annual International Conference on Mobile Computing and Networking, New Orleans, Louisiana, USA, October 25-29, 2021}, pages = {531--544}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447993.3483264}, doi = {10.1145/3447993.3483264}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/WangCCTLXC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeeCLLWTCWKLL21, author = {Shao{-}Yung Lee and Xin Chen and Wei{-}Chi Lo and Kangmei Li and Chia{-}Hsuan Wang and Cheng{-}Ting Tsai and Chih{-}Hsien Cheng and Chao{-}Hsin Wu and Hao{-}Chung Kuo and Ming{-}Jun Li and Gong{-}Ru Lin}, title = {850-nm Dual-Mode {VCSEL} Carried 53-Gbps {NRZ-OOK} Transmission in 100-m Graded-Index Single-Mode Fiber}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489901}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeeCLLWTCWKLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LuCGLT21, author = {You Cheng Lu and Chia Wei Chen and Xuan Jie Gong and Kan Rong Lee and Chung Jen Tseng}, title = {{CFD} Simulations for Thermal Comfort and Energy Saving}, booktitle = {22nd {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2021, Taichung, Taiwan, November 24-26, 2021}, pages = {167--170}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPD51163.2021.9704978}, doi = {10.1109/SNPD51163.2021.9704978}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/snpd/LuCGLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinCKLLLLCW21, author = {Yi{-}Ting Lin and Chun{-}Jui Chen and Pei{-}Yi Kuo and Si{-}Huei Lee and Chia{-}Chun Lin and Yun{-}Ju Lee and Yi{-}Ting Li and Yung{-}Chih Chen and Chun{-}Yao Wang}, editor = {Gang Qu and Jinjun Xiong and Danella Zhao and Venki Muthukumar and Md Farhadur Reza and Ramalingam Sridhar}, title = {An IMU-aided Fitness System}, booktitle = {34th {IEEE} International System-on-Chip Conference, {SOCC} 2021, Las Vegas, NV, USA, September 14-17, 2021}, pages = {224--229}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOCC52499.2021.9739294}, doi = {10.1109/SOCC52499.2021.9739294}, timestamp = {Wed, 30 Mar 2022 11:02:31 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinCKLLLLCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/YangYWCCCLKWC21, author = {Cheng{-}Hao Yang and Chia{-}Heng Yen and Ting{-}Rui Wang and Chun{-}Teng Chen and Mason Chern and Ying{-}Yen Chen and Jih{-}Nung Lee and Shu{-}Yi Kao and Kai{-}Chiang Wu and Mango Chia{-}Tso Chao}, title = {Identifying Good-Dice-in-Bad-Neighborhoods Using Artificial Neural Networks}, booktitle = {39th {IEEE} {VLSI} Test Symposium, {VTS} 2021, San Diego, CA, USA, April 25-28, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTS50974.2021.9441055}, doi = {10.1109/VTS50974.2021.9441055}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/YangYWCCCLKWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cocoon/2021, editor = {Chi{-}Yeh Chen and Wing{-}Kai Hon and Ling{-}Ju Hung and Chia{-}Wei Lee}, title = {Computing and Combinatorics - 27th International Conference, {COCOON} 2021, Tainan, Taiwan, October 24-26, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13025}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89543-3}, doi = {10.1007/978-3-030-89543-3}, isbn = {978-3-030-89542-6}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cocoon/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rocling/2021, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/volumes/2021.rocling-1/}, isbn = {978-986-95769-4-9}, timestamp = {Tue, 26 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-13329, author = {Fu{-}En Yang and Jing{-}Cheng Chang and Yuan{-}Hao Lee and Yu{-}Chiang Frank Wang}, title = {Dual-MTGAN: Stochastic and Deterministic Motion Transfer for Image-to-Video Synthesis}, journal = {CoRR}, volume = {abs/2102.13329}, year = {2021}, url = {https://arxiv.org/abs/2102.13329}, eprinttype = {arXiv}, eprint = {2102.13329}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-13329.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-01840, author = {Chi{-}Shiang Wang and Fang{-}Yi Su and Tsung{-}Lu Michael Lee and Yi{-}Shan Tsai and Jung{-}Hsien Chiang}, title = {{CUAB:} Convolutional Uncertainty Attention Block Enhanced the Chest X-ray Image Analysis}, journal = {CoRR}, volume = {abs/2105.01840}, year = {2021}, url = {https://arxiv.org/abs/2105.01840}, eprinttype = {arXiv}, eprint = {2105.01840}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-01840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-07809, author = {Andrey Ignatov and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Anastasia Sycheva and Radu Timofte and Min{-}Hung Chen and Man{-}Yu Lee and Yu{-}Syuan Xu and Yu Tseng and Shusong Xu and Jin Guo and Chao{-}Hung Chen and Ming{-}Chun Hsyu and Wen{-}Chia Tsai and Chao{-}Wei Chen and Grigory Malivenko and Minsu Kwon and Myungje Lee and Jaeyoon Yoo and Changbeom Kang and Shinjo Wang and Zheng Shaolong and Hao Dejun and Xie Fen and Feng Zhuang and Yipeng Ma and Jingyang Peng and Tao Wang and Fenglong Song and Chih{-}Chung Hsu and Kwan{-}Lin Chen and Mei{-}Hsuang Wu and Vishal M. Chudasama and Kalpesh Prajapati and Heena Patel and Anjali Sarvaiya and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch and Etienne de Stoutz}, title = {Learned Smartphone {ISP} on Mobile NPUs with Deep Learning, Mobile {AI} 2021 Challenge: Report}, journal = {CoRR}, volume = {abs/2105.07809}, year = {2021}, url = {https://arxiv.org/abs/2105.07809}, eprinttype = {arXiv}, eprint = {2105.07809}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-07809.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-10696, author = {Chia{-}Ming Chang and Yi{-}Jheng Lin and Cheng{-}Shang Chang and Duan{-}Shin Lee}, title = {On the Stability Regions of Coded Poisson Receivers with Multiple Classes of Users and Receivers}, journal = {CoRR}, volume = {abs/2107.10696}, year = {2021}, url = {https://arxiv.org/abs/2107.10696}, eprinttype = {arXiv}, eprint = {2107.10696}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-10696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-11769, author = {Tsung{-}Han Wu and Yueh{-}Cheng Liu and Yu{-}Kai Huang and Hsin{-}Ying Lee and Hung{-}Ting Su and Ping{-}Chia Huang and Winston H. Hsu}, title = {ReDAL: Region-based and Diversity-aware Active Learning for Point Cloud Semantic Segmentation}, journal = {CoRR}, volume = {abs/2107.11769}, year = {2021}, url = {https://arxiv.org/abs/2107.11769}, eprinttype = {arXiv}, eprint = {2107.11769}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-11769.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-03537, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, title = {On the Transferability of Pre-trained Language Models: {A} Study from Artificial Datasets}, journal = {CoRR}, volume = {abs/2109.03537}, year = {2021}, url = {https://arxiv.org/abs/2109.03537}, eprinttype = {arXiv}, eprint = {2109.03537}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-03537.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-07506, author = {Chia{-}Hsuan Lee and Hao Cheng and Mari Ostendorf}, title = {Dialogue State Tracking with a Language Model using Schema-Driven Prompting}, journal = {CoRR}, volume = {abs/2109.07506}, year = {2021}, url = {https://arxiv.org/abs/2109.07506}, eprinttype = {arXiv}, eprint = {2109.07506}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-07506.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangYLLK20, author = {Chih{-}Hung Chang and Chao{-}Tung Yang and Jheng{-}Yue Lee and Chuan{-}Lin Lai and Chia{-}Chen Kuo}, title = {On Construction and Performance Evaluation of a Virtual Desktop Infrastructure With {GPU} Accelerated}, journal = {{IEEE} Access}, volume = {8}, pages = {170162--170173}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3023924}, doi = {10.1109/ACCESS.2020.3023924}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChangYLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongLLLYMLHGCW20, author = {Jia{-}Sheng Hong and Chung{-}Jung Lin and Yue{-}Hsin Lin and Cheng{-}Chia Lee and Huai{-}Che Yang and Ling{-}Hsuan Meng and Te{-}Ming Lin and Yong{-}Sin Hu and Wan{-}Yuo Guo and Wei{-}Fa Chu and Yu{-}Te Wu}, title = {Machine Learning Application With Quantitative Digital Subtraction Angiography for Detection of Hemorrhagic Brain Arteriovenous Malformations}, journal = {{IEEE} Access}, volume = {8}, pages = {204573--204584}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3036692}, doi = {10.1109/ACCESS.2020.3036692}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HongLLLYMLHGCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinCL20a, author = {Sung{-}Chiang Lin and Chih{-}Jou Chen and Tsung{-}Ju Lee}, title = {A Multi-Label Classification With Hybrid Label-Based Meta-Learning Method in Internet of Things}, journal = {{IEEE} Access}, volume = {8}, pages = {42261--42269}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2976851}, doi = {10.1109/ACCESS.2020.2976851}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinCL20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangLLLWLHHC20, author = {Jung{-}Hua Wang and Shih{-}Kai Lee and Yi{-}Chung Lai and Cheng{-}Chun Lin and Ting{-}Yuan Wang and Ying{-}Ren Lin and Te{-}Hua Hsu and Chang{-}Wen Huang and Chung{-}Ping Chiang}, title = {Anomalous Behaviors Detection for Underwater Fish Using {AI} Techniques}, journal = {{IEEE} Access}, volume = {8}, pages = {224372--224382}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3043712}, doi = {10.1109/ACCESS.2020.3043712}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangLLLWLHHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aci/BaxterGCHOEHCCK20, author = {Sally L. Baxter and Helena E. Gali and Michael F. Chiang and Michelle R. Hribar and Lucila Ohno{-}Machado and Robert El{-}Kareh and Abigail E. Huang and Heather E. Chen and Andrew Camp and Don O. Kikkawa and Bobby S. Korn and Jeffrey E. Lee and Christopher A. Longhurst and Marlene Millen}, title = {Promoting Quality Face-to-Face Communication during Ophthalmology Encounters in the Electronic Health Record Era}, journal = {Appl. Clin. Inform.}, volume = {11}, number = {01}, pages = {130--141}, year = {2020}, url = {https://doi.org/10.1055/s-0040-1701255}, doi = {10.1055/S-0040-1701255}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aci/BaxterGCHOEHCCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/LeeWLLYHLCWWGW20, author = {Wei{-}Kai Lee and Chih{-}Chun Wu and Cheng{-}Chia Lee and Chia{-}Feng Lu and Huai{-}Che Yang and Tzu{-}Hsuan Huang and Chun{-}Yi Lin and Wen{-}Yuh Chung and Po{-}Shan Wang and Hsiu{-}Mei Wu and Wan{-}Yuo Guo and Yu{-}Te Wu}, title = {Combining analysis of multi-parametric {MR} images into a convolutional neural network: Precise target delineation for vestibular schwannoma treatment planning}, journal = {Artif. Intell. Medicine}, volume = {107}, pages = {101911}, year = {2020}, url = {https://doi.org/10.1016/j.artmed.2020.101911}, doi = {10.1016/J.ARTMED.2020.101911}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/LeeWLLYHLCWWGW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LaiSLCHKYC20, author = {Nai{-}Hua Lai and Wan{-}Chen Shen and Chun{-}Nin Lee and Jui{-}Chia Chang and Man{-}Ching Hsu and Li{-}Na Kuo and Ming{-}Chih Yu and Hsiang{-}Yin Chen}, title = {Comparison of the predictive outcomes for anti-tuberculosis drug-induced hepatotoxicity by different machine learning techniques}, journal = {Comput. Methods Programs Biomed.}, volume = {188}, pages = {105307}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2019.105307}, doi = {10.1016/J.CMPB.2019.105307}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LaiSLCHKYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ShihWLCCL20, author = {Kao{-}Shang Shih and Pei{-}Wei Weng and Shang{-}Chih Lin and Yi{-}Tzu Chen and Cheng{-}Kung Cheng and Chian{-}Her Lee}, title = {Corrigendum to "Biomechanical comparison between concentrated, follower, and muscular loads of the lumbar column" [Computer Methods and Programs in Biomedicine Volume 135, October 2016, Pages 209-218]}, journal = {Comput. Methods Programs Biomed.}, volume = {189}, pages = {105287}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2019.105287}, doi = {10.1016/J.CMPB.2019.105287}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ShihWLCCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LeeCJS20, author = {Chia{-}Hsuan Lee and Shih{-}Hai Chen and Bernard C. Jiang and Tien{-}Lung Sun}, title = {Estimating Postural Stability Using Improved Permutation Entropy via {TUG} Accelerometer Data for Community-Dwelling Elderly People}, journal = {Entropy}, volume = {22}, number = {10}, pages = {1097}, year = {2020}, url = {https://doi.org/10.3390/e22101097}, doi = {10.3390/E22101097}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/LeeCJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijopcd/LeeCFWC20, author = {Meng{-}Chieh Jeffrey Lee and Hsiao{-}Yu Chen and Yi{-}Ming Fang and Ling{-}Fang Wang and Chia{-}Yu Chen}, title = {Learning Performance of Teaching Practice of Friendly Senior Care Space Design}, journal = {Int. J. Online Pedagog. Course Des.}, volume = {10}, number = {4}, pages = {32--44}, year = {2020}, url = {https://doi.org/10.4018/IJOPCD.2020100103}, doi = {10.4018/IJOPCD.2020100103}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijopcd/LeeCFWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/LeeCH20, author = {Chia{-}Cheng Lee and Yu Chin Cheng and Chin{-}Yun Hsieh}, title = {Composition and Testing of Connection Fault Handling Behaviors in Programs with {AND/OR} Graph}, journal = {J. Inf. Sci. Eng.}, volume = {36}, number = {1}, pages = {31--52}, year = {2020}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=172\_2291}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/LeeCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinHTTHCHHCGFRL20, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Ching{-}Fang Chen and Wen{-}Hung Huang and Chi{-}Wei Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7-nm 4-GHz Arm{\({^1}\)}-Core-Based CoWoS{\({^1}\)} Chiplet Design for High-Performance Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {4}, pages = {956--966}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2960207}, doi = {10.1109/JSSC.2019.2960207}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LinHTTHCHHCGFRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeCYW20, author = {Ming{-}Che Lee and Shu{-}Yin Chiang and Sheng{-}Cheng Yeh and Ting{-}Feng Wen}, title = {Study on emotion recognition and companion Chatbot using deep neural network}, journal = {Multim. Tools Appl.}, volume = {79}, number = {27-28}, pages = {19629--19657}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-08841-6}, doi = {10.1007/S11042-020-08841-6}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeCYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/TurroAMGGSASFTS20, author = {Ernest Turro and William J. Astle and Karyn Megy and Stefan Gr{\"{a}}f and Daniel Greene and Olga Shamardina and Hana Lango Allen and Alba Sanchis{-}Juan and Mattia Frontini and Chantal Thys and Jonathan Stephens and Rutendo Mapeta and Oliver S. Burren and Kate Downes and Matthias Haimel and Salih Tuna and Sri V. V. Deevi and Timothy J. Aitman and David L. H. Bennett and Paul Calleja and Keren Carss and Mark J. Caulfield and Patrick F. Chinnery and Peter H. Dixon and Daniel P. Gale and Roger James and Ania Koziell and Michael A. Laffan and Adam P. Levine and Eamonn R. Maher and Hugh S. Markus and Joannella Morales and Nicholas W. Morrell and Andrew D. Mumford and Elizabeth Ormondroyd and Stuart Rankin and Augusto Rendon and Sylvia Richardson and Irene Roberts and Noemi B. A. Roy and Moin A. Saleem and Kenneth G. C. Smith and Hannah Stark and Rhea Y. Y. Tan and Andreas C. Themistocleous and Adrian J. Thrasher and Hugh Watkins and Andrew R. Webster and Martin R. Wilkins and Catherine Williamson and James Whitworth and Sean Humphray and David R. Bentley and Stephen Abbs and Lara Abulhoul and Julian Adlard and Munaza Ahmed and Hana Alachkar and David J. Allsup and Jeff Almeida{-}King and Philip Ancliff and Richard Antrobus and Ruth Armstrong and Gavin Arno and Sofie Ashford and Anthony Attwood and Paul Aurora and Christian Babbs and Chiara Bacchelli and Tamam Bakchoul and Siddharth Banka and Tadbir Bariana and Julian Barwell and Joana Batista and Helen E. Baxendale and Phil L. Beales and Agnieszka Bierzynska and Tina Biss and Maria A. K. Bitner{-}Glindzicz and Graeme C. M. Black and Marta Bleda and Iulia Blesneac and Detlef Bockenhauer and Harm Bogaard and Christian J. Bourne and Sara Boyce and John R. Bradley and Eugene Bragin and Gerome Breen and Paul Brennan and Carole Brewer and Matthew Brown and Andrew C. Browning and Michael J. Browning and Rachel J. Buchan and Matthew S. Buckland and Teofila Bueser and Carmen Bugarin Diz and John Burn and Siobhan O. Burns and Nigel Burrows and Carolyn Campbell and Gerald Carr{-}White and Ruth Casey and Jenny Chambers and John Chambers and Melanie M. Y. Chan and Calvin Cheah and Floria Cheng and Manali Chitre and Martin T. Christian and Colin Church and Jill Clayton{-}Smith and Maureen Cleary and Naomi Clements Brod and Gerry Coghlan and Elizabeth Colby and Trevor R. P. Cole and Janine Collins and Peter W. Collins and Camilla Colombo and Cecilia J. Compton and Robin Condliffe and Stuart A. Cook and H. Terence Cook and Nichola Cooper and Paul A. Corris and Abigail Furnell and Fiona Cunningham and Nicola S. Curry and Antony J. Cutler and Matthew J. Daniels and Mehul Dattani and Louise C. Daugherty and John Davis and Anthony De Soyza and Timothy Dent and Charu Deshpande and Eleanor F. Dewhurst and Sofia Douzgou and Anna M. Drazyk and Elizabeth Drewe and Daniel Duarte and Tina Dutt and J. David M. Edgar and Karen Edwards and William Egner and Melanie N. Ekani and Perry Elliott and Wendy N. Erber and Marie Erwood and Maria C. Estiu and Dafydd Gareth Evans and Gillian Evans and Tamara Everington and M{\'{e}}lanie Eyries and Hiva Fassihi and Remi Favier and Jack Findhammer and Debra Fletcher and Frances A. Flinter and R. Andres Floto and Tom Fowler and James Fox and Amy J. Frary and Courtney E. French and Kathleen Freson and Henning Gall and Vijeya Ganesan and Michael Gattens and Claire Geoghegan and Terence S. A. Gerighty and Ali G. Gharavi and Stefano Ghio and Hossein{-}Ardeschir Ghofrani and J. Simon R. Gibbs and Kate Gibson and Kimberly C. Gilmour and Barbara Girerd and Nicholas S. Gleadall and Sarah Goddard and David B. Goldstein and Keith Gomez and Pavels Gordins and David Gosal and Jodie Graham and Luigi Grassi and Lynn Greenhalgh and Andreas Greinacher and Paolo Gresele and Philip Griffiths and Sofia Grigoriadou and Russell J. Grocock and Detelina Grozeva and Mark Gurnell and Scott Hackett and Charaka Hadinnapola and William M. Hague and Rosie Hague and Matthew Hall and Helen L. Hanson and Eshika Haque and Kirsty Harkness and Andrew R. Harper and Claire L. Harris and Daniel Hart and Ahamad Hassan and Grant Hayman and Alex Henderson and Archana Herwadkar and Jonathan Hoffman and Simon Holden and Rita Horvath and Henry Houlden and Arjan C. Houweling and Luke S. G. E. Howard and Fengyuan Hu and Gavin Hudson and Joseph Hughes and Aarnoud P. Huissoon and Marc Humbert and Sarah Hunter and Matthew E. Hurles and Melita Irving and Louise Izatt and Sally A. Johnson and Stephen Jolles and Jennifer Jolley and Dragana Josifova and Neringa Jurkute and Tim Karten and Johannes Karten and Mary A. Kasanicki and Hanadi Kazkaz and Rashid Kazmi and Peter Kelleher and Anne M. Kelly and Wilf Kelsall and Carly Kempster and David G. Kiely and Nathalie Kingston and Robert Klima and Nils Koelling and Myrto Kostadima and Gabor Kovacs and Roman Kreuzhuber and Taco W. Kuijpers and Ajith Kumar and Dinakantha Kumararatne and Manju A. Kurian and Fiona Lalloo and Michele Lambert and Allan Lawrie and D. Mark Layton and Nick Lench and Claire Lentaigne and Tracy Lester and Rachel Linger and Hilary Longhurst and Lorena E. Lorenzo and Eleni Louka and Paul A. Lyons and Rajiv D. Machado and Robert V. MacKenzie Ross and Bella Madan and Jesmeen Maimaris and Samantha Malka and Sarah Mangles and Kevin J. Marchbank and Stephen Marks and Hanns{-}Ulrich Marschall and Andrew G. Marshall and Jennifer Martin and Mary Mathias and Emma Matthews and Heather Maxwell and Paul McAlinden and Mark I. McCarthy and Harriet McKinney and Aoife McMahon and Stuart Meacham and Adam J. Mead and Ignacio Medina Castello and Sarju G. Mehta and Michel Michaelides and Carolyn Millar and Shehla N. Mohammed and Shahin Moledina and David Montani and Anthony T. Moore and Monika Mozere and Keith W. Muir and Andrea H. Nemeth and William G. Newman and Michael Newnham and Sadia Noorani and Paquita Nurden and Jennifer O'Sullivan and Samya Obaji and Chris Odhams and Steven Okoli and Andrea Olschewski and Horst Olschewski and Kai Ren Ong and S. Helen Oram and Willem H. Ouwehand and Claire Palles and Sofia Papadia and Soo{-}Mi Park and David Parry and Smita Patel and Joan Paterson and Andrew Peacock and Simon H. Pearce and John Peden and Kathelijne Peerlinck and Christopher J. Penkett and Joanna Pepke{-}Zaba and Romina Petersen and Clarissa Pilkington and Kenneth E. S. Poole and Radhika Prathalingam and Bethan Psaila and Angela Pyle and Richard Quinton and Shamima Rahman and Anupama Rao and F. Lucy Raymond and Paula J. Rayner{-}Matthews and Christine Rees and Tara Renton and Christopher J. Rhodes and Andrew S. C. Rice and Alex Richter and Leema Robert and Anthony Rogers and Sarah J. Rose and Robert Ross{-}Russell and Catherine Roughley and Deborah M. Ruddy and Omid Sadeghi{-}Alavijeh and Nilesh J. Samani and Crina Samarghitean and Ravishankar B. Sargur and Robert N. Sarkany and Simon Satchell and Sinisa Savic and John A. Sayer and Genevieve Sayer and Laura Scelsi and Andrew M. Schaefer and Sol Schulman and Richard Scott and Marie Scully and Claire Searle and Werner Seeger and Arjune Sen and W. A. Carrock Sewell and Denis Seyres and Neil Shah and Susan E. Shapiro and Adam C. Shaw and Patrick J. Short and Keith Sibson and Lucy Side and Ilenia Simeoni and Michael A. Simpson and Matthew C. Sims and Suthesh Sivapalaratnam and Damian Smedley and Katherine R. Smith and Katie Snape and Nicole Soranzo and Florent Soubrier and Laura Southgate and Olivera Spasic{-}Boskovic and Simon Staines and Emily Staples and Charles A. Steward and Kathleen E. Stirrups and Alex Stuckey and Jay Suntharalingam and Emilia M. Swietlik and Petros Syrris and R. Campbell Tait and Kate Talks and Katie Tate and John M. Taylor and Jenny C. Taylor and James E. Thaventhiran and Ellen Thomas and David Thomas and Moira J. Thomas and Patrick Thomas and Kate Thomson and Glen Threadgold and Tobias Tilly and Marc Tischkowitz and Catherine Titterton and John A. Todd and Cheng{-}Hock Toh and Bas Tolhuis and Ian P. Tomlinson and Mark Toshner and Matthew Traylor and Carmen Treacy and Paul Treadaway and Richard Trembath and Wojciech Turek and Philip Twiss and Tom Vale and Chris Van Geet and Natalie van Zuydam and Maarten Vandekuilen and Anthony M. Vandersteen and Marta Vazquez{-}Lopez and Julie von Ziegenweidt and Anton Vonk{-}Noordegraaf and Annette Wagner and Quinten Waisfisz and Suellen M. Walker and Neil Walker and Klaudia Walter and James S. Ware and Christopher Watt and Lucy Wedderburn and Wei Wei and Steven B. Welch and Julie Wessels and Sarah K. Westbury and John{-}Paul Westwood and John Wharton and Deborah Whitehorn and Andrew O. M. Wilkie and Brian T. Wilson and Edwin K. S. Wong and Nicholas W. Wood and Yvette Wood and Christopher Geoffrey Woods and Emma R. Woodward and Stephen J. Wort and Austen Worth and Michael Wright and Katherine Yates and Patrick F. K. Yong and Timothy Young and Ping Yu and Patrick Yu{-}Wai{-}Man and Eliska Zlamalova}, title = {Whole-genome sequencing of patients with rare diseases in a national health system}, journal = {Nat.}, volume = {583}, number = {7814}, pages = {96--102}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2434-2}, doi = {10.1038/S41586-020-2434-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/TurroAMGGSASFTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotics/HuangLCD20, author = {Jhih{-}Yuan Huang and Wei{-}Po Lee and Chen{-}Chia Chen and Bu{-}Wei Dong}, title = {Developing Emotion-Aware Human-Robot Dialogues for Domain-Specific and Goal-Oriented Tasks}, journal = {Robotics}, volume = {9}, number = {2}, pages = {31}, year = {2020}, url = {https://doi.org/10.3390/robotics9020031}, doi = {10.3390/ROBOTICS9020031}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotics/HuangLCD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChuSYCLL20, author = {Shao{-}Yu Chu and Meng{-}Xian Shen and Tsung{-}Han Yeh and Chia{-}Hsun Chen and Ching{-}Ting Lee and Hsin{-}Ying Lee}, title = {Investigation of Ga2O3-Based Deep Ultraviolet Photodetectors Using Plasma-Enhanced Atomic Layer Deposition System}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6159}, year = {2020}, url = {https://doi.org/10.3390/s20216159}, doi = {10.3390/S20216159}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChuSYCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCLHCL20, author = {Chien{-}Ching Lee and Chia{-}Chun Chuang and Bo{-}Cheng Lai and Yi{-}Chia Huang and Jen{-}Yin Chen and Bor{-}Shyh Lin}, title = {A Novel Smart Assistance System for Blood Vessel Approaching: {A} Technical Report Based on Oximetry}, journal = {Sensors}, volume = {20}, number = {7}, pages = {1891}, year = {2020}, url = {https://doi.org/10.3390/s20071891}, doi = {10.3390/S20071891}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCLHCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/ChenLC20, author = {Liang{-}Chu Chen and Chia{-}Meng Lee and Mu{-}Yen Chen}, title = {Exploration of social media for sentiment analysis using deep learning}, journal = {Soft Comput.}, volume = {24}, number = {11}, pages = {8187--8197}, year = {2020}, url = {https://doi.org/10.1007/s00500-019-04402-8}, doi = {10.1007/S00500-019-04402-8}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/ChenLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/WuGAHBBRKNXLFXT20, author = {Dufan Wu and Kuang Gong and Chiara Daniela Arru and Fatemeh Homayounieh and Bernardo Bizzo and Varun Buch and Hui Ren and Kyung Sang Kim and Nir Neumark and Pengcheng Xu and Zhiyuan Liu and Wei Fang and Nuobei Xie and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Alessandro Carriero and Luca Saba and Mahsa Masjedi and Hamidreza Talari and Rosa Babaei and Hadi Karimi Mobin and Shadi Ebrahimian and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Severity and Consolidation Quantification of {COVID-19} From {CT} Images Using Deep Learning Based on Hybrid Weak Labels}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {24}, number = {12}, pages = {3529--3538}, year = {2020}, url = {https://doi.org/10.1109/JBHI.2020.3030224}, doi = {10.1109/JBHI.2020.3030224}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/WuGAHBBRKNXLFXT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/YangCCLTCL20, author = {Chao{-}Tung Yang and Yuan{-}An Chen and Yu{-}Wei Chan and Chia{-}Lin Lee and Yu{-}Tse Tsan and Wei{-}Cheng Chan and Po{-}Yu Liu}, title = {Influenza-like illness prediction using a long short-term memory deep learning model with multiple open data sources}, journal = {J. Supercomput.}, volume = {76}, number = {12}, pages = {9303--9329}, year = {2020}, url = {https://doi.org/10.1007/s11227-020-03182-5}, doi = {10.1007/S11227-020-03182-5}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/YangCCLTCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tlt/LienLCCL20, author = {Wan{-}Ching Lien and Phone Lin and Hong{-}Wun Chen and Herman Chih{-}Heng Chang and Chia{-}Peng Lee}, title = {{MEUS:} {A} Mobile E-Learning Platform for Ultrasound Image Education}, journal = {{IEEE} Trans. Learn. Technol.}, volume = {13}, number = {2}, pages = {367--373}, year = {2020}, url = {https://doi.org/10.1109/TLT.2020.2977627}, doi = {10.1109/TLT.2020.2977627}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tlt/LienLCCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChaoWLWC20, author = {Cheng{-}Chih Chao and Chih{-}Yu Wang and Chia{-}Han Lee and Hung{-}Yu Wei and Wen{-}Tsuen Chen}, title = {Pair Auction and Matching for Resource Allocation in Full-Duplex Cellular Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {69}, number = {4}, pages = {4325--4339}, year = {2020}, url = {https://doi.org/10.1109/TVT.2020.2973712}, doi = {10.1109/TVT.2020.2973712}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChaoWLWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/WangHCLYHLKW20, author = {Sung{-}Hao Wang and Yu{-}Kai Huang and Ching{-}Yuan Chen and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Improved Design and In Vivo Animal Tests of Bone-Guided Cochlear Implant Microsystem with Monopolar Biphasic Multiple Stimulation and Neural Action Potential Acquisition}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2020, Virtual Event, Japan, November 9-11, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/A-SSCC48613.2020.9336120}, doi = {10.1109/A-SSCC48613.2020.9336120}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/WangHCLYHLKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChiangCCCLLCC20, author = {Yi{-}Shyuan Chiang and Ruei{-}Che Chang and Yi{-}Lin Chuang and Shih{-}Ya Chou and Hao{-}Ping Lee and I{-}Ju Lin and Jian{-}Hua Jiang Chen and Yung{-}Ju Chang}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Exploring the Design Space of User-System Communication for Smart-home Routine Assistants}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--14}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376501}, doi = {10.1145/3313831.3376501}, timestamp = {Wed, 12 Jun 2024 07:39:18 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChiangCCCLLCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/LinLTBW20, author = {Yu{-}Cheng Lin and Yun{-}Chieh Lee and Wen{-}Chiao Tsai and Win{-}Ken Beh and An{-}Yeu Andy Wu}, title = {Explainable Deep Neural Network for Identifying Cardiac Abnormalities Using Class Activation Map}, booktitle = {Computing in Cardiology, CinC 2020, Rimini, Italy, September 13-16, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.22489/CinC.2020.072}, doi = {10.22489/CINC.2020.072}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/LinLTBW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NahSTLTXCTBSXCS20, author = {Seungjun Nah and Sanghyun Son and Radu Timofte and Kyoung Mu Lee and Yu Tseng and Yu{-}Syuan Xu and Cheng{-}Ming Chiang and Yi{-}Min Tsai and Stephan Brehm and Sebastian A. Scherer and Dejia Xu and Yihao Chu and Qingyan Sun and Jiaqin Jiang and Lunhao Duan and Jian Yao and Kuldeep Purohit and Maitreya Suin and A. N. Rajagopalan and Yuichi Ito and Hrishikesh P. S and Densen Puthussery and Akhil K. A and C. V. Jiji and Guisik Kim and Deepa P. L and Zhiwei Xiong and Jie Huang and Dong Liu and Sangmin Kim and Hyungjoon Nam and Jisu Kim and Jechang Jeong and Shihua Huang and Yuchen Fan and Jiahui Yu and Haichao Yu and Thomas S. Huang and Ya Zhou and Xin Li and Sen Liu and Zhibo Chen and Saikat Dutta and Sourya Dipta Das and Shivam Garg and Daniel Sprague and Bhrij Patel and Thomas Huck}, title = {{NTIRE} 2020 Challenge on Image and Video Deblurring}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {1662--1675}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Nah\_NTIRE\_2020\_Challenge\_on\_Image\_and\_Video\_Deblurring\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00216}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/NahSTLTXCTBSXCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChenL20a, author = {Ruey{-}Cheng Chen and Chia{-}Jung Lee}, editor = {Bonnie Webber and Trevor Cohn and Yulan He and Yang Liu}, title = {Incorporating Behavioral Hypotheses for Query Generation}, booktitle = {Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2020, Online, November 16-20, 2020}, pages = {3105--3110}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.emnlp-main.251}, doi = {10.18653/V1/2020.EMNLP-MAIN.251}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChenL20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChiangHL20, author = {David Cheng{-}Han Chiang and Sung{-}Feng Huang and Hung{-}yi Lee}, editor = {Bonnie Webber and Trevor Cohn and Yulan He and Yang Liu}, title = {Pretrained Language Model Embryology: The Birth of {ALBERT}}, booktitle = {Proceedings of the 2020 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2020, Online, November 16-20, 2020}, pages = {6813--6828}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.emnlp-main.553}, doi = {10.18653/V1/2020.EMNLP-MAIN.553}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChiangHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JiangXL020, author = {Jyun{-}Yu Jiang and Chenyan Xiong and Chia{-}Jung Lee and Wei Wang}, editor = {Trevor Cohn and Yulan He and Yang Liu}, title = {Long Document Ranking with Query-Directed Sparse Transformer}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2020, Online Event, 16-20 November 2020}, series = {Findings of {ACL}}, volume = {{EMNLP} 2020}, pages = {4594--4605}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.findings-emnlp.412}, doi = {10.18653/V1/2020.FINDINGS-EMNLP.412}, timestamp = {Tue, 20 Aug 2024 07:54:42 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JiangXL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChingYLHKHL20, author = {Cheng{-}Wei Ching and Chung{-}Kai Yang and Yu{-}Chun Liu and Chia{-}Wei Hsu and Jian{-}Jhih Kuo and Hung{-}Sheng Huang and Jen{-}Feng Lee}, title = {Energy-Efficient Link Selection for Decentralized Learning via Smart Devices with Edge Computing}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9322306}, doi = {10.1109/GLOBECOM42002.2020.9322306}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChingYLHKHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LinWCL20, author = {Chia{-}Hung Lin and Chao{-}Chin Wu and Kuan{-}Fu Chen and Ta{-}Sung Lee}, title = {A Variational Autoencoder-Based Secure Transceiver Design Using Deep Learning}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2020, Virtual Event, Taiwan, December 7-11, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GLOBECOM42002.2020.9348041}, doi = {10.1109/GLOBECOM42002.2020.9348041}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LinWCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/YenLCWY20, author = {Chiu{-}Chen Yen and Chia{-}Ying Lee and Gwo{-}Dong Chen and Jen{-}Hang Wang and Su{-}Hang Yang}, title = {A Digital Reality Learning Environment with Instant Assessment on Learning with Body and Visual Interaction}, booktitle = {20th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2020, Tartu, Estonia, July 6-9, 2020}, pages = {77--78}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICALT49669.2020.00030}, doi = {10.1109/ICALT49669.2020.00030}, timestamp = {Wed, 12 Aug 2020 12:28:51 +0200}, biburl = {https://dblp.org/rec/conf/icalt/YenLCWY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/SantiniBCBP20, author = {Paolo Santini and Massimo Battaglioni and Franco Chiaraluce and Marco Baldi and Edoardo Persichetti}, title = {Low-Lee-Density Parity-Check Codes}, booktitle = {2020 {IEEE} International Conference on Communications, {ICC} 2020, Dublin, Ireland, June 7-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICC40277.2020.9148812}, doi = {10.1109/ICC40277.2020.9148812}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/SantiniBCBP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengHLP20, author = {Chung{-}Kuan Cheng and Chia{-}Tung Ho and Daeyeal Lee and Dongwon Park}, title = {A Routability-Driven Complimentary-FET {(CFET)} Standard Cell Synthesis Framework using {SMT}}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2020, San Diego, CA, USA, November 2-5, 2020}, pages = {158:1--158:8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1145/3400302.3415611}, doi = {10.1145/3400302.3415611}, timestamp = {Mon, 18 Jan 2021 09:56:56 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChengHLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/ChangHL20, author = {Hsuan{-}Yu Chang and Chia{-}Cheng Hsu and Yu{-}Hsuan Lee}, title = {Smooth Dark Channel Prior Technique for Image Dehazing Applications}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258222}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258222}, timestamp = {Wed, 24 Nov 2021 09:22:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/ChangHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeTCJC20, author = {Chia{-}Ying Lee and Jing{-}Yau Tang and Pen{-}Jan Chen and Ling{-}Sheng Jang and Hsiao{-}Ling Chuang}, title = {The Effect of Extremely Low Frequency Electromagnetic Field on Weight Gain of Preterm Babies}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258046}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258046}, timestamp = {Wed, 24 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeTCJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icea/LeeCLCL20, author = {Yueh{-}Shiu Lee and I{-}Yun Chen and Tsung{-}Yao Lin and Yen{-}Chiao Chuang and Hsu{-}Jung Liu}, title = {Developing a Model to Explore Consumer Buying Behaviors through Long Short-Term Memory}, booktitle = {{ACM} {ICEA} '20: 2020 {ACM} International Conference on Intelligent Computing and its Emerging Applications, GangWon Republic of Korea, December 12 - 15, 2020}, pages = {11:1--11:3}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3440943.3444748}, doi = {10.1145/3440943.3444748}, timestamp = {Wed, 29 Sep 2021 09:35:11 +0200}, biburl = {https://dblp.org/rec/conf/icea/LeeCLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/WongLLWCT20, author = {Wai Mun Wong and Christopher Lim and Chia{-}Da Lee and Lilian Wang and Shih{-}Che Chen and Pei{-}Kuei Tsung}, title = {{KRF-SLAM:} {A} Robust {AI} Slam Based On Keypoint Resampling And Fusion}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, pages = {296--299}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIP40778.2020.9191192}, doi = {10.1109/ICIP40778.2020.9191192}, timestamp = {Tue, 03 Nov 2020 11:48:53 +0100}, biburl = {https://dblp.org/rec/conf/icip/WongLLWCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/KaoLCCLH20, author = {Peng Yua Kao and Yan{-}Jing Lei and Chia{-}Hao Chang and Chu{-}Song Chen and Ming{-}Sui Lee and Yi{-}Ping Hung}, title = {Activity Recognition Using First-Person-View Cameras Based on Sparse Optical Flows}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {81--86}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412330}, doi = {10.1109/ICPR48806.2021.9412330}, timestamp = {Fri, 07 May 2021 08:42:33 +0200}, biburl = {https://dblp.org/rec/conf/icpr/KaoLCCLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/YangCLW20, author = {Fu{-}En Yang and Jing{-}Cheng Chang and Yuan{-}Hao Lee and Yu{-}Chiang Frank Wang}, title = {Dual-MTGAN: Stochastic and Deterministic Motion Transfer for Image-to-Video Synthesis}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {6764--6771}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9412781}, doi = {10.1109/ICPR48806.2021.9412781}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/YangCLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChenLLCCSTYHCLC20, author = {Kuan{-}Ting Chen and C. Lo and Y.{-}Y. Lin and C.{-}Y. Chueh and C. Chang and G.{-}Y. Siang and Y.{-}J. Tseng and Y.{-}J. Yang and F.{-}C. Hsieh and S.{-}H. Chang and H. Liang and S.{-}H. Chiang and J.{-}H. Liu and Y.{-}D. Lin and P.{-}C. Yeh and C.{-}Y. Wang and H.{-}Y. Yang and P.{-}J. Tzeng and M.{-}H. Liao and Shu{-}Tong Chang and Y.{-}Y. Tseng and Min{-}Hung Lee}, title = {Double Layers Omega FETs with Ferroelectric HfZrO2 for One-Transistor Memory}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129088}, doi = {10.1109/IRPS45951.2020.9129088}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChenLLCCSTYHCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChenHL20, author = {Oscal Tzyh{-}Chiang Chen and Manh{-}Hung Ha and Yi Lun Lee}, title = {Computation-Affordable Recognition System for Activity Identification using a Smart Phone at Home}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180826}, doi = {10.1109/ISCAS45731.2020.9180826}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChenHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangCL20, author = {Wei Chiang and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {An Area-Efficient High-Throughput {SM4} Accelerator with SCA-Countermeasure for {TV} Applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180577}, doi = {10.1109/ISCAS45731.2020.9180577}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChiangCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChenLLCLW20, author = {Chun{-}Jui Chen and Yi{-}Ting Lin and Chia{-}Chun Lin and Yung{-}Chih Chen and Yun{-}Ju Lee and Chun{-}Yao Wang}, title = {Rehabilitation System for Limbs using IMUs}, booktitle = {21st International Symposium on Quality Electronic Design, {ISQED} 2020, Santa Clara, CA, USA, March 25-26, 2020}, pages = {285--291}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISQED48828.2020.9137026}, doi = {10.1109/ISQED48828.2020.9137026}, timestamp = {Wed, 22 Jul 2020 15:06:46 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ChenLLCLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/WangCCLLCW20, author = {Teng{-}Chia Wang and Yan{-}Ping Chang and Chun{-}Jui Chen and Yun{-}Ju Lee and Chia{-}Chun Lin and Yung{-}Chih Chen and Chun{-}Yao Wang}, title = {IMU-based Smart Knee Pad for Walking Distance and Stride Count Measurement}, booktitle = {21st International Symposium on Quality Electronic Design, {ISQED} 2020, Santa Clara, CA, USA, March 25-26, 2020}, pages = {173--178}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISQED48828.2020.9136969}, doi = {10.1109/ISQED48828.2020.9136969}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isqed/WangCCLLCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChihSLCLLCLSSCC20, author = {Yu{-}Der Chih and Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Chieh{-}Pu Lo and Meng{-}Chun Shih and Kuei{-}Hung Shen and Harry Chuang and Tsung{-}Yung Jonathan Chang}, title = {13.3 {A} 22nm 32Mb Embedded {STT-MRAM} with 10ns Read Speed, 1M Cycle Write Endurance, 10 Years Retention at 150{\textdegree}C and High Immunity to Magnetic Field Interference}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {222--224}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062955}, doi = {10.1109/ISSCC19947.2020.9062955}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChihSLCLLCLSSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LinCTHKWTHLLWKC20, author = {Chien{-}Hung Lin and Chih{-}Chung Cheng and Yi{-}Min Tsai and Sheng{-}Je Hung and Yu{-}Ting Kuo and Perry H. Wang and Pei{-}Kuei Tsung and Jeng{-}Yun Hsu and Wei{-}Chih Lai and Chia{-}Hung Liu and Shao{-}Yu Wang and Chin{-}Hua Kuo and Chih{-}Yu Chang and Ming{-}Hsien Lee and Tsung{-}Yao Lin and Chih{-}Cheng Chen}, title = {7.1 {A} 3.4-to-13.3TOPS/W 3.6TOPS Dual-Core Deep-Learning Accelerator for Versatile {AI} Applications in 7nm 5G Smartphone SoC}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {134--136}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063111}, doi = {10.1109/ISSCC19947.2020.9063111}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LinCTHKWTHLLWKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WuCYLYCCCLCCHY20, author = {Yi{-}Chung Wu and Yen{-}Lung Chen and Chung{-}Hsuan Yang and Chao{-}Hsi Lee and Chao{-}Yang Yu and Nian{-}Shyang Chang and Ling{-}Chien Chen and Jia{-}Rong Chang and Chun{-}Pin Lin and Hung{-}Lieh Chen and Chi{-}Shi Chen and Jui{-}Hung Hung and Chia{-}Hsiang Yang}, title = {21.1 {A} Fully Integrated Genetic Variant Discovery SoC for Next-Generation Sequencing}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {322--324}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063002}, doi = {10.1109/ISSCC19947.2020.9063002}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/WuCYLYCCCLCCHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/ChuangHWLTCW20, author = {Chien{-}Hui Chuang and Kuan{-}Wei Hou and Cheng{-}Wen Wu and Mincent Lee and Chia{-}Heng Tsai and Hao Chen and Min{-}Jer Wang}, title = {A Deep Learning-Based Screening Method for Improving the Quality and Reliability of Integrated Passive Devices}, booktitle = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei, Taiwan, September 23-25, 2020}, pages = {13--18}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC-Asia51099.2020.00014}, doi = {10.1109/ITC-ASIA51099.2020.00014}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/ChuangHWLTCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/LeeLTCW20, author = {Mincent Lee and Cheng{-}Tse Lu and Chia{-}Heng Tsai and Hao Chen and Min{-}Jer Wang}, title = {Site-aware Anomaly Detection with Machine Learning for Circuit Probing to Prevent Overkill}, booktitle = {{IEEE} International Test Conference in Asia, ITC-Asia 2020, Taipei, Taiwan, September 23-25, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC-Asia51099.2020.00012}, doi = {10.1109/ITC-ASIA51099.2020.00012}, timestamp = {Wed, 26 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/LeeLTCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChuangHWLTCW20, author = {Chien{-}Hui Chuang and Kuan{-}Wei Hou and Cheng{-}Wen Wu and Mincent Lee and Chia{-}Heng Tsai and Hao Chen and Min{-}Jer Wang}, title = {A Deep Learning-Based Screening Method for Improving the Quality and Reliability of Integrated Passive Devices}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325221}, doi = {10.1109/ITC44778.2020.9325221}, timestamp = {Wed, 26 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChuangHWLTCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/LeeLFHCLC20, author = {Sheng{-}Wei Lee and Peng{-}Wei Lin and Yuan{-}Ting Fu and Chih{-}Ming Hsu and Chen{-}Yu Chan and Jhih{-}Hong Lin and Yen{-}Hung Chiang}, title = {Improving vehicle localization using pole-like landmarks extracted from 3-D lidar scans}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2020, Las Vegas, NV, USA, October 19 - November 13, 2020}, pages = {2052--2057}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IV47402.2020.9304747}, doi = {10.1109/IV47402.2020.9304747}, timestamp = {Fri, 15 Jan 2021 15:43:41 +0100}, biburl = {https://dblp.org/rec/conf/ivs/LeeLFHCLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ChenOLLCGMGCA20, author = {Antong Chen and Charlene Zhi Lin Ong and Weiwei Luo and Chen Fei Lee and Ser Mien Chia and Joana Galvao and Daniel Metzger and Eric Gifford and Chih{-}Liang Chin and Asad Abu Bakar Ali}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Comparison of training strategies for the segmentation of retina layers in optical coherence tomography images of rodent eyes using convolutional neural networks}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131339}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549442}, doi = {10.1117/12.2549442}, timestamp = {Tue, 21 Jul 2020 15:32:21 +0200}, biburl = {https://dblp.org/rec/conf/miip/ChenOLLCGMGCA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LuCHGTTSHL20, author = {Tsung{-}Han Lu and Nai{-}Jung Chiang and Chien{-}Jui Huang and Priya Gopinathan and Hsiu{-}Chi Tu and Yi{-}Cheng Tsai and Yen{-}Shen Shan and Shang{-}Cheng Hung and Gwo{-}Bin Lee}, title = {An integrated microfluidic platform for cholangiocarcinoma diagnosis from clinical bile juice samples by utilizing multiple affinity reagents}, booktitle = {15th {IEEE} International Conference on Nano/Micro Engineered and Molecular System, {NEMS} 2020, San Diego, CA, USA, September 27-30, 2020}, pages = {261--264}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/NEMS50311.2020.9265566}, doi = {10.1109/NEMS50311.2020.9265566}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nems/LuCHGTTSHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/ChenWLTKW20, author = {Pin{-}Yuan Chen and Chia{-}Hua Wu and Hung{-}Shin Lee and Shao{-}Kang Tsao and Ming{-}Tat Ko and Hsin{-}Min Wang}, title = {Using Taigi Dramas with Mandarin Chinese Subtitles to Improve Taigi Speech Recognition}, booktitle = {23rd Conference of the Oriental {COCOSDA} International Committee for the Co-ordination and Standardisation of Speech Databases and Assessment Techniques, {O-COCOSDA} 2020, Yangon, Myanmar, November 5-7, 2020}, pages = {71--76}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/O-COCOSDA50338.2020.9295005}, doi = {10.1109/O-COCOSDA50338.2020.9295005}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ococosda/ChenWLTKW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/WangCLLL20, author = {Wen{-}Jet Wang and Chia{-}Jung Chen and Chien{-}Yu Lai and Chia{-}Ming Lee and Hsin{-}Hung Lin}, editor = {Jenq{-}Haur Wang and Ying{-}Hui Lai}, title = {A Chinese Math Word Problem Solving System Based on Linguistic Theory and Non-statistical Approach}, booktitle = {Proceedings of the 32nd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2020, Taipei, Taiwan, September 24-26, 2020}, pages = {208--222}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2020}, url = {https://aclanthology.org/2020.rocling-1.21}, timestamp = {Thu, 27 Oct 2022 16:33:44 +0200}, biburl = {https://dblp.org/rec/conf/rocling/WangCLLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/LeeHCHCH20, author = {Dan{-}Feng Lee and Xiang{-}Wen Huang and Yu{-}Chia Chen and Yu{-}Xiu Hsu and Shu{-}Yu Chang and Ping{-}Hsuan Han}, title = {FoodBender: Activating Utensil for Playing in the Immersive Game with Attachable Haptic}, booktitle = {{SIGGRAPH} Asia 2019 Extended Reality Program, {SA} 2020, Virtual Event, Republic of Korea, December 4-13, 2020}, pages = {7:1--7:2}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3415256.3421490}, doi = {10.1145/3415256.3421490}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/LeeHCHCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sii/ChenCMZL20, author = {Oscal Tzyh{-}Chiang Chen and Syu{-}Yang Chang and Yu{-}Zhi Ma and Yu{-}Cheng Zhang and Yi Lun Lee}, title = {Time Capsule Gift with Affective Awareness of Event Memories via Near Field Communication}, booktitle = {2020 {IEEE/SICE} International Symposium on System Integration, {SII} 2020, Honolulu, HI, USA, January 12-15, 2020}, pages = {585--589}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SII46433.2020.9026183}, doi = {10.1109/SII46433.2020.9026183}, timestamp = {Thu, 12 Mar 2020 13:51:22 +0100}, biburl = {https://dblp.org/rec/conf/sii/ChenCMZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trec/ChangCCLTWCT20, author = {Chia{-}Yuan Chang and Ning Chen and Wei{-}Ting Chiang and Chih{-}Hen Lee and Yu{-}Hsuan Tseng and Chuan{-}Ju Wang and Hsien{-}Hao Chen and Ming{-}Feng Tsai}, editor = {Ellen M. Voorhees and Angela Ellis}, title = {Query Expansion with Semantic-Based Ellipsis Reduction for Conversational {IR}}, booktitle = {Proceedings of the Twenty-Ninth Text REtrieval Conference, {TREC} 2020, Virtual Event [Gaithersburg, Maryland, USA], November 16-20, 2020}, series = {{NIST} Special Publication}, volume = {1266}, publisher = {National Institute of Standards and Technology {(NIST)}}, year = {2020}, url = {https://trec.nist.gov/pubs/trec29/papers/ASCFDA.C.pdf}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trec/ChangCCLTWCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/LeeJFTP20, author = {Dayeol Lee and Dongha Jung and Ian T. Fang and Chia{-}Che Tsai and Raluca Ada Popa}, editor = {Srdjan Capkun and Franziska Roesner}, title = {An Off-Chip Attack on Hardware Enclaves via the Memory Bus}, booktitle = {29th {USENIX} Security Symposium, {USENIX} Security 2020, August 12-14, 2020}, pages = {487--504}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/usenixsecurity20/presentation/lee-dayeol}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uss/LeeJFTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/ChenLCLLCYL20, author = {Yi{-}Ru Chen and Hui{-}Hsin Liao and Chia{-}Hsuan Chang and Che{-}Chia Lin and Chao{-}Lin Lee and Yuan{-}Ming Chang and Chun{-}Chieh Yang and Jenq{-}Kuen Lee}, title = {Experiments and optimizations for {TVM} on {RISC-V} Architectures with {P} Extension}, booktitle = {2020 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2020, Hsinchu, Taiwan, August 10-13, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSI-DAT49148.2020.9196477}, doi = {10.1109/VLSI-DAT49148.2020.9196477}, timestamp = {Tue, 29 Sep 2020 11:35:15 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/ChenLCLLCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/OhLKZSAVFGCWMBB20, author = {Jinwook Oh and Sae Kyu Lee and Mingu Kang and Matthew M. Ziegler and Joel Silberman and Ankur Agrawal and Swagath Venkataramani and Bruce M. Fleischer and Michael Guillorn and Jungwook Choi and Wei Wang and Silvia M. Mueller and Shimon Ben{-}Yehuda and James Bonanno and Nianzheng Cao and Robert Casatuta and Chia{-}Yu Chen and Matt Cohen and Ophir Erez and Thomas W. Fox and George Gristede and Howard Haynie and Vicktoria Ivanov and Siyu Koswatta and Shih{-}Hsien Lo and Martin Lutz and Gary W. Maier and Alex Mesh and Yevgeny Nustov and Scot Rider and Marcel Schaal and Michael Scheuermann and Xiao Sun and Naigang Wang and Fanchieh Yee and Ching Zhou and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 3.0 {TFLOPS} 0.62V Scalable Processor Core for High Compute Utilization {AI} Training and Inference}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162917}, doi = {10.1109/VLSICIRCUITS18222.2020.9162917}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/OhLKZSAVFGCWMBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/WenLWKY20, author = {Chi{-}Chih Wen and Yu{-}Chi Lee and Yi{-}Chung Wu and Chen{-}Chien Kao and Chia{-}Hsiang Yang}, title = {A 1.96 Gb/s Massive {MU-MIMO} Detector for Next-Generation Cellular Systems}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9163045}, doi = {10.1109/VLSICIRCUITS18222.2020.9163045}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/WenLWKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenYLH20, author = {Chiao{-}En Chen and Hsin{-}Ching Yang and Kelvin Kuang{-}Chi Lee and Yuan{-}Hao Huang}, title = {Precoder Design for Transmitter Preprocessing Aided Spatial Modulated {QPSK} Systems using One-bit DACs and Quantized Phase Shifters}, booktitle = {91st {IEEE} Vehicular Technology Conference, {VTC} Spring 2020, Antwerp, Belgium, May 25-28, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VTC2020-Spring48590.2020.9129416}, doi = {10.1109/VTC2020-SPRING48590.2020.9129416}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/ChenYLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/ChenYWYWCCKLKC20, author = {Chun{-}Teng Chen and Chia{-}Heng Yen and Cheng{-}Yen Wen and Cheng{-}Hao Yang and Kai{-}Chiang Wu and Mason Chern and Ying{-}Yen Chen and Chun{-}Yi Kuo and Jih{-}Nung Lee and Shu{-}Yi Kao and Mango Chia{-}Tso Chao}, title = {CNN-based Stochastic Regression for {IDDQ} Outlier Identification}, booktitle = {38th {IEEE} {VLSI} Test Symposium, {VTS} 2020, San Diego, CA, USA, April 5-8, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VTS48691.2020.9107570}, doi = {10.1109/VTS48691.2020.9107570}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vts/ChenYWYWCCKLKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenLCWS20, author = {Hsueh{-}Yi Chen and Pei{-}Feng Lee and Te{-}Wei Chiang and Sheng{-}Shih Wang and Shiann{-}Tsong Sheu}, title = {Hmc: {A} Hopping-Based Multi-Channel Coordination Scheme For Urllc In Unlicensed Spectrum}, booktitle = {2020 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2020, Seoul, Korea (South), May 25-28, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/WCNC45663.2020.9120845}, doi = {10.1109/WCNC45663.2020.9120845}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenLCWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-02580, author = {Darsen D. Lu and Mohan V. Dunga and Ali M. Niknejad and Chenming Hu and Fu{-}Xiang Liang and Wei{-}Chen Hung and Jia{-}Wei Lee and Chun{-}Hsiang Hsu and Meng{-}Hsueh Chiang}, title = {Compact Device Models for FinFET and Beyond}, journal = {CoRR}, volume = {abs/2005.02580}, year = {2020}, url = {https://arxiv.org/abs/2005.02580}, eprinttype = {arXiv}, eprint = {2005.02580}, timestamp = {Sat, 09 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-02580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-09218, author = {Jia{-}Fong Yeh and Hsin{-}Ying Lee and Bing{-}Chen Tsai and Yi{-}Rong Chen and Ping{-}Chia Huang and Winston H. Hsu}, title = {Large Margin Mechanism and Pseudo Query Set on Cross-Domain Few-Shot Learning}, journal = {CoRR}, volume = {abs/2005.09218}, year = {2020}, url = {https://arxiv.org/abs/2005.09218}, eprinttype = {arXiv}, eprint = {2005.09218}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-09218.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-02480, author = {David Cheng{-}Han Chiang and Sung{-}Feng Huang and Hung{-}yi Lee}, title = {Pretrained Language Model Embryology: The Birth of {ALBERT}}, journal = {CoRR}, volume = {abs/2010.02480}, year = {2020}, url = {https://arxiv.org/abs/2010.02480}, eprinttype = {arXiv}, eprint = {2010.02480}, timestamp = {Thu, 22 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-02480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-02667, author = {Ruey{-}Cheng Chen and Chia{-}Jung Lee}, title = {Incorporating Behavioral Hypotheses for Query Generation}, journal = {CoRR}, volume = {abs/2010.02667}, year = {2020}, url = {https://arxiv.org/abs/2010.02667}, eprinttype = {arXiv}, eprint = {2010.02667}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-02667.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12683, author = {Jyun{-}Yu Jiang and Chenyan Xiong and Chia{-}Jung Lee and Wei Wang}, title = {Long Document Ranking with Query-Directed Sparse Transformer}, journal = {CoRR}, volume = {abs/2010.12683}, year = {2020}, url = {https://arxiv.org/abs/2010.12683}, eprinttype = {arXiv}, eprint = {2010.12683}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12683.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-04322, author = {Hsu{-}Chun Hsiao and Chun{-}Ying Huang and Bing{-}Kai Hong and Shin{-}Ming Cheng and Hsin{-}Yuan Hu and Chia{-}Chien Wu and Jian{-}Sin Lee and Shih{-}Hong Wang and Wei Jeng}, title = {An Empirical Evaluation of Bluetooth-based Decentralized Contact Tracing in Crowds}, journal = {CoRR}, volume = {abs/2011.04322}, year = {2020}, url = {https://arxiv.org/abs/2011.04322}, eprinttype = {arXiv}, eprint = {2011.04322}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-04322.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-11995, author = {David Cheng{-}Han Chiang and Hung{-}yi Lee}, title = {Pre-Training a Language Model Without Human Language}, journal = {CoRR}, volume = {abs/2012.11995}, year = {2020}, url = {https://arxiv.org/abs/2012.11995}, eprinttype = {arXiv}, eprint = {2012.11995}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-11995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLCLLKL19, author = {Fu{-}Hsing Chen and Chia{-}Lun Lee and Jui{-}Hung Chang and Wei{-}Sheng Liao and Chieh{-}An Lin and Chia{-}Wei Kuo and Chih{-}Lung Lin}, title = {Long-Term Behavior of Hydrogenated Amorphous Silicon Thin-Film Transistors Covered With Color Filters for Use in Optical Sensors}, journal = {{IEEE} Access}, volume = {7}, pages = {116172--116178}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2936405}, doi = {10.1109/ACCESS.2019.2936405}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLCLLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLCC19, author = {Chia{-}Han Lee and Jia{-}Wei Lin and Po{-}Hao Chen and Yu{-}Chieh Chang}, title = {Deep Learning-Constructed Joint Transmission-Recognition for Internet of Things}, journal = {{IEEE} Access}, volume = {7}, pages = {76547--76561}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2920929}, doi = {10.1109/ACCESS.2019.2920929}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangCCHLHSCL19, author = {Kuo{-}Wei Wang and Chia{-}Yuen Chen and Hsiao{-}Huang Chang and Chuan{-}Chih Hsu and Gong{-}Yau Lan and Hao{-}Teng Hsu and Kuo{-}Kai Shyu and Wing P. Chan and Po{-}Lei Lee}, title = {A Multivariate Empirical Mode Decomposition-Based Data-Driven Approach for Extracting Task-Dependent Hemodynamic Responses in Olfactory-Induced fMRI}, journal = {{IEEE} Access}, volume = {7}, pages = {15375--15388}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2893923}, doi = {10.1109/ACCESS.2019.2893923}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangCCHLHSCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/caee/TarngLLLL19, author = {Wernhuar Tarng and Chia{-}Lin Liu and Chi{-}Young Lee and Chih{-}Ming Lin and Yun{-}Chen Lu}, title = {A virtual laboratory for learning fullerene production and nanostructure analysis}, journal = {Comput. Appl. Eng. Educ.}, volume = {27}, number = {2}, pages = {472--484}, year = {2019}, url = {https://doi.org/10.1002/cae.22089}, doi = {10.1002/CAE.22089}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/caee/TarngLLLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ChenLHLCTCL19, author = {Chia{-}Hung Chen and Yan{-}Wei Lee and Yao{-}Sian Huang and Wei{-}Ren Lan and Ruey{-}Feng Chang and Chih{-}Yen Tu and Chih{-}Yu Chen and Wei{-}Chih Liao}, title = {Computer-aided diagnosis of endobronchial ultrasound images using convolutional neural network}, journal = {Comput. Methods Programs Biomed.}, volume = {177}, pages = {175--182}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.05.020}, doi = {10.1016/J.CMPB.2019.05.020}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ChenLHLCTCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LoLCY19, author = {Yun{-}Feng Lo and Chia{-}Han Lee and Po{-}Chun Chou and Ping{-}Cheng Yeh}, title = {Modeling Molecular Communications in Tubes With Poiseuille Flow and Robin Boundary Condition}, journal = {{IEEE} Commun. Lett.}, volume = {23}, number = {8}, pages = {1314--1318}, year = {2019}, url = {https://doi.org/10.1109/LCOMM.2019.2920830}, doi = {10.1109/LCOMM.2019.2920830}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LoLCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YangLC19, author = {Richard Hsin{-}Hsyong Yang and Chia{-}Kun Lee and Shiunn{-}Jang Chern}, title = {Performance Improvement of the Catastrophic {CPM} Scheme with New Split-Merged {MNSED}}, journal = {{IEICE} Trans. Commun.}, volume = {102-B}, number = {11}, pages = {2091--2103}, year = {2019}, url = {https://doi.org/10.1587/transcom.2018EBP3143}, doi = {10.1587/TRANSCOM.2018EBP3143}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/YangLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/SuCLC19, author = {I{-}Fang Su and Ding{-}Li Chen and Chiang Lee and Yu{-}Chi Chung}, title = {Finding Visible \emph{k}NN Objects in the Presence of Obstacles within the User's View Field {\textdagger}}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {8}, number = {3}, pages = {151}, year = {2019}, url = {https://doi.org/10.3390/ijgi8030151}, doi = {10.3390/IJGI8030151}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/SuCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/OuYLHTLCL19, author = {I{-}Che Ou and Jia{-}Ping Yang and Chia{-}Hung Liu and Kai{-}Jie Huang and Kun{-}Ju Tsai and Yu Lee and Yuan{-}Hua Chu and Yu{-}Te Liao}, title = {A Sustainable Soil Energy Harvesting System With Wide-Range Power-Tracking Architecture}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {5}, pages = {8384--8392}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2917593}, doi = {10.1109/JIOT.2019.2917593}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/OuYLHTLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/NgYRDZLPYVLGLHB19, author = {Kian Ann Ng and Chao Yuan and Astrid Rusly and Anh{-}Tuan Do and Bin Zhao and Shih{-}Chiang Liu and Wendy Yen Xian Peh and Thow Xin Yuan and Kai Voges and Sanghoon Lee and Gil Gerald Lasam Gammad and Khay{-}Wai Leong and John S. Ho and Silvia Bossi and Gemma Taverni and Annarita Cutrone and Shih{-}Cheng Yen and Yong Ping Xu}, title = {A Wireless Multi-Channel Peripheral Nerve Signal Acquisition System-on-Chip}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {8}, pages = {2266--2280}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2909158}, doi = {10.1109/JSSC.2019.2909158}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/NgYRDZLPYVLGLHB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShihLCLLCLYYCCC19, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40-nm 16-Mb, Embedded Perpendicular-MRAM With Hybrid-Resistance Reference, Sub- {\textdollar}{\textbackslash}mu{\textdollar} {A} Sensing Resolution, and 17.5-nS Read Access Time}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {4}, pages = {1029--1038}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2889106}, doi = {10.1109/JSSC.2018.2889106}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShihLCLLCLYYCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/YehLCYLT19, author = {Chih{-}Hsuan Yeh and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Cheng{-}An Lee and Kuang{-}Han Tai}, title = {Fast prediction for quality scalability of High Efficiency Video Coding Scalable Extension}, journal = {J. Vis. Commun. Image Represent.}, volume = {58}, pages = {462--476}, year = {2019}, url = {https://doi.org/10.1016/j.jvcir.2018.12.021}, doi = {10.1016/J.JVCIR.2018.12.021}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/YehLCYLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/PengCJHCCYFLC19, author = {Szu{-}Min Peng and Han{-}Mo Chiu and Hsiao{-}Hsuan Jen and Chen{-}Yang Hsu and Sam Li{-}Sheng Chen and Sherry Yueh{-}Hsia Chiu and Amy Ming{-}Fang Yen and Jean Ching{-}Yuan Fann and Yi{-}Chia Lee and Hsiu{-}Hsi Chen}, title = {Quantile-based fecal hemoglobin concentration for assessing colorectal neoplasms with 1, 263, 717 Taiwanese screenees}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {94:1--94:10}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0812-1}, doi = {10.1186/S12911-019-0812-1}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/PengCJHCCYFLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LaiCCLYLLCC19, author = {Yu{-}Chi Lai and Chia{-}Hsing Chiu and Zhong{-}Qi Cai and Jin{-}Yang Lin and Chih{-}Yuan Yao and Dong{-}Yuan Lyu and Shyh{-}Yuan Lee and Kuo{-}Wei Chen and I{-}Yu Chen}, title = {OCT-Based Periodontal Inspection Framework}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5496}, year = {2019}, url = {https://doi.org/10.3390/s19245496}, doi = {10.3390/S19245496}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LaiCCLYLLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeHCHJW19, author = {Chi{-}Yuan Lee and Chin{-}Lung Hsieh and Chia{-}Hung Chen and Yen{-}Pu Huang and Chong{-}An Jiang and Pei{-}Chi Wu}, title = {A Flexible 5-In-1 Microsensor for Internal Microscopic Diagnosis of Vanadium Redox Flow Battery Charging Process}, journal = {Sensors}, volume = {19}, number = {5}, pages = {1030}, year = {2019}, url = {https://doi.org/10.3390/s19051030}, doi = {10.3390/S19051030}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeHCHJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/ChenHLWS19, author = {Yi{-}Chen Chen and Sung{-}Feng Huang and Hung{-}yi Lee and Yu{-}Hsuan Wang and Chia{-}Hao Shen}, title = {Audio Word2vec: Sequence-to-Sequence Autoencoding for Unsupervised Learning of Audio Segmentation and Representation}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {27}, number = {9}, pages = {1481--1493}, year = {2019}, url = {https://doi.org/10.1109/TASLP.2019.2922832}, doi = {10.1109/TASLP.2019.2922832}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/ChenHLWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/QianWYCCCYLLLCL19, author = {Xin{-}Hong Qian and Yi{-}Chung Wu and Tzu{-}Yi Yang and Cheng{-}Hsiang Cheng and Hsing{-}Chien Chu and Wan{-}Hsueh Cheng and Ting{-}Yang Yen and Tzu{-}Han Lin and Yung{-}Jen Lin and Yu{-}Chi Lee and Jia{-}Heng Chang and Shih{-}Ting Lin and Shang{-}Hsuan Li and Tsung{-}Chen Wu and Chien{-}Chang Huang and Sung{-}Hao Wang and Chia{-}Fone Lee and Chia{-}Hsiang Yang and Chung{-}Chih Hung and Tai{-}Shih Chi and Chien{-}Hao Liu and Ming{-}Dou Ker and Chung{-}Yu Wu}, title = {Design and In Vivo Verification of a {CMOS} Bone-Guided Cochlear Implant Microsystem}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {11}, pages = {3156--3167}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2901374}, doi = {10.1109/TBME.2019.2901374}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/QianWYCCCYLLLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeCCH19, author = {Ling Lee and Chun{-}An Chen and Chiao{-}En Chen and Yuan{-}Hao Huang}, title = {Square-Root Generalized Eigenvalue Decomposition Processor for Leakage-Based Multi-User {MIMO} Precoding With Multi-Antenna Users}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {6}, pages = {2382--2393}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2893274}, doi = {10.1109/TCSI.2019.2893274}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeCCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/HwangLP19, author = {Wen{-}Liang Hwang and Chia{-}Chen Lee and Guan{-}Ju Peng}, title = {Multi-Objective Optimization and Characterization of Pareto Points for Scalable Coding}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {7}, pages = {2096--2111}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2851999}, doi = {10.1109/TCSVT.2018.2851999}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/HwangLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinWLCLWY19, author = {Chih{-}Lung Lin and Chia{-}En Wu and Chia{-}Lun Lee and Fu{-}Hsing Chen and Yu{-}Sheng Lin and Wan{-}Lin Wu and Jian{-}Shen Yu}, title = {Alternately Controlled Optical Pixel Sensor System Using Amorphous Silicon Thin-Film Transistors}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {9}, pages = {7366--7375}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2880718}, doi = {10.1109/TIE.2018.2880718}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LinWLCLWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/ChangCCLL19, author = {Cheng{-}Hsun Chang and Cheng{-}Shang Chang and Chia{-}Tai Chang and Duan{-}Shin Lee and Ping{-}En Lu}, title = {Exponentially Twisted Sampling for Centrality Analysis and Community Detection in Attributed Networks}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {6}, number = {4}, pages = {684--697}, year = {2019}, url = {https://doi.org/10.1109/TNSE.2018.2870671}, doi = {10.1109/TNSE.2018.2870671}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/ChangCCLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/LeeCLIKCO19, author = {Chia{-}Hsuan Lee and Hsin{-}Chi Chang and Jui{-}Han Liu and Hiroyuki Ito and Young{-}Suk Kim and Kuan{-}Neng Chen and Takayuki Ohba}, title = {Temperature Cycling Reliability of {WOW} Bumpless Through Silicon Vias}, booktitle = {2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/3DIC48104.2019.9058776}, doi = {10.1109/3DIC48104.2019.9058776}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dic/LeeCLIKCO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/TsaiLC19, author = {Yi{-}Chieh Tsai and Chia{-}Hsuan Lee and Kuan{-}Neng Chen}, title = {Investigation of Low Temperature Cu Pillar Eutectic Bonding for 3D Chip Stacking Technology}, booktitle = {2019 International 3D Systems Integration Conference (3DIC), Sendai, Japan, October 8-10, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/3DIC48104.2019.9058877}, doi = {10.1109/3DIC48104.2019.9058877}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/3dic/TsaiLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/ChenHLHL19, author = {Liang{-}Yu Chen and Ji{-}Hong Huang and Yu{-}Hao Lee and Chia{-}Hsu Huang and Rung{-}Huei Liang}, editor = {Steve Harrison and Shaowen Bardzell and Carman Neustaedter and Deborah G. Tatar}, title = {A World Following Farmer Almanac: Speculation on Lifestyle Interweaving Folk Religion and Smart Home}, booktitle = {Companion Publication of the 2019 on Designing Interactive Systems Conference, {DIS} 2019, San Diego, CA, USA, June 23-28, 2019}, pages = {147--151}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3301019.3323914}, doi = {10.1145/3301019.3323914}, timestamp = {Fri, 17 Nov 2023 08:06:23 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/ChenHLHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LuXCLS19, author = {Chien{-}Yu Lu and Min{-}Xin Xue and Chia{-}Che Chang and Che{-}Rung Lee and Li Su}, title = {Play as You Like: Timbre-Enhanced Multi-Modal Music Style Transfer}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {1061--1068}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33011061}, doi = {10.1609/AAAI.V33I01.33011061}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LuXCLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LinCLLZXRHZMALN19, author = {Yu{-}Hsiang Lin and Chian{-}Yu Chen and Jean Lee and Zirui Li and Yuyan Zhang and Mengzhou Xia and Shruti Rijhwani and Junxian He and Zhisong Zhang and Xuezhe Ma and Antonios Anastasopoulos and Patrick Littell and Graham Neubig}, editor = {Anna Korhonen and David R. Traum and Llu{\'{\i}}s M{\`{a}}rquez}, title = {Choosing Transfer Languages for Cross-Lingual Learning}, booktitle = {Proceedings of the 57th Conference of the Association for Computational Linguistics, {ACL} 2019, Florence, Italy, July 28- August 2, 2019, Volume 1: Long Papers}, pages = {3125--3135}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/p19-1301}, doi = {10.18653/V1/P19-1301}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LinCLLZXRHZMALN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/LeeWWKHWLCT19, author = {Tsu{-}Kuang Lee and Tong{-}Wen Wang and Wen{-}Xuan Wu and Yu{-}Chiao Kuo and Shih{-}Hsuan Huang and Guan{-}Sheng Wang and Chih{-}Yu Lin and Jen{-}Jee Chen and Yu{-}Chee Tseng}, title = {Building a {V2X} Simulation Framework for Future Autonomous Driving}, booktitle = {20th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2019, Matsue, Japan, September 18-20, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/APNOMS.2019.8892860}, doi = {10.23919/APNOMS.2019.8892860}, timestamp = {Thu, 14 Nov 2019 12:20:00 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/LeeWWKHWLCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/TuWYLCCL19, author = {Ching{-}Sheng Tu and Chen{-}Yu Wu and Ying{-}Jie You and Shie{-}Jue Lee and Sharon Chia{-}Ju Chen and Mei{-}Chuan Chou and Ching{-}Kuan Liu}, title = {A LVQ-Based Identification System for Pathological Brain Aging Diseases}, booktitle = {12th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2019, Suzhou, China, October 19-21, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CISP-BMEI48845.2019.8965956}, doi = {10.1109/CISP-BMEI48845.2019.8965956}, timestamp = {Thu, 03 Dec 2020 11:15:26 +0100}, biburl = {https://dblp.org/rec/conf/bmei/TuWYLCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeCLCCCS19, author = {Hao{-}Ping Lee and Kuan{-}yin Chen and Chih{-}Heng Lin and Chia{-}Yu Chen and Yu{-}Lin Chung and Yung{-}Ju Chang and Chien{-}Ru Sun}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Does \emph{Who} Matter?: Studying the Impact of Relationship Characteristics on Receptivity to Mobile {IM} Messages}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {526}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300756}, doi = {10.1145/3290605.3300756}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeCLCCCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/ChenZLKL19, author = {Oscal Tzyh{-}Chiang Chen and Yu{-}Cheng Zhang and Zheng Kuan Lin and Pei{-}I Kuo and Yi Lun Lee}, title = {Camera-in-Hand Robotic Arm Using a Deep Neural Network to Realize Unmanned Store Service}, booktitle = {2019 {IEEE} Intl Conf on Dependable, Autonomic and Secure Computing, Intl Conf on Pervasive Intelligence and Computing, Intl Conf on Cloud and Big Data Computing, Intl Conf on Cyber Science and Technology Congress, DASC/PiCom/CBDCom/CyberSciTech 2019, Fukuoka, Japan, August 5-8, 2019}, pages = {833--839}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DASC/PiCom/CBDCom/CyberSciTech.2019.00152}, doi = {10.1109/DASC/PICOM/CBDCOM/CYBERSCITECH.2019.00152}, timestamp = {Sun, 10 Nov 2019 16:47:28 +0100}, biburl = {https://dblp.org/rec/conf/dasc/ChenZLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dmip/LeeWHLLCWLWGW19, author = {Wei{-}Kai Lee and Chih{-}Chun Wu and Tzu{-}Hsuan Huang and Chun{-}Yi Lin and Cheng{-}Chia Lee and Wen{-}Yuh Chung and Po{-}Shan Wang and Chia{-}Feng Lu and Hsiu{-}Mei Wu and Wan{-}Yuo Guo and Yu{-}Te Wu}, title = {Segmentation of Vestibular Schwannoma from Multi-parametric Magnetic Resonance Images using Convolutional Neural Network}, booktitle = {{DMIP} 2019: 2nd International Conference on Digital Medicine and Image Processing, Shanghai, China, November, 2019}, pages = {8--11}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3379299.3379300}, doi = {10.1145/3379299.3379300}, timestamp = {Wed, 22 Mar 2023 15:07:50 +0100}, biburl = {https://dblp.org/rec/conf/dmip/LeeWHLLCWLWGW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ehealth/LeeWY19, author = {Hsien Ju Lee and Shu{-}yi Wei and Chia{-}Chi Yen}, editor = {Patty Kostkova and Caroline Wood and Arnold Bosman and Floriana Grasso and Michael Edelstein}, title = {Outcomes of {HERO} Clinic Services for Chemsex Practitioners}, booktitle = {Proceedings of the 9th International Conference on Digital Public Health, {PDH} 2019, Marseille, France, November 20-23, 2019}, pages = {119--120}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3357729.3357751}, doi = {10.1145/3357729.3357751}, timestamp = {Tue, 26 Nov 2019 10:09:19 +0100}, biburl = {https://dblp.org/rec/conf/ehealth/LeeWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeCL19, author = {Chia{-}Hsuan Lee and Yun{-}Nung Chen and Hung{-}yi Lee}, title = {Mitigating the Impact of Speech Recognition Errors on Spoken Question Answering by Adversarial Domain Adaptation}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {7300--7304}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683377}, doi = {10.1109/ICASSP.2019.8683377}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TsaiCWHL19, author = {Richard Tzong{-}Han Tsai and Chia{-}Hao Chen and Chun{-}Kai Wu and Yu{-}Cheng Hsiao and Hung{-}yi Lee}, title = {Using Deep-Q Network to Select Candidates from N-best Speech Recognition Hypotheses for Enhancing Dialogue State Tracking}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {7375--7379}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683749}, doi = {10.1109/ICASSP.2019.8683749}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/TsaiCWHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeCYWH19, author = {Ko{-}Feng Lee and Yen{-}Lin Chen and Chao{-}Wei Yu and Cheng Han Wu and Chia{-}Yu Hsiao}, title = {Low-cost Wearable Eye Gaze Detection and Tracking System}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991784}, doi = {10.1109/ICCE-TW46550.2019.8991784}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeCYWH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/YuCLCH19, author = {Chao{-}Wei Yu and Yen{-}Lin Chen and Ko{-}Feng Lee and Chen{-}Hsiang Chen and Chia{-}Yu Hsiao}, title = {Efficient Intelligent Automatic Image Annotation Method based on Machine Learning Techniques}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991727}, doi = {10.1109/ICCE-TW46550.2019.8991727}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/YuCLCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeeLYC19, author = {Chien{-}I Lee and Meng{-}Yao Lin and Chia{-}Lin Yang and Yen{-}Kuang Chen}, title = {Iotbench: {A} Benchmark Suite for Intelligent Internet of Things Edge Devices}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {170--174}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8802949}, doi = {10.1109/ICIP.2019.8802949}, timestamp = {Wed, 11 Dec 2019 16:30:23 +0100}, biburl = {https://dblp.org/rec/conf/icip/LeeLYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LeeSCTSCC19, author = {Chien{-}Nan Lee and Meng{-}Hsuan Shih and Chia{-}Wei Chen and Ding{-}Jiun Tzeng and Chuan{-}Che Shih and Yiu{-}Tong Chu and Ling Cheng}, title = {A Wearable Device of Salivation Detection and Improvement for Elderly at High Risk for Dysphagia}, booktitle = {2019 International Conference on Machine Learning and Cybernetics, {ICMLC} 2019, Kobe, Japan, July 7-10, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLC48188.2019.8949257}, doi = {10.1109/ICMLC48188.2019.8949257}, timestamp = {Tue, 14 Jan 2020 10:49:23 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/LeeSCTSCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ZhangLLCLHDWKSY19, author = {You{-}Chen Zhang and Chung{-}Hong Lee and Tyng{-}Yeu Liang and Wei{-}Che Chung and Kuei{-}Han Li and Cheng{-}Chieh Huang and Hong{-}Jie Dai and Chi{-}Shin Wu and Chian{-}Jue Kuo and Chu{-}Hsien Su and Horng{-}Chang Yang}, title = {Depressive Symptoms and Functional Impairments Extraction From Electronic Health Records}, booktitle = {2019 International Conference on Machine Learning and Cybernetics, {ICMLC} 2019, Kobe, Japan, July 7-10, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLC48188.2019.8949199}, doi = {10.1109/ICMLC48188.2019.8949199}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/ZhangLLCLHDWKSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/LyuLLTH19, author = {Yi{-}Hong Lyu and Cheng{-}Yueh Liu and Chen{-}Pang Lee and Chia{-}Heng Tu and Shih{-}Hao Hung}, title = {Modeling Interprocessor Communication and Performance Scalability for Distributed Deep Learning Systems}, booktitle = {17th International Conference on High Performance Computing {\&} Simulation, {HPCS} 2019, Dublin, Ireland, July 15-19, 2019}, pages = {169--176}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCS48598.2019.9188168}, doi = {10.1109/HPCS48598.2019.9188168}, timestamp = {Wed, 16 Sep 2020 15:39:05 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/LyuLLTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/CheongC19, author = {Michelle L. F. Cheong and Yong Qing Chia}, title = {Simulation Model to Evaluate Effectiveness of Queue Management Tool in Supermarket Retail Chain}, booktitle = {2019 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2019, Macao, Macao, December 15-18, 2019}, pages = {606--610}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IEEM44572.2019.8978794}, doi = {10.1109/IEEM44572.2019.8978794}, timestamp = {Tue, 04 Feb 2020 13:23:52 +0100}, biburl = {https://dblp.org/rec/conf/ieem/CheongC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/OuyangCYCLLOCWW19, author = {Yen{-}Chieh Ouyang and Chein{-}I Chang and Yung{-}Jhe Yan and Bo{-}Han Chen and Meng{-}Chueh Lee and Tsang{-}Sen Liu and Mang Ou{-}Yang and Hsian{-}Min Chen and Chao{-}Cheng Wu and Chia{-}Hsien Wen and Min{-}Shao Shih}, title = {Quality Inspection of Phalaenopsis Hybrids Using Hyperspectral Band Selection Techniques}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {2201--2204}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898775}, doi = {10.1109/IGARSS.2019.8898775}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/OuyangCYCLLOCWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeTHCLLLLH19, author = {Shuenn{-}Yuh Lee and Chieh Tsou and Peng{-}Wei Huang and Po{-}Hao Cheng and Chi{-}Chung Liao and Zhan{-}Xien Liao and Hao{-}Yun Lee and Chou{-}Ching K. Lin and Chia{-}Hsiang Hsieh}, title = {A Programmable Wireless {EEG} Monitoring SoC with Open/Closed-Loop Optogenetic and Electrical Stimulation for Epilepsy Control}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {372--374}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662385}, doi = {10.1109/ISSCC.2019.8662385}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeTHCLLLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NienWLCCCLKC19, author = {Yu{-}Teng Nien and Kai{-}Chiang Wu and Dong{-}Zhen Lee and Ying{-}Yen Chen and Po{-}Lin Chen and Mason Chern and Jih{-}Nung Lee and Shu{-}Yi Kao and Mango Chia{-}Tso Chao}, title = {Methodology of Generating Timing-Slack-Based Cell-Aware Tests}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000119}, doi = {10.1109/ITC44170.2019.9000119}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/NienWLCCCLKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/LeeDLCCCC19, author = {Hao{-}Ping Lee and Tilman Dingler and Chih{-}Heng Lin and Kuan{-}yin Chen and Yu{-}Lin Chung and Chia{-}Yu Chen and Yung{-}Ju Chang}, title = {Predicting Smartphone Users' General Responsiveness to {IM} Contacts Based on {IM} Behavior}, booktitle = {Proceedings of the 21st International Conference on Human-Computer Interaction with Mobile Devices and Services, MobileHCI 2019, Taipei, Taiwan, October 1-4, 2019}, pages = {40:1--40:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338286.3344387}, doi = {10.1145/3338286.3344387}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/LeeDLCCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WangCCCYLCLLCCC19, author = {Hao{-}Jen Wang and Leng{-}Rong Chen and Li{-}Wei Chen and Yi{-}Chang Chen and Shun{-}Mao Yang and Mong{-}Wei Lin and Joseph Chang and Chia{-}Chen Li and Chia{-}Yen Lee and Jin{-}Shing Chen and Yeun{-}Chung Chang and Chung{-}Ming Chen}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Discrimination of benign and malignant pulmonary tumors in computed tomography: effective priori information of fast learning network architecture}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493B}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512846}, doi = {10.1117/12.2512846}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WangCCCYLCLLCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ChangWLLCW19, author = {Yan{-}Ping Chang and Teng{-}Chia Wang and Yun{-}Ju Lee and Chia{-}Chun Lin and Yung{-}Chih Chen and Chun{-}Yao Wang}, title = {A Smart Single-Sensor Device for Instantaneously Monitoring Lower Limb Exercises}, booktitle = {32nd {IEEE} International System-on-Chip Conference, {SOCC} 2019, Singapore, September 3-6, 2019}, pages = {197--202}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SOCC46988.2019.1570548017}, doi = {10.1109/SOCC46988.2019.1570548017}, timestamp = {Tue, 19 May 2020 13:56:11 +0200}, biburl = {https://dblp.org/rec/conf/socc/ChangWLLCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LuPVLVC19, author = {Yun{-}Wen Lu and Antoon Purnal and Simon Vandenhende and Chen{-}Yi Lee and Ingrid Verbauwhede and Hsie{-}Chia Chang}, title = {A Lightweight 1.16 pJ/bit Processor for the Authenticated Encryption Scheme KetjeSR}, booktitle = {International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2019, Hsinchu, Taiwan, April 22-25, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VLSI-DAT.2019.8741601}, doi = {10.1109/VLSI-DAT.2019.8741601}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LuPVLVC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/GallagherCCHSWB19, author = {William J. Gallagher and Eric Chien and Tien{-}Wei Chiang and Jian{-}Cheng Huang and Meng{-}Chun Shih and C. Y. Wang and Christine Bair and George Lee and Yi{-}Chun Shih and Chia{-}Fu Lee and Roger Wang and Kuei{-}Hung Shen and J. J. Wu and Wayne Wang and Harry Chuang}, title = {Recent Progress and Next Directions for Embedded {MRAM} Technology}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {190}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8777932}, doi = {10.23919/VLSIC.2019.8777932}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/GallagherCCHSWB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LinHTTHCHHCGFRL19, author = {Mu{-}Shan Lin and Tze{-}Chiang Huang and Chien{-}Chun Tsai and King{-}Ho Tam and Kenny Cheng{-}Hsiang Hsieh and Tom Chen and Wen{-}Hung Huang and Jack Hu and Yu{-}Chi Chen and Sandeep Kumar Goel and Chin{-}Ming Fu and Stefan Rusu and Chao{-}Chieh Li and Sheng{-}Yao Yang and Mei Wong and Shu{-}Chun Yang and Frank Lee}, title = {A 7nm 4GHz Arm\({}^{\mbox{{\textregistered}}}\)-core-based CoWoS\({}^{\mbox{{\textregistered}}}\) Chiplet Design for High Performance Computing}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778161}, doi = {10.23919/VLSIC.2019.8778161}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LinHTTHCHHCGFRL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LinKZL19, author = {Chia{-}Hung Lin and Wei{-}Cheng Kao and Shi{-}Qing Zhan and Ta{-}Sung Lee}, title = {BsNet: {A} Deep Learning-Based Beam Selection Method for mmWave Communications}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891363}, doi = {10.1109/VTCFALL.2019.8891363}, timestamp = {Mon, 20 Dec 2021 11:29:04 +0100}, biburl = {https://dblp.org/rec/conf/vtc/LinKZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/WuLHCWKCCCL19, author = {Tse{-}Wei Wu and Dong{-}Zhen Lee and Yu{-}Hao Huang and Mango C.{-}T. Chao and Kai{-}Chiang Wu and Shu{-}Yi Kao and Ying{-}Yen Chen and Po{-}Lin Chen and Mason Chern and Jih{-}Nung Lee}, title = {Layout-Based Dual-Cell-Aware Tests}, booktitle = {37th {IEEE} {VLSI} Test Symposium, {VTS} 2019, Monterey, CA, USA, April 23-25, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTS.2019.8758646}, doi = {10.1109/VTS.2019.8758646}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vts/WuLHCWKCCCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LeeKHWLT19, author = {Tzu{-}Kuang Lee and Yu{-}Chiao Kuo and Shih{-}Hsuan Huang and Guan{-}Sheng Wang and Chih{-}Yu Lin and Yu{-}Chee Tseng}, title = {Augmenting Car Surrounding Information by Inter-Vehicle Data Fusion}, booktitle = {2019 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2019, Marrakesh, Morocco, April 15-18, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WCNC.2019.8885487}, doi = {10.1109/WCNC.2019.8885487}, timestamp = {Wed, 06 Nov 2019 12:28:18 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/LeeKHWLT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07904, author = {Chia{-}Hsuan Lee and Yun{-}Nung Chen and Hung{-}yi Lee}, title = {Mitigating the Impact of Speech Recognition Errors on Spoken Question Answering by Adversarial Domain Adaptation}, journal = {CoRR}, volume = {abs/1904.07904}, year = {2019}, url = {http://arxiv.org/abs/1904.07904}, eprinttype = {arXiv}, eprint = {1904.07904}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-11027, author = {Cheng{-}Shang Chang and Ching{-}Chu Huang and Chia{-}Tai Chang and Duan{-}Shin Lee and Ping{-}En Lu}, title = {Generalized Modularity Embedding: a General Framework for Network Embedding}, journal = {CoRR}, volume = {abs/1904.11027}, year = {2019}, url = {http://arxiv.org/abs/1904.11027}, eprinttype = {arXiv}, eprint = {1904.11027}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-11027.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-12688, author = {Yu{-}Hsiang Lin and Chian{-}Yu Chen and Jean Lee and Zirui Li and Yuyan Zhang and Mengzhou Xia and Shruti Rijhwani and Junxian He and Zhisong Zhang and Xuezhe Ma and Antonios Anastasopoulos and Patrick Littell and Graham Neubig}, title = {Choosing Transfer Languages for Cross-Lingual Learning}, journal = {CoRR}, volume = {abs/1905.12688}, year = {2019}, url = {http://arxiv.org/abs/1905.12688}, eprinttype = {arXiv}, eprint = {1905.12688}, timestamp = {Mon, 03 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-12688.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-09756, author = {Sameer Kumar and Victor Bitorff and Dehao Chen and Chiachen Chou and Blake A. Hechtman and HyoukJoong Lee and Naveen Kumar and Peter Mattson and Shibo Wang and Tao Wang and Yuanzhong Xu and Zongwei Zhou}, title = {Scale MLPerf-0.6 models on Google TPU-v3 Pods}, journal = {CoRR}, volume = {abs/1909.09756}, year = {2019}, url = {http://arxiv.org/abs/1909.09756}, eprinttype = {arXiv}, eprint = {1909.09756}, timestamp = {Fri, 27 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-09756.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-14275, author = {Yi{-}Wei Huang and Chen{-}Lung Lu and Kuan{-}Lin Chen and Po{-}Sheng Ser and Jui{-}Te Huang and Yu{-}Chia Shen and Pin{-}Wei Chen and Po{-}Kai Chang and Sheng{-}Cheng Lee and Hsueh{-}Cheng Wang}, title = {Duckiefloat: a Collision-Tolerant Resource-Constrained Blimp for Long-Term Autonomy in Subterranean Environments}, journal = {CoRR}, volume = {abs/1910.14275}, year = {2019}, url = {http://arxiv.org/abs/1910.14275}, eprinttype = {arXiv}, eprint = {1910.14275}, timestamp = {Mon, 04 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-14275.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-01701, author = {Dayeol Lee and Dongha Jung and Ian T. Fang and Chia{-}Che Tsai and Raluca Ada Popa}, title = {An Off-Chip Attack on Hardware Enclaves via the Memory Bus}, journal = {CoRR}, volume = {abs/1912.01701}, year = {2019}, url = {http://arxiv.org/abs/1912.01701}, eprinttype = {arXiv}, eprint = {1912.01701}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-01701.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HsiehLYWYCY18, author = {Sun{-}Yuan Hsieh and Chia{-}Wei Lee and Zong{-}Ying Yang and Heng{-}Wei Wang and Jun{-}Han Yu and Bo{-}Cheng Chan and Tai{-}Ling Ye}, title = {Classifying Protein Specific Residue Structures Based on Graph Mining}, journal = {{IEEE} Access}, volume = {6}, pages = {55828--55837}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2872496}, doi = {10.1109/ACCESS.2018.2872496}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HsiehLYWYCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeFXY18, author = {Chiou{-}Yng Lee and Chia{-}Chen Fan and Jiafeng Xie and Shyan{-}Ming Yuan}, title = {Efficient Implementation of Karatsuba Algorithm Based Three-Operand Multiplication Over Binary Extension Field}, journal = {{IEEE} Access}, volume = {6}, pages = {38234--38242}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2851662}, doi = {10.1109/ACCESS.2018.2851662}, timestamp = {Wed, 15 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeFXY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PerngKCLSHLK18, author = {Jau{-}Woei Perng and I{-}Hsi Kao and Yen{-}Wei Chen and Yi{-}Horng Lai and Chih{-}Min Su and Shih{-}Chiang Hung and Mel S. Lee and Chia{-}Te Kung}, title = {Analysis of the 72-h Mortality of Emergency Room Septic Patients Based on a Deep Belief Network}, journal = {{IEEE} Access}, volume = {6}, pages = {76820--76830}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2884509}, doi = {10.1109/ACCESS.2018.2884509}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PerngKCLSHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LeeC18, author = {Chia{-}Yen Lee and Bo{-}Syun Chen}, title = {Mutually-exclusive-and-collectively-exhaustive feature selection scheme}, journal = {Appl. Soft Comput.}, volume = {68}, pages = {961--971}, year = {2018}, url = {https://doi.org/10.1016/j.asoc.2017.04.055}, doi = {10.1016/J.ASOC.2017.04.055}, timestamp = {Wed, 20 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/LeeC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/TsengCPCLZKLHLC18, author = {Kuan{-}Chieh Tseng and Yi{-}Fan Chiang{-}Hsieh and Hsuan Pai and Chi{-}Nga Chow and Shu{-}Chuan Lee and Han{-}Qin Zheng and Po{-}Li Kuo and Guan{-}Zhen Li and Yu{-}Cheng Hung and Na{-}Sheng Lin and Wen{-}Chi Chang}, title = {microRPM: a microRNA prediction model based only on plant small {RNA} sequencing data}, journal = {Bioinform.}, volume = {34}, number = {7}, pages = {1108--1115}, year = {2018}, url = {https://doi.org/10.1093/bioinformatics/btx725}, doi = {10.1093/BIOINFORMATICS/BTX725}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/TsengCPCLZKLHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/ChouHHHHLCLHLCH18, author = {Chih{-}Hung Chou and Hsi{-}Yuan Huang and Wei{-}Chih Huang and Sheng{-}Da Hsu and Chung{-}Der Hsiao and Chia{-}Yu Liu and Yu{-}Hung Chen and Yu{-}Chen Liu and Wei{-}Yun Huang and Meng{-}Lin Lee and Yi{-}Chang Chen and Hsien{-}Da Huang}, title = {The aquatic animals' transcriptome resource for comparative functional analysis}, journal = {{BMC} Genom.}, volume = {19}, number = {{S2}}, year = {2018}, url = {https://doi.org/10.1186/s12864-018-4463-x}, doi = {10.1186/S12864-018-4463-X}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/ChouHHHHLCLHLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/HuangLCHYYCT18, author = {Po{-}Jung Huang and Chi{-}Ching Lee and Ling{-}Ya Chiu and Kuo{-}Yang Huang and Yuan{-}Ming Yeh and Chia{-}Yu Yang and Cheng{-}Hsun Chiu and Petrus Tang}, title = {VAReporter: variant reporter for cancer research of massive parallel sequencing}, journal = {{BMC} Genom.}, volume = {19}, number = {{S2}}, year = {2018}, url = {https://doi.org/10.1186/s12864-018-4468-5}, doi = {10.1186/S12864-018-4468-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcgenomics/HuangLCHYYCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/HsuHLLCH18, author = {Fu{-}Hau Hsu and Yanling Hwang and Chia{-}Hao Lee and Chieh{-}Ju Lin and Kai{-}Wei Chang and Chen{-}Chia Huang}, title = {A Cloud-based Protection approach against JavaScript-based attacks to browsers}, journal = {Comput. Electr. Eng.}, volume = {68}, pages = {241--251}, year = {2018}, url = {https://doi.org/10.1016/j.compeleceng.2018.03.050}, doi = {10.1016/J.COMPELECENG.2018.03.050}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/HsuHLLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmam/ChenLL18, author = {Tsu{-}Fen Chen and Hyesuk Lee and Chia{-}Chen Liu}, title = {A Study on the Galerkin Least-Squares Method for the Oldroyd-B Model}, journal = {Comput. Methods Appl. Math.}, volume = {18}, number = {2}, pages = {181--198}, year = {2018}, url = {https://doi.org/10.1515/cmam-2017-0022}, doi = {10.1515/CMAM-2017-0022}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmam/ChenLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejivp/LinLCYL18, author = {Kao{-}Min Lin and Jie{-}Ru Lin and Mei{-}Juan Chen and Chia{-}Hung Yeh and Cheng{-}An Lee}, title = {Fast inter-prediction algorithm based on motion vector information for high efficiency video coding}, journal = {{EURASIP} J. Image Video Process.}, volume = {2018}, pages = {99}, year = {2018}, url = {https://doi.org/10.1186/s13640-018-0340-4}, doi = {10.1186/S13640-018-0340-4}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejivp/LinLCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LuCHCLWCFCMFLT18, author = {Tsung{-}Chien Lu and Yi Chen and Te{-}Wei Ho and Yao{-}Ting Chang and Yi{-}Ting Lee and Yu{-}Siang Wang and Yen{-}Pin Chen and Chia{-}Ming Fu and Wen{-}Chu Chiang and Matthew Huei{-}Ming Ma and Cheng{-}Chung Fang and Feipei Lai and Anne M. Turner}, title = {A novel depth estimation algorithm of chest compression for feedback of high-quality cardiopulmonary resuscitation based on a smartwatch}, journal = {J. Biomed. Informatics}, volume = {87}, pages = {60--65}, year = {2018}, url = {https://doi.org/10.1016/j.jbi.2018.09.014}, doi = {10.1016/J.JBI.2018.09.014}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/LuCHCLWCFCMFLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/WangCLCCHCLL18, author = {Hsin{-}Yao Wang and Shih{-}Cheng Chang and Wan{-}Ying Lin and Chun{-}Hsien Chen and Szu{-}Hsien Chiang and Kai{-}Yao Huang and Bo{-}Yu Chu and Jang{-}Jih Lu and Tzong{-}Yi Lee}, title = {Machine Learning-Based Method for Obesity Risk Evaluation Using Single-Nucleotide Polymorphisms Derived from Next-Generation Sequencing}, journal = {J. Comput. Biol.}, volume = {25}, number = {12}, pages = {1347--1360}, year = {2018}, url = {https://doi.org/10.1089/cmb.2018.0002}, doi = {10.1089/CMB.2018.0002}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/WangCLCCHCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/ChenCHHKLW18, author = {Li{-}Hsuan Chen and Dun{-}Wei Cheng and Sun{-}Yuan Hsieh and Ling{-}Ju Hung and Ralf Klasing and Chia{-}Wei Lee and Bang Ye Wu}, title = {Approximability and inapproximability of the star p-hub center problem with parameterized triangle inequality}, journal = {J. Comput. Syst. Sci.}, volume = {92}, pages = {92--112}, year = {2018}, url = {https://doi.org/10.1016/j.jcss.2017.09.012}, doi = {10.1016/J.JCSS.2017.09.012}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/ChenCHHKLW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChenL18, author = {Yi{-}Chung Chen and Chiang Lee}, title = {An Efficient Mechanism for Compensating Vague Pattern Identification in Support of a Multi-Criteria Recommendation System}, journal = {J. Inf. Sci. Eng.}, volume = {34}, number = {6}, pages = {1633--1653}, year = {2018}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=165\_2203}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChenL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/LaiWCLLCW18, author = {Bo{-}Cheng Lai and Tung{-}Yu Wu and Tsou{-}Han Chiu and Kun{-}Chun Li and Chia{-}Ying Lee and Wei{-}Chen Chien and Wing Hung Wong}, title = {Towards high performance data analytic on heterogeneous many-core systems: {A} study on Bayesian Sequential Partitioning}, journal = {J. Parallel Distributed Comput.}, volume = {122}, pages = {36--50}, year = {2018}, url = {https://doi.org/10.1016/j.jpdc.2018.07.011}, doi = {10.1016/J.JPDC.2018.07.011}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/LaiWCLLCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/YehTKLMC18, author = {Chia{-}Hung Yeh and Wen{-}Yu Tseng and Li{-}Wei Kang and Cheng{-}Wei Lee and Kahlil Muchtar and Mei{-}Juan Chen}, title = {Coding unit complexity-based predictions of coding unit depth and prediction unit mode for efficient HEVC-to-SHVC transcoding with quality scalability}, journal = {J. Vis. Commun. Image Represent.}, volume = {55}, pages = {342--351}, year = {2018}, url = {https://doi.org/10.1016/j.jvcir.2018.06.008}, doi = {10.1016/J.JVCIR.2018.06.008}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/YehTKLMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lht/ChenLH18, author = {Chia{-}Chen Chen and Chun{-}Hsiung Lee and Kuo{-}Lun Hsiao}, title = {Comparing the determinants of non-MOOC and {MOOC} continuance intention in Taiwan: Effects of interactivity and openness}, journal = {Libr. Hi Tech}, volume = {36}, number = {4}, pages = {705--719}, year = {2018}, url = {https://doi.org/10.1108/LHT-11-2016-0129}, doi = {10.1108/LHT-11-2016-0129}, timestamp = {Wed, 13 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lht/ChenLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChenHLWC18, author = {Kuan{-}Ting Chen and Ren{-}Yu He and Chia{-}Feng Lee and Ming{-}Ting Wu and Shu{-}Tong Chang}, title = {Compact conduction band model for transition-metal dichalcogenide alloys}, journal = {Microelectron. Reliab.}, volume = {83}, pages = {223--229}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2017.04.022}, doi = {10.1016/J.MICROREL.2017.04.022}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChenHLWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouSYCLLHSTLCT18, author = {Chih{-}Hung Chou and Sirjana Shrestha and Chi{-}Dung Yang and Nai{-}Wen Chang and Yu{-}Ling Lin and Kuang{-}Wen Liao and Wei{-}Chih Huang and Ting{-}Hsuan Sun and Siang{-}Jyun Tu and Wei{-}Hsiang Lee and Men{-}Yee Chiew and Chun{-}San Tai and Ting{-}Yen Wei and Tzi{-}Ren Tsai and Hsin{-}Tzu Huang and Chung{-}Yu Wang and Hsin{-}Yi Wu and Shu{-}Yi Ho and Pin{-}Rong Chen and Cheng{-}Hsun Chuang and Pei{-}Jung Hsieh and Yi{-}Shin Wu and Wen{-}Liang Chen and Meng{-}Ju Li and Yu{-}chun Wu and Xin{-}Yi Huang and Fung Ling Ng and Waradee Buddhakosai and Pei{-}Chun Huang and Kuan{-}Chun Lan and Chia{-}Yen Huang and Shun{-}Long Weng and Yeong{-}Nan Cheng and Chao Liang and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase update 2018: a resource for experimentally validated microRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D296--D302}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1067}, doi = {10.1093/NAR/GKX1067}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouSYCLLHSTLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChiehWLCLLCCHY18, author = {Jen{-}Jie Chieh and Wen{-}Chun Wei and Shu{-}Hsien Liao and Hsin{-}Hsein Chen and Yen{-}Fu Lee and Feng{-}Chun Lin and Ming{-}Hsien Chiang and Ming{-}Jang Chiu and Herng{-}Er Horng and Shieh{-}Yueh Yang}, title = {Eight-Channel {AC} Magnetosusceptometer of Magnetic Nanoparticles for High-Throughput and Ultra-High-Sensitivity Immunoassay}, journal = {Sensors}, volume = {18}, number = {4}, pages = {1043}, year = {2018}, url = {https://doi.org/10.3390/s18041043}, doi = {10.3390/S18041043}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChiehWLCLLCCHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCCYY18, author = {Chi{-}Yuan Lee and Chia{-}Hung Chen and Chao{-}Yuan Chiu and Kuan{-}Lin Yu and Lung{-}Jieh Yang}, title = {Application of Flexible Four-In-One Microsensor to Internal Real-Time Monitoring of Proton Exchange Membrane Fuel Cell}, journal = {Sensors}, volume = {18}, number = {7}, pages = {2269}, year = {2018}, url = {https://doi.org/10.3390/s18072269}, doi = {10.3390/S18072269}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCCYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCTW18, author = {Chi{-}Yuan Lee and Chia{-}Hung Chen and Chao{-}Hsuan Tsai and Yu{-}Syuan Wang}, title = {Development of an Internal Real-Time Wireless Diagnostic Tool for a Proton Exchange Membrane Fuel Cell}, journal = {Sensors}, volume = {18}, number = {1}, pages = {213}, year = {2018}, url = {https://doi.org/10.3390/s18010213}, doi = {10.3390/S18010213}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeCTW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLCHW18, author = {Chi{-}Yuan Lee and Shih{-}Chun Li and Chia{-}Hung Chen and Yen{-}Ting Huang and Yu{-}Syuan Wang}, title = {Real-Time Microscopic Monitoring of Flow, Voltage and Current in the Proton Exchange Membrane Water Electrolyzer}, journal = {Sensors}, volume = {18}, number = {3}, pages = {867}, year = {2018}, url = {https://doi.org/10.3390/s18030867}, doi = {10.3390/S18030867}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLCHW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinLYLLC18, author = {Bor{-}Shing Lin and I{-}Jung Lee and Shu{-}Yu Yang and Yi{-}Chiang Lo and Junghsi Lee and Jean{-}Lon Chen}, title = {Design of an Inertial-Sensor-Based Data Glove for Hand Function Evaluation}, journal = {Sensors}, volume = {18}, number = {5}, pages = {1545}, year = {2018}, url = {https://doi.org/10.3390/s18051545}, doi = {10.3390/S18051545}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LinLYLLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LinTLYLHCKLC18, author = {Chien{-}Hsueh Lin and Chih{-}Ying Tsai and Kao{-}Chi Lee and Sung{-}Chu Yu and Wen{-}Rong Liau and Alex Chun{-}Liang Hou and Ying{-}Yen Chen and Chun{-}Yi Kuo and Jih{-}Nung Lee and Mango C.{-}T. Chao}, title = {A Model-Based-Random-Forest Framework for Predicting V\({}_{\mbox{t}}\) Mean and Variance Based on Parallel I\({}_{\mbox{d}}\) Measurement}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {37}, number = {10}, pages = {2139--2151}, year = {2018}, url = {https://doi.org/10.1109/TCAD.2017.2783304}, doi = {10.1109/TCAD.2017.2783304}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LinTLYLHCKLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LinLLCL18, author = {Chih{-}Lung Lin and Po{-}Chun Lai and Po{-}Cheng Lai and Ting{-}Ching Chu and Chia{-}Lun Lee}, title = {Bidirectional Gate Driver Circuit Using Recharging and Time-Division Driving Scheme for In-Cell Touch LCDs}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {4}, pages = {3585--3591}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2756583}, doi = {10.1109/TIE.2017.2756583}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LinLLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ChangLS18, author = {Cheng{-}Shang Chang and Duan{-}Shin Lee and Chia{-}Kai Su}, title = {Greenput: {A} Power-Saving Algorithm That Achieves Maximum Throughput in Wireless Networks}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {26}, number = {2}, pages = {906--919}, year = {2018}, url = {http://doi.ieeecomputersociety.org/10.1109/TNET.2018.2808920}, doi = {10.1109/TNET.2018.2808920}, timestamp = {Sun, 06 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/ChangLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/LinHCXL18, author = {Limei Lin and Sun{-}Yuan Hsieh and Riqing Chen and Li Xu and Chia{-}Wei Lee}, title = {The Relationship Between g-Restricted Connectivity and g-Good-Neighbor Fault Diagnosability of General Regular Networks}, journal = {{IEEE} Trans. Reliab.}, volume = {67}, number = {1}, pages = {285--296}, year = {2018}, url = {https://doi.org/10.1109/TR.2017.2760905}, doi = {10.1109/TR.2017.2760905}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/LinHCXL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/JiangWZCLSH18, author = {Joe{-}Air Jiang and Jie{-}Jyun Wan and Xiang{-}Yao Zheng and Chia{-}Pang Chen and Chien{-}Hsing Lee and Lin{-}Kuei Su and Wen{-}Chi Huang}, title = {A Novel Weather Information-Based Optimization Algorithm for Thermal Sensor Placement in Smart Grid}, journal = {{IEEE} Trans. Smart Grid}, volume = {9}, number = {2}, pages = {911--922}, year = {2018}, url = {https://doi.org/10.1109/TSG.2016.2571220}, doi = {10.1109/TSG.2016.2571220}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/JiangWZCLSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/ChenTL18, author = {Yi{-}Chung Chen and Ming{-}Yeh Tsai and Chiang Lee}, title = {Recommending topics in dialogue}, journal = {World Wide Web}, volume = {21}, number = {5}, pages = {1165--1185}, year = {2018}, url = {https://doi.org/10.1007/s11280-017-0499-0}, doi = {10.1007/S11280-017-0499-0}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/www/ChenTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/ChenCL18, author = {Wei{-}Chun Chen and Chia{-}Che Chang and Che{-}Rung Lee}, editor = {C. V. Jawahar and Hongdong Li and Greg Mori and Konrad Schindler}, title = {Knowledge Distillation with Feature Maps for Image Classification}, booktitle = {Computer Vision - {ACCV} 2018 - 14th Asian Conference on Computer Vision, Perth, Australia, December 2-6, 2018, Revised Selected Papers, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11363}, pages = {200--215}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-20893-6\_13}, doi = {10.1007/978-3-030-20893-6\_13}, timestamp = {Wed, 29 May 2019 12:05:18 +0200}, biburl = {https://dblp.org/rec/conf/accv/ChenCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/OuYLHTLCL18, author = {I{-}Che Ou and Jia{-}Ping Yang and Chia{-}Hung Liu and Kai{-}Jie Huang and Kun{-}Ju Tsai and Yu Lee and Yuan{-}Hua Chu and Yu{-}Te Liao}, title = {A Wide-Range Capacitive {DC-DC} Converter with 2D-MPPT for Soil/Solar Energy Extraction}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {37--38}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579339}, doi = {10.1109/ASSCC.2018.8579339}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/OuYLHTLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/LeeCLCCWC18, author = {Chien{-}Chih Lee and Wen{-}Hsin Chang and Ting{-}Yuan Liu and Yu{-}Chia Chen and Guan{-}Yu Chen and Yang{-}Chang Wu and Jan{-}Gowth Chang}, title = {The Amiloride Derivatives Regulate the Alternative Splicing of Apoptotic Gene Transcripts}, booktitle = {18th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2018, Taichung, Taiwan, October 29-31, 2018}, pages = {319--322}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIBE.2018.00069}, doi = {10.1109/BIBE.2018.00069}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/bibe/LeeCLCCWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeZLCH18, author = {Cheng{-}Han Lee and Kaipeng Zhang and Hu{-}Cheng Lee and Chia{-}Wen Cheng and Winston H. Hsu}, title = {Attribute Augmented Convolutional Neural Network for Face Hallucination}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {721--729}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018\_workshops/w13/html/Lee\_Attribute\_Augmented\_Convolutional\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPRW.2018.00115}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LeeZLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeWLCW18, author = {Tung{-}Yuan Lee and Chia{-}Cheng Wu and Chia{-}Chun Lin and Yung{-}Chih Chen and Chun{-}Yao Wang}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Logic optimization with considering boolean relations}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {761--766}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342109}, doi = {10.23919/DATE.2018.8342109}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeWLCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChangLLJWC18, author = {Chia{-}Che Chang and Chieh Hubert Lin and Che{-}Rung Lee and Da{-}Cheng Juan and Wei Wei and Hwann{-}Tzong Chen}, editor = {Vittorio Ferrari and Martial Hebert and Cristian Sminchisescu and Yair Weiss}, title = {Escaping from Collapsing Modes in a Constrained Space}, booktitle = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich, Germany, September 8-14, 2018, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {11211}, pages = {212--227}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01234-2\_13}, doi = {10.1007/978-3-030-01234-2\_13}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/ChangLLJWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/SuCHWKCHL18, author = {Jian{-}Ping Su and Liang{-}Bi Chen and Chia{-}Hao Hsu and Wei{-}Chien Wang and Cheng{-}Chin Kuo and Wan{-}Jung Chang and Wei{-}Wen Hu and Da{-}Huei Lee}, title = {An Intelligent Scalp Inspection and Diagnosis System for Caring Hairy Scalp Health}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {507--508}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GCCE.2018.8574619}, doi = {10.1109/GCCE.2018.8574619}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/SuCHWKCHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/WuLLWJCWLWC18, author = {Chia{-}Cheng Wu and Tung{-}Yuan Lee and Yung{-}An Lai and Hsin{-}Pei Wang and De{-}Xuan Ji and Yan{-}Ping Chang and Teng{-}Chia Wang and Chin{-}Heng Liu and Chun{-}Yao Wang and Yung{-}Chih Chen}, editor = {Deming Chen and Houman Homayoun and Baris Taskin}, title = {A Hybrid Approach to Equivalent Fault Identification for Verification Environment Qualification}, booktitle = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI} 2018, Chicago, IL, USA, May 23-25, 2018}, pages = {447--450}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3194554.3194635}, doi = {10.1145/3194554.3194635}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/WuLLWJCWLWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChenYHLHS18, author = {Oscal Tzyh{-}Chiang Chen and Sung{-}Nien Yu and Ching{-}Chun Huang and Huang{-}Chen Lee and Yu{-}Ling Hsueh and Jerry Chih{-}Yuan Sun}, title = {Mobile Learning System with Context-Aware Interactions and Point-of-Interest Understanding}, booktitle = {2018 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2018, San Diego, CA, USA, July 23-27, 2018}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICMEW.2018.8551571}, doi = {10.1109/ICMEW.2018.8551571}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChenYHLHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/LinTTLF18, author = {Bing{-}Jhang Lin and Ting{-}Chen Tsan and Tzu{-}Chia Tung and You{-}Hsien Lee and Chiou{-}Shann Fuh}, editor = {Long Cheng and Andrew Chi{-}Sing Leung and Seiichi Ozawa}, title = {Use 3D Convolutional Neural Network to Inspect Solder Ball Defects}, booktitle = {Neural Information Processing - 25th International Conference, {ICONIP} 2018, Siem Reap, Cambodia, December 13-16, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11301}, pages = {263--274}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04167-0\_24}, doi = {10.1007/978-3-030-04167-0\_24}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/LinTTLF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChangCHHHLLSSTT18, author = {Andy R. K. Chang and Yu{-}Ling Chen and Yen{-}Zhou Huang and Hung{-}Chang Hsiao and Michael Hsu and Chia{-}Chee Lee and Hsin{-}Yin Lee and Wei{-}An Shih and Huan{-}Ping Su and Chia{-}Ping Tsai and Kuan{-}Po Tseng}, title = {The Case of a Novel Operational Distributed Storage Service for Big Data in a Semiconductor Wafer Fabrication Foundry}, booktitle = {24th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2018, Singapore, December 11-13, 2018}, pages = {1028--1033}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PADSW.2018.8644546}, doi = {10.1109/PADSW.2018.8644546}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icpads/ChangCHHHLLSSTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsm2/ChiuHLCCF18, author = {Lee{-}Wen Chiu and Jun{-}Wei Hsieh and Chin{-}Rong Lai and Hui{-}Fen Chiang and Shyi{-}Chyi Cheng and Kuo{-}Chin Fan}, editor = {Anup Basu and Stefano Berretti}, title = {Person Authentication by Air-Writing Using 3D Sensor and Time Order Stroke Context}, booktitle = {Smart Multimedia - First International Conference, {ICSM} 2018, Toulon, France, August 24-26, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11010}, pages = {260--273}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04375-9\_22}, doi = {10.1007/978-3-030-04375-9\_22}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/icsm2/ChiuHLCCF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChangCCHHHHLLSS18, author = {Andy R. K. Chang and Yu{-}Ling Chen and Po{-}Yu Chou and Yen{-}Zhou Huang and Hung{-}Chang Hsiao and Tsung{-}Ting Hsieh and Michael Hsu and Chia{-}Chee Lee and Hsin{-}Yin Lee and Yun{-}Chi Shih and Wei{-}An Shih and Chien{-}Hsiang Tang and Chia{-}Ping Tsai and Kuan{-}Po Tseng}, title = {The Case of Big Data Platform Services for Semiconductor Wafer Fabrication Foundries}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {41--45}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539541}, doi = {10.1109/ICTC.2018.8539541}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChangCCHHHHLLSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChouTXCLYTS18, author = {Li{-}Der Chou and Chia{-}Wei Tseng and Shun{-}Yu Xie and Pin{-}Hao Chen and Yu{-}zhe Lee and Chia{-}Kuan Yen and Wei{-}Hsiang Tsai and Sen Su}, title = {Design of {SFC} Management System based on {SDN} and {NFV}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {391--395}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539693}, doi = {10.1109/ICTC.2018.8539693}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChouTXCLYTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/SrinivasanFSZSO18, author = {Vijayalakshmi Srinivasan and Bruce M. Fleischer and Sunil Shukla and Matthew M. Ziegler and Joel Silberman and Jinwook Oh and Jungwook Choi and Silvia M. Mueller and Ankur Agrawal and Tina Babinsky and Nianzheng Cao and Chia{-}Yu Chen and Pierce Chuang and Thomas W. Fox and George Gristede and Michael Guillorn and Howard Haynie and Michael J. Klaiber and Dongsoo Lee and Shih{-}Hsien Lo and Gary W. Maier and Michael Scheuermann and Swagath Venkataramani and Christos Vezyrtzis and Naigang Wang and Fanchieh Yee and Ching Zhou and Pong{-}Fei Lu and Brian W. Curran and Leland Chang and Kailash Gopalakrishnan}, title = {Across the Stack Opportunities for Deep Learning Acceleration}, booktitle = {Proceedings of the International Symposium on Low Power Electronics and Design, {ISLPED} 2018, Seattle, WA, USA, July 23-25, 2018}, pages = {35:1--35:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3218603.3241339}, doi = {10.1145/3218603.3241339}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/islped/SrinivasanFSZSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/TsaiLC18, author = {Phil Chia{-}Yang Tsai and Kelvin Kuang{-}Chi Lee and Chiao{-}En Chen}, title = {An Eigen-based Matrix Inverse Approximation Scheme with Stair Matrix Splitting for Massive {MIMO} Systems}, booktitle = {2018 International Symposium on Intelligent Signal Processing and Communication Systems (ISPACS), Ishigaki, Okinawa, Japan, November 27-30, 2018}, pages = {378--381}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISPACS.2018.8923264}, doi = {10.1109/ISPACS.2018.8923264}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/TsaiLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/YangLLCLK18, author = {Chao{-}Tung Yang and Jung{-}Chun Liu and Jheng{-}Yue Lee and Chih{-}Hung Chang and Chuan{-}Lin Lai and Chia{-}Chen Kuo}, title = {The Implementation of a Virtual Desktop Infrastructure with {GPU} Accelerated on OpenStack}, booktitle = {15th International Symposium on Pervasive Systems, Algorithms and Networks, {I-SPAN} 2018, Yichang, China, October 16-18, 2018}, pages = {366--370}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/I-SPAN.2018.00069}, doi = {10.1109/I-SPAN.2018.00069}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispan/YangLLCLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/ChenCCLC18, author = {Jheng{-}Yi Chen and Ming{-}Yu Chang and Shi{-}Hao Chen and Jia{-}Wei Lee and Meng{-}Hsueh Chiang}, title = {Body-biasing assisted vmin optimization for 5nm-node multi-Vt {FD-SOI} 6T-SRAM}, booktitle = {19th International Symposium on Quality Electronic Design, {ISQED} 2018, Santa Clara, CA, USA, March 13-14, 2018}, pages = {151--155}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISQED.2018.8357280}, doi = {10.1109/ISQED.2018.8357280}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/ChenCCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ithings/LeeLC18, author = {Chia{-}Peng Lee and Phone Lin and Hsuan{-}Yeh Chen}, title = {A Protocol to Protocol Switching Mechanism for Energy Saving of Power-Constrained in {LTE} and NB-IoT Interworking Networks}, booktitle = {{IEEE} International Conference on Internet of Things (iThings) and {IEEE} Green Computing and Communications (GreenCom) and {IEEE} Cyber, Physical and Social Computing (CPSCom) and {IEEE} Smart Data (SmartData), iThings/GreenCom/CPSCom/SmartData 2018, Halifax, NS, Canada, July 30 - August 3, 2018}, pages = {483--489}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/Cybermatics\_2018.2018.00105}, doi = {10.1109/CYBERMATICS\_2018.2018.00105}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ithings/LeeLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lwmoocs/HuangCTFL18, author = {Nen{-}Fu Huang and Chia{-}Chi Chen and Jian{-}Wei Tzeng and Tung{-}Te Fang and Chia{-}An Lee}, title = {Concept Assessment System Integrated with a Knowledge Map Using Deep Learning}, booktitle = {Learning With MOOCS, {LWMOOCS} 2018, Madrid, Spain, September 26-28, 2018}, pages = {113--116}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LWMOOCS.2018.8534674}, doi = {10.1109/LWMOOCS.2018.8534674}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/lwmoocs/HuangCTFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lwmoocs/HuangHLCTF18, author = {Nen{-}Fu Huang and I{-}Hsien Hsu and Chia{-}An Lee and Hsiang{-}Chun Chen and Jian{-}Wei Tzeng and Tung{-}Te Fang}, title = {The Clustering Analysis System Based on Students' Motivation and Learning Behavior}, booktitle = {Learning With MOOCS, {LWMOOCS} 2018, Madrid, Spain, September 26-28, 2018}, pages = {117--119}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LWMOOCS.2018.8534611}, doi = {10.1109/LWMOOCS.2018.8534611}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lwmoocs/HuangHLCTF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeTJHLH18, author = {Chia{-}Tung Lee and Hsueh{-}Yang Tseng and Yi{-}Ting Jiang and Cheng{-}Yeh Huang and Meng{-}Shiue Lee and Wensyang Hsu}, title = {Detection of Multiple Embryo Growth Factors by Bead-Based Digital Microfluidic Chip in Embryo Culture Medium}, booktitle = {13th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2018, Singapore, Singapore, April 22-26, 2018}, pages = {119--122}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NEMS.2018.8556863}, doi = {10.1109/NEMS.2018.8556863}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/nems/LeeTJHLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/WangLTLH18, author = {Pei{-}Jen Wang and Cheng{-}Yueh Liu and Chia{-}Heng Tu and Chen{-}Pang Lee and Shih{-}Hao Hung}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Acceleration of Monte-Carlo simulation on high performance computing platforms}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {225--230}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264765}, doi = {10.1145/3264746.3264765}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/WangLTLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChenOLL18, author = {Li{-}Mei Chen and D. Kimbrough Oller and Chia{-}Cheng Lee and Chin{-}Ting Jimbo Liu}, editor = {Chi{-}Chun Jeremy Lee and Cheng{-}Zen Yang and Jen{-}Tzung Chien}, title = {{LENA} computerized automatic analysis of speech development from birth to three}, booktitle = {Proceedings of the 30th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2018, Hsinchu, Taiwan, October 4-5, 2018}, pages = {158--168}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2018}, url = {https://aclanthology.org/O18-1017/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rocling/ChenOLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/ChangCCCYLC18, author = {Shih{-}Hsiu Chang and Ching{-}Ya Chiu and Chia{-}Sheng Chang and Kuo{-}Wei Chen and Chih{-}Yuan Yao and Ruen{-}Rone Lee and Hung{-}Kuo Chu}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {Generating 360 outdoor panorama dataset with reliable sun position estimation}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {22:1--22:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283348}, doi = {10.1145/3283289.3283348}, timestamp = {Sun, 02 Dec 2018 12:01:29 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/ChangCCCYLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/MoraceWYCYL18, author = {Charles C. Morace and Feng{-}Wei Wu and Chih{-}Kuo Yeh and Chia{-}Hsiang Chen and I{-}Cheng Yeh and Tong{-}Yee Lee}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {Hair modeling from a single anime-style image}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {31:1--31:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283347}, doi = {10.1145/3283289.3283347}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggrapha/MoraceWYCYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/ChenHSLL18, author = {Yi{-}Chen Chen and Sung{-}Feng Huang and Chia{-}Hao Shen and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Phonetic-and-Semantic Embedding of Spoken words with Applications in Spoken Content Retrieval}, booktitle = {2018 {IEEE} Spoken Language Technology Workshop, {SLT} 2018, Athens, Greece, December 18-21, 2018}, pages = {941--948}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SLT.2018.8639553}, doi = {10.1109/SLT.2018.8639553}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/slt/ChenHSLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/LeeWCL18, author = {Chia{-}Hsuan Lee and Shang{-}Ming Wang and Huan{-}Cheng Chang and Hung{-}yi Lee}, title = {{ODSQA:} Open-Domain Spoken Question Answering Dataset}, booktitle = {2018 {IEEE} Spoken Language Technology Workshop, {SLT} 2018, Athens, Greece, December 18-21, 2018}, pages = {949--956}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SLT.2018.8639505}, doi = {10.1109/SLT.2018.8639505}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/slt/LeeWCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeYWLC18, author = {Kuan{-}Ru Lee and Yi{-}Xian Yeh and Chao{-}Cheng Wu and Jiannher Lin and Yung{-}Hsiao Chiang}, title = {Unsupervised Classification of Cerebrospinal Fluid by Statistical Indicators}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {3827--3832}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00648}, doi = {10.1109/SMC.2018.00648}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeYWLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/TsanLLTF18, author = {Ting{-}Chen Tsan and Bing{-}Jhang Lin and You{-}Hsien Lee and Tzu{-}Chia Tung and Chiou{-}Shann Fuh}, title = {Solder Ball 3D Reconstruction with X-Ray Images Using Filtered Back Projection}, booktitle = {{TENCON} 2018 - 2018 {IEEE} Region 10 Conference, Jeju, South Korea, October 28-31, 2018}, pages = {2510--2515}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TENCON.2018.8650405}, doi = {10.1109/TENCON.2018.8650405}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/tencon/TsanLLTF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/KuWLCWL18, author = {Fang{-}Ju Ku and Tung{-}Yu Wu and Yen{-}Chin Liao and Hsie{-}Chia Chang and Wing Hung Wong and Chen{-}Yi Lee}, title = {A 1.86mJ/Gb/query bit-plane payload machine learning processor in 90nm {CMOS}}, booktitle = {2018 International Symposium on {VLSI} Design, Automation and Test (VLSI-DAT), Hsinchu, Taiwan, April 16-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSI-DAT.2018.8373265}, doi = {10.1109/VLSI-DAT.2018.8373265}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/KuWLCWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/FleischerSZSOSC18, author = {Bruce M. Fleischer and Sunil Shukla and Matthew M. Ziegler and Joel Silberman and Jinwook Oh and Vijayalakshmi Srinivasan and Jungwook Choi and Silvia M. Mueller and Ankur Agrawal and Tina Babinsky and Nianzheng Cao and Chia{-}Yu Chen and Pierce Chuang and Thomas W. Fox and George Gristede and Michael Guillorn and Howard Haynie and Michael J. Klaiber and Dongsoo Lee and Shih{-}Hsien Lo and Gary W. Maier and Michael Scheuermann and Swagath Venkataramani and Christos Vezyrtzis and Naigang Wang and Fanchieh Yee and Ching Zhou and Pong{-}Fei Lu and Brian W. Curran and Leland Chang and Kailash Gopalakrishnan}, title = {A Scalable Multi- TeraOPS Deep Learning Processor Core for {AI} Trainina and Inference}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {35--36}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502276}, doi = {10.1109/VLSIC.2018.8502276}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/FleischerSZSOSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShihLCLLCLYYCCC18, author = {Yi{-}Chun Shih and Chia{-}Fu Lee and Yen{-}An Chang and Po{-}Hao Lee and Hon{-}Jarn Lin and Yu{-}Lin Chen and Ku{-}Feng Lin and Ta{-}Ching Yeh and Hung{-}Chang Yu and Harry Chuang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40NM 16MB, Embedded Perpendicular-MRAM with Hybrid-Resistance Reference, Sub-{\(\mu\)}A Sensing Resolution, and 17.5NS Read Access Time}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {79--80}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502260}, doi = {10.1109/VLSIC.2018.8502260}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShihLCLLCLYYCCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/ChengCCLHHKL18, author = {Chih{-}Hao Cheng and Chia{-}Chi Chang and Ying{-}Hsuan Chen and Ying{-}Li Lin and Jing{-}Yuan Huang and Ping{-}Hsuan Han and Ju{-}Chun Ko and Lai{-}Chung Lee}, editor = {Stephen N. Spencer and Shigeo Morishima and Yuichi Itoh and Takaaki Shiratori and Yonghao Yue and Rob Lindeman}, title = {GravityCup: a liquid-based haptics for simulating dynamic weight in virtual reality}, booktitle = {Proceedings of the 24th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2018, Tokyo, Japan, November 28 - December 01, 2018}, pages = {51:1--51:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3281505.3281569}, doi = {10.1145/3281505.3281569}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vrst/ChengCCLHHKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/HanCLWHHCH18, author = {Ping{-}Hsuan Han and Yang{-}Sheng Chen and Kong{-}Chang Lee and Hao{-}Cheng Wang and Chiao{-}En Hsieh and Jui{-}Chun Hsiao and Chien{-}Hsing Chou and Yi{-}Ping Hung}, editor = {Stephen N. Spencer and Shigeo Morishima and Yuichi Itoh and Takaaki Shiratori and Yonghao Yue and Rob Lindeman}, title = {Haptic around: multiple tactile sensations for immersive environment and interaction in virtual reality}, booktitle = {Proceedings of the 24th {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2018, Tokyo, Japan, November 28 - December 01, 2018}, pages = {35:1--35:10}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3281505.3281507}, doi = {10.1145/3281505.3281507}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vrst/HanCLWHHCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LoCLCT18, author = {Chi{-}Chung Lo and Ting{-}Hui Chiang and Tsu{-}Kuang Lee and Ling{-}Jyh Chen and Yu{-}Chee Tseng}, title = {Wireless location tracking by a sensor-assisted particle filter and floor plans in a 2.5-D space}, booktitle = {2018 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2018, Barcelona, Spain, April 15-18, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCNC.2018.8377214}, doi = {10.1109/WCNC.2018.8377214}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/LoCLCT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-10952, author = {Yi{-}Chen Chen and Chia{-}Hao Shen and Sung{-}Feng Huang and Hung{-}yi Lee}, title = {Towards Unsupervised Automatic Speech Recognition Trained by Unaligned Speech and Text only}, journal = {CoRR}, volume = {abs/1803.10952}, year = {2018}, url = {http://arxiv.org/abs/1803.10952}, eprinttype = {arXiv}, eprint = {1803.10952}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-10952.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-10012, author = {Yongfu Li and Chin Hui Lee and Wan Chia Ang and Kok Peng Chua and Yoong Seang Jonathan Ong and Chiu Wing Colin Hui}, title = {Constraining the Synopsys Pin Access Checker Utility for Improved Standard Cells Library Verification Flow}, journal = {CoRR}, volume = {abs/1805.10012}, year = {2018}, url = {http://arxiv.org/abs/1805.10012}, eprinttype = {arXiv}, eprint = {1805.10012}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-10012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-11426, author = {Yongfu Li and Wan Chia Ang and Chin Hui Lee and Kok Peng Chua and Yoong Seang Jonathan Ong and Chiu Wing Colin Hui}, title = {Standard Cell Library Evaluation with Multiple lithography-compliant verification and Improved Synopsys Pin Access Checking Utility}, journal = {CoRR}, volume = {abs/1805.11426}, year = {2018}, url = {http://arxiv.org/abs/1805.11426}, eprinttype = {arXiv}, eprint = {1805.11426}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-11426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-08089, author = {Yi{-}Chen Chen and Sung{-}Feng Huang and Chia{-}Hao Shen and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Phonetic-and-Semantic Embedding of Spoken Words with Applications in Spoken Content Retrieval}, journal = {CoRR}, volume = {abs/1807.08089}, year = {2018}, url = {http://arxiv.org/abs/1807.08089}, eprinttype = {arXiv}, eprint = {1807.08089}, timestamp = {Sat, 15 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-08089.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-02280, author = {Chia{-}Hsuan Lee and Shang{-}Ming Wang and Huan{-}Cheng Chang and Hung{-}yi Lee}, title = {{ODSQA:} Open-domain Spoken Question Answering Dataset}, journal = {CoRR}, volume = {abs/1808.02280}, year = {2018}, url = {http://arxiv.org/abs/1808.02280}, eprinttype = {arXiv}, eprint = {1808.02280}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-02280.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-07258, author = {Chia{-}Che Chang and Chieh Hubert Lin and Che{-}Rung Lee and Da{-}Cheng Juan and Wei Wei and Hwann{-}Tzong Chen}, title = {Escaping from Collapsing Modes in a Constrained Space}, journal = {CoRR}, volume = {abs/1808.07258}, year = {2018}, url = {http://arxiv.org/abs/1808.07258}, eprinttype = {arXiv}, eprint = {1808.07258}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-07258.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-12566, author = {Yi{-}Chen Chen and Chia{-}Hao Shen and Sung{-}Feng Huang and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Almost-unsupervised Speech Recognition with Close-to-zero Resource Based on Phonetic Structures Learned from Very Small Unpaired Speech and Text Data}, journal = {CoRR}, volume = {abs/1810.12566}, year = {2018}, url = {http://arxiv.org/abs/1810.12566}, eprinttype = {arXiv}, eprint = {1810.12566}, timestamp = {Thu, 08 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-12566.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-12214, author = {Chien{-}Yu Lu and Min{-}Xin Xue and Chia{-}Che Chang and Che{-}Rung Lee and Li Su}, title = {Play as You Like: Timbre-enhanced Multi-modal Music Style Transfer}, journal = {CoRR}, volume = {abs/1811.12214}, year = {2018}, url = {http://arxiv.org/abs/1811.12214}, eprinttype = {arXiv}, eprint = {1811.12214}, timestamp = {Wed, 13 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-12214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-00660, author = {Wei{-}Chun Chen and Chia{-}Che Chang and Chien{-}Yu Lu and Che{-}Rung Lee}, title = {Knowledge Distillation with Feature Maps for Image Classification}, journal = {CoRR}, volume = {abs/1812.00660}, year = {2018}, url = {http://arxiv.org/abs/1812.00660}, eprinttype = {arXiv}, eprint = {1812.00660}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-00660.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-05272, author = {Graham Neubig and Patrick Littell and Chian{-}Yu Chen and Jean Lee and Zirui Li and Yu{-}Hsiang Lin and Yuyan Zhang}, title = {Towards a General-Purpose Linguistic Annotation Backend}, journal = {CoRR}, volume = {abs/1812.05272}, year = {2018}, url = {http://arxiv.org/abs/1812.05272}, eprinttype = {arXiv}, eprint = {1812.05272}, timestamp = {Tue, 01 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-05272.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/TangHLC17, author = {Hsin{-}Chieh Tang and Hung{-}Jin Huang and Cheng{-}Chun Lee and Calvin Yu{-}Chian Chen}, title = {Network pharmacology-based approach of novel traditional Chinese medicine formula for treatment of acute skin inflammation in silico}, journal = {Comput. Biol. Chem.}, volume = {71}, pages = {70--81}, year = {2017}, url = {https://doi.org/10.1016/j.compbiolchem.2017.08.013}, doi = {10.1016/J.COMPBIOLCHEM.2017.08.013}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candc/TangHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ile/CaiCSLL17, author = {Su Cai and Feng{-}Kuang Chiang and Yuchen Sun and Chenglong Lin and Joey J. Lee}, title = {Applications of augmented reality-based natural interactive learning in magnetic field instruction}, journal = {Interact. Learn. Environ.}, volume = {25}, number = {6}, pages = {778--791}, year = {2017}, url = {https://doi.org/10.1080/10494820.2016.1181094}, doi = {10.1080/10494820.2016.1181094}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ile/CaiCSLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/HsuBJSLPMQKI17, author = {Pin{-}Chia Hsu and Bart M. H. Bruininks and Damien Jefferies and Paulo Cesar Telles de Souza and Jumin Lee and Dhilon S. Patel and Siewert J. Marrink and Yifei Qi and Syma Khalid and Wonpil Im}, title = {{CHARMM-GUI} Martini Maker for modeling and simulation of complex bacterial membranes with lipopolysaccharides}, journal = {J. Comput. Chem.}, volume = {38}, number = {27}, pages = {2354--2363}, year = {2017}, url = {https://doi.org/10.1002/jcc.24895}, doi = {10.1002/JCC.24895}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcc/HsuBJSLPMQKI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangLLCKYTCS17, author = {Meng{-}Fan Chang and Chien{-}Chen Lin and Albert Lee and Yen{-}Ning Chiang and Chia{-}Chen Kuo and Geng{-}Hau Yang and Hsiang{-}Jen Tsai and Tien{-}Fu Chen and Shyh{-}Shyuan Sheu}, title = {A 3T1R Nonvolatile {TCAM} Using {MLC} ReRAM for Frequent-Off Instant-On Filters in IoT and Big-Data Processing}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {6}, pages = {1664--1679}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2681458}, doi = {10.1109/JSSC.2017.2681458}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangLLCKYTCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LinLC17, author = {Bor{-}Shing Lin and Cheng{-}Che Lee and Pei{-}Ying Chiang}, title = {Simple Smartphone-Based Guiding System for Visually Impaired People}, journal = {Sensors}, volume = {17}, number = {6}, pages = {1371}, year = {2017}, url = {https://doi.org/10.3390/s17061371}, doi = {10.3390/S17061371}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LinLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TanGCHCCLHH17, author = {Tan{-}Hsu Tan and Munkhjargal Gochoo and Yung{-}Fu Chen and Jin{-}Jia Hu and John Y. Chiang and Ching{-}Su Chang and Ming{-}Huei Lee and Yung{-}Nian Hsu and Jiin{-}Chyr Hsu}, title = {Ubiquitous Emergency Medical Service System Based on Wireless Biosensors, Traffic Information, and Wireless Communication Technologies: Development and Evaluation}, journal = {Sensors}, volume = {17}, number = {1}, pages = {202}, year = {2017}, url = {https://doi.org/10.3390/s17010202}, doi = {10.3390/S17010202}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TanGCHCCLHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/LeeCYCL17, author = {Chien{-}Ching Lee and Chia{-}Chun Chuang and Chia{-}Hong Yeng and Yeou{-}Jiunn Chen and Bor{-}Shyh Lin}, title = {Noise Suppression by Minima Controlled Recursive Averaging for SSVEP-Based BCIs With Single Channel}, journal = {{IEEE} Signal Process. Lett.}, volume = {24}, number = {12}, pages = {1783--1787}, year = {2017}, url = {https://doi.org/10.1109/LSP.2017.2761193}, doi = {10.1109/LSP.2017.2761193}, timestamp = {Fri, 24 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spl/LeeCYCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/ChungTLL17, author = {Cheng{-}Tao Chung and Cheng{-}Yu Tsai and Chia{-}Hsiang Liu and Lin{-}Shan Lee}, title = {Unsupervised Iterative Deep Learning of Speech Features and Acoustic Tokens with Applications to Spoken Term Detection}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {25}, number = {10}, pages = {1914--1928}, year = {2017}, url = {https://doi.org/10.1109/TASLP.2017.2729024}, doi = {10.1109/TASLP.2017.2729024}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/ChungTLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LiuLWCLLPW17, author = {Hsuan{-}Hung Liu and Bing{-}Yang Lin and Cheng{-}Wen Wu and Wan{-}Ting Chiang and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {A Built-Off Self-Repair Scheme for Channel-Based 3D Memories}, journal = {{IEEE} Trans. Computers}, volume = {66}, number = {8}, pages = {1293--1301}, year = {2017}, url = {https://doi.org/10.1109/TC.2017.2667645}, doi = {10.1109/TC.2017.2667645}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LiuLWCLLPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChungYLCL17, author = {Szu{-}Chi Chung and Chun{-}Yuan Yu and Sung{-}Shine Lee and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {An Improved {DPA} Countermeasure Based on Uniform Distribution Random Power Generator for IoT Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {9}, pages = {2522--2531}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2698063}, doi = {10.1109/TCSI.2017.2698063}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChungYLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tci/LeeH17, author = {Chia{-}Chen Lee and Wen{-}Liang Hwang}, title = {Mixture of Gaussian Blur Kernel Representation for Blind Image Restoration}, journal = {{IEEE} Trans. Computational Imaging}, volume = {3}, number = {4}, pages = {783--797}, year = {2017}, url = {https://doi.org/10.1109/TCI.2017.2706062}, doi = {10.1109/TCI.2017.2706062}, timestamp = {Thu, 14 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tci/LeeH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/TsaiCCLLWL17, author = {Chin{-}Chu Tsai and Le{-}Ren Chang{-}Chien and I{-}Jen Chen and Chia{-}Jung Lin and Wei{-}Jen Lee and Chin{-}Chung Wu and Hung{-}Wei Lan}, title = {Practical Considerations to Calibrate Generator Model Parameters Using Phasor Measurements}, journal = {{IEEE} Trans. Smart Grid}, volume = {8}, number = {5}, pages = {2228--2238}, year = {2017}, url = {https://doi.org/10.1109/TSG.2016.2519528}, doi = {10.1109/TSG.2016.2519528}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/TsaiCCLLWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeMFY17, author = {Chiou{-}Yng Lee and Pramod Kumar Meher and Chia{-}Chen Fan and Shyan{-}Ming Yuan}, title = {Low-Complexity Digit-Serial Multiplier Over {\textdollar}GF(2\{m\}){\textdollar} Based on Efficient Toeplitz Block Toeplitz Matrix-Vector Product Decomposition}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {2}, pages = {735--746}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2605183}, doi = {10.1109/TVLSI.2016.2605183}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeMFY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeC17a, author = {Kelvin Kuang{-}Chi Lee and Chiao{-}En Chen}, title = {An Eigen-Based Approach for Enhancing Matrix Inversion Approximation in Massive {MIMO} Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {66}, number = {6}, pages = {5480--5484}, year = {2017}, url = {https://doi.org/10.1109/TVT.2016.2622010}, doi = {10.1109/TVT.2016.2622010}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeC17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/TuLLCCL17, author = {Yuan{-}Kuang Tu and Cheng{-}Hua Lee and Chia{-}Horng Liu and Chung{-}Yung Chia and Yuan{-}Kai Chen and Yi{-}Bing Lin}, title = {Deployment of the First Commercial {LWA} Service}, journal = {{IEEE} Wirel. Commun.}, volume = {24}, number = {6}, pages = {6--8}, year = {2017}, url = {https://doi.org/10.1109/MWC.2017.8246817}, doi = {10.1109/MWC.2017.8246817}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/TuLLCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/ChouLCLML17, author = {Huang{-}Cheng Chou and Wei{-}Cheng Lin and Lien{-}Chiang Chang and Chyi{-}Chang Li and Hsi{-}Pin Ma and Chi{-}Chun Lee}, title = {{NNIME:} The {NTHU-NTUA} Chinese interactive multimodal emotion corpus}, booktitle = {Seventh International Conference on Affective Computing and Intelligent Interaction, {ACII} 2017, San Antonio, TX, USA, October 23-26, 2017}, pages = {292--298}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ACII.2017.8273615}, doi = {10.1109/ACII.2017.8273615}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acii/ChouLCLML17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/HsuDL17, author = {Chia{-}Chun Hsu and Jian{-}Jiun Ding and Yih{-}Cherng Lee}, title = {Efficient edge-oriented based image interpolation algorithm for non-integer scaling factor}, booktitle = {2017 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2017, Kuala Lumpur, Malaysia, December 12-15, 2017}, pages = {1156--1159}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APSIPA.2017.8282202}, doi = {10.1109/APSIPA.2017.8282202}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/HsuDL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asianhost/SiddiquiLCPS17, author = {Ali Shuja Siddiqui and Chia{-}Che Lee and Wenjie Che and Jim Plusquellic and Fareena Saqib}, title = {Secure intra-vehicular communication over {CANFD}}, booktitle = {2017 Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2017, Beijing, China, October 19-20, 2017}, pages = {97--102}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AsianHOST.2017.8354002}, doi = {10.1109/ASIANHOST.2017.8354002}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asianhost/SiddiquiLCPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HuangHYCCKLC17, author = {Tzu{-}Hsuan Huang and Wei{-}Tse Hung and Hao{-}Yu Yang and Wen{-}Hsiang Chang and Ying{-}Yen Chen and Chun{-}Yi Kuo and Jih{-}Nung Lee and Mango C.{-}T. Chao}, title = {Predicting Vt variation and static {IR} drop of ring oscillators using model-fitting techniques}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {426--431}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858360}, doi = {10.1109/ASPDAC.2017.7858360}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HuangHYCCKLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HuCCL17, author = {Hsiao{-}Wei Hu and Ching{-}Han Cheng and Yun{-}Chu Chung and Chia{-}Yu Lee}, editor = {Jian{-}Yun Nie and Zoran Obradovic and Toyotaro Suzumura and Rumi Ghosh and Raghunath Nambiar and Chonggang Wang and Hui Zang and Ricardo Baeza{-}Yates and Xiaohua Hu and Jeremy Kepner and Alfredo Cuzzocrea and Jian Tang and Masashi Toyoda}, title = {Ticket-purchase behavior under the effects of marketing campaigns on facebook fan pages}, booktitle = {2017 {IEEE} International Conference on Big Data {(IEEE} BigData 2017), Boston, MA, USA, December 11-14, 2017}, pages = {2746--2751}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BigData.2017.8258239}, doi = {10.1109/BIGDATA.2017.8258239}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/HuCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/ChienLDCC17, author = {Chen{-}Fu Chien and Peng{-}Chieh Lee and Runliang Dou and Ying{-}Jen Chen and Chia{-}Cheng Chen}, title = {Modeling collinear WATs for parametric yield enhancement in semiconductor manufacturing}, booktitle = {13th {IEEE} Conference on Automation Science and Engineering, {CASE} 2017, Xi'an, China, August 20-23, 2017}, pages = {739--743}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/COASE.2017.8256192}, doi = {10.1109/COASE.2017.8256192}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/case/ChienLDCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciac/ChenHHKLW17, author = {Li{-}Hsuan Chen and Sun{-}Yuan Hsieh and Ling{-}Ju Hung and Ralf Klasing and Chia{-}Wei Lee and Bang Ye Wu}, editor = {Dimitris Fotakis and Aris Pagourtzis and Vangelis Th. Paschos}, title = {On the Complexity of the Star p-hub Center Problem with Parameterized Triangle Inequality}, booktitle = {Algorithms and Complexity - 10th International Conference, {CIAC} 2017, Athens, Greece, May 24-26, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10236}, pages = {152--163}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-57586-5\_14}, doi = {10.1007/978-3-319-57586-5\_14}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ciac/ChenHHKLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/desec/DengCLCL17, author = {Juinn{-}Horng Deng and Pin{-}Nien Chen and Chia{-}Fang Lee and Yuan{-}Feng Chan and Yen{-}Chung Lin}, title = {{SDR} measurement platform design for {FMCW} {RADAR} performance verification}, booktitle = {{IEEE} Conference on Dependable and Secure Computing, {DSC} 2017, Taipei, Taiwan, August 7-10, 2017}, pages = {477--478}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/DESEC.2017.8073869}, doi = {10.1109/DESEC.2017.8073869}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/desec/DengCLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/SuPWCLWLCL17, author = {Tzu{-}Hao Su and Si{-}Ching Pan and Xutao Wei and Yu{-}Liang Chiang and Ting{-}Lan Lin and Yangming Wen and Zhaoyi Liu and Shih{-}Lun Chen and Ho{-}Yin Lee}, title = {Sparsity analysis of endoscopy images}, booktitle = {{IEEE} 6th Global Conference on Consumer Electronics, {GCCE} 2017, Nagoya, Japan, October 24-27, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GCCE.2017.8229209}, doi = {10.1109/GCCE.2017.8229209}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/SuPWCLWLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/TsaiCCL17, author = {Wen{-}Hsien Tsai and Hui{-}Chiao Chen and Jui{-}Chu Chang and Hsiu{-}Li Lee}, editor = {Tung Bui}, title = {The Internal Audit Performance: The Effectiveness of {ERM} and {IT} Environments}, booktitle = {50th Hawaii International Conference on System Sciences, {HICSS} 2017, Hilton Waikoloa Village, Hawaii, USA, January 4-7, 2017}, pages = {1--9}, publisher = {ScholarSpace / {AIS} Electronic Library (AISeL)}, year = {2017}, url = {https://hdl.handle.net/10125/41757}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/TsaiCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icatech/ChuKCLLSL17, author = {Yuan{-}Chia Chu and Wen{-}Tsung Kuo and Yuan{-}Ren Cheng and Fong{-}Ci Lin and Chung{-}Yuan Lee and Cheng{-}Ying Shiau and Feipei Lai}, title = {{SMART} survival metadata analysis responsive tool}, booktitle = {{XXVI} International Conference on Information, Communication and Automation Technologies, {ICAT} 2017, Sarajevo, Bosnia and Herzegovina, October 26-28, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICAT.2017.8171608}, doi = {10.1109/ICAT.2017.8171608}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icatech/ChuKCLLSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HsuCLY17, author = {Bo{-}Kai Hsu and Po{-}Chun Chou and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {Training-based synchronization for quantity-based modulation in inverse Gaussian channels}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7996905}, doi = {10.1109/ICC.2017.7996905}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/HsuCLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiouLHC17, author = {Hong{-}Wen Chiou and Yu{-}Min Lee and Hsuan{-}Hsuan Hsiao and Liang{-}Chia Cheng}, editor = {Sri Parameswaran}, title = {Thermal modeling and design on smartphones with heat pipe cooling technique}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {482--489}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203816}, doi = {10.1109/ICCAD.2017.8203816}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChiouLHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/LeeYC17, author = {Chen{-}Yu Lee and Jia{-}Fong Yeh and Tsung{-}Che Chiang}, editor = {Jerry Chun{-}Wei Lin and Jeng{-}Shyang Pan and Shu{-}Chuan Chu and Chien{-}Ming Chen}, title = {A Many-Objective Evolutionary Algorithm with Reference Point-Based and Vector Angle-Based Selection}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Eleventh International Conference on Genetic and Evolutionary Computing, {ICGEC} 2017, November 6-8, 2017, Kaohsiung, Taiwan}, series = {Advances in Intelligent Systems and Computing}, volume = {579}, pages = {3--11}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-6487-6\_1}, doi = {10.1007/978-981-10-6487-6\_1}, timestamp = {Thu, 22 Oct 2020 13:52:00 +0200}, biburl = {https://dblp.org/rec/conf/icgec/LeeYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/LinCL17, author = {Zhong{-}Yi Lin and Tsung{-}Che Chiang and Chen{-}Yu Lee}, title = {Adaptive Multiobjective Differential Evolution Algorithms for Environmental/Economic Dispatch}, booktitle = {6th {IIAI} International Congress on Advanced Applied Informatics, {IIAI-AAI} 2017, Hamamatsu, Japan, July 9-13, 2017}, pages = {909--914}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IIAI-AAI.2017.26}, doi = {10.1109/IIAI-AAI.2017.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/LinCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/WangLLCSLY17, author = {Shao{-}Yu Wang and Owen H. T. Lu and Wen{-}Long Lee and Tosti Hsu{-}Cheng Chiang and Wan{-}Sheng Su and Ming{-}Chao Lin and Stephen J. H. Yang}, title = {Examining the Trend of Taiwan Primary and High School Scientific Exhibition by Using Text Mining Technique}, booktitle = {6th {IIAI} International Congress on Advanced Applied Informatics, {IIAI-AAI} 2017, Hamamatsu, Japan, July 9-13, 2017}, pages = {477--481}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IIAI-AAI.2017.181}, doi = {10.1109/IIAI-AAI.2017.181}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/WangLLCSLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/HuangLHOHCT17, author = {Nen{-}Fu Huang and Chia{-}An Lee and Yi{-}Wei Huang and Po{-}Wen Ou and How{-}Hsuan Hsu and So{-}Chen Chen and Jian{-}Wei Tzeng}, editor = {Jeng{-}Shyang Pan and Pei{-}Wei Tsai and Junzo Watada and Lakhmi C. Jain}, title = {On the Automatic Construction of Knowledge-Map from Handouts for {MOOC} Courses}, booktitle = {Advances in Intelligent Information Hiding and Multimedia Signal Processing - Proceedings of the Thirteenth International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2017, August, 12-15, 2017, Matsue, Shimane, Japan, Part {I}}, series = {Smart Innovation, Systems and Technologies}, volume = {81}, pages = {107--114}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-63856-0\_13}, doi = {10.1007/978-3-319-63856-0\_13}, timestamp = {Sun, 25 Oct 2020 22:36:27 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/HuangLHOHCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/WuCL17, author = {Tsung{-}Chen Wu and Tai{-}Shih Chi and Chia{-}Fone Lee}, editor = {Francisco Lacerda}, title = {Simulations of High-Frequency Vocoder on Mandarin Speech Recognition for Acoustic Hearing Preserved Cochlear Implant}, booktitle = {18th Annual Conference of the International Speech Communication Association, Interspeech 2017, Stockholm, Sweden, August 20-24, 2017}, pages = {196--200}, publisher = {{ISCA}}, year = {2017}, url = {https://doi.org/10.21437/Interspeech.2017-858}, doi = {10.21437/INTERSPEECH.2017-858}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/WuCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/SuSWL17, author = {Chia{-}Min Su and Cheng{-}Yu Shi and Yong{-}Lin Wu and Huang{-}Chen Lee}, editor = {Pei Zhang and Prabal Dutta and Guoliang Xing}, title = {A LoRa wireless smart badge for enhancing museum visitors' experience: demo abstract}, booktitle = {Proceedings of the 16th {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2017, Pittsburgh, PA, USA, April 18-21, 2017}, pages = {261--262}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3055031.3055035}, doi = {10.1145/3055031.3055035}, timestamp = {Thu, 19 Aug 2021 10:42:37 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/SuSWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKLCC17, author = {Yueh{-}Ying Lee and Pin{-}Hung Kuo and Chia{-}Han Lee and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {Distributed video codec with spatiotemporal side information}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050299}, doi = {10.1109/ISCAS.2017.8050299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKLCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/WangTWCWCLSYHKL17, author = {Li Wang and Ren{-}Wei Tsai and Shao{-}Chung Wang and Kun{-}Chih Chen and Po{-}Han Wang and Hsiang{-}Yun Cheng and Yi{-}Chung Lee and Sheng{-}Jie Shu and Chun{-}Chieh Yang and Min{-}Yih Hsu and Li{-}Chen Kan and Chao{-}Lin Lee and Tzu{-}Chieh Yu and Rih{-}Ding Peng and Chia{-}Lin Yang and Yuan{-}Shin Hwang and Jenq Kuen Lee and Shiao{-}Li Tsao and Ming Ouhyoung}, title = {Analyzing OpenCL 2.0 workloads using a heterogeneous {CPU-GPU} simulator}, booktitle = {2017 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2017, Santa Rosa, CA, USA, April 24-25, 2017}, pages = {127--128}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISPASS.2017.7975279}, doi = {10.1109/ISPASS.2017.7975279}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispass/WangTWCWCLSYHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangCCSCFLLHLL17, author = {Jonathan Chang and Yen{-}Huei Chen and Wei{-}Min Chan and Sahil Preet Singh and Hank Cheng and Hidehiro Fujiwara and Jih{-}Yu Lin and Kao{-}Cheng Lin and John Hung and Robin Lee and Hung{-}Jen Liao and Jhon{-}Jhy Liaw and Quincy Li and Chih{-}Yung Lin and Mu{-}Chi Chiang and Shien{-}Yang Wu}, title = {12.1 {A} 7nm 256Mb {SRAM} in high-k metal-gate FinFET technology with write-assist circuitry for low-VMIN applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {206--207}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870333}, doi = {10.1109/ISSCC.2017.7870333}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangCCSCFLLHLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/FangLLHCCLKC17, author = {Sheng{-}Hsin Fang and Chang{-}Tzu Lin and Wei{-}Hsun Liao and Chien{-}Chia Huang and Li{-}Chin Chen and Hung{-}Ming Chen and I{-}Hsuan Lee and Ding{-}Ming Kwai and Yung{-}Fa Chou}, title = {On Tolerating Faults of TSV/Microbumps for Power Delivery Networks in 3D {IC}}, booktitle = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017, Bochum, Germany, July 3-5, 2017}, pages = {459--464}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISVLSI.2017.86}, doi = {10.1109/ISVLSI.2017.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/FangLLHCCLKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jcdl/WuCCLG17, author = {Jian Wu and Sagnik Ray Choudhury and Agnese Chiatti and Chen Liang and C. Lee Giles}, title = {{HESDK:} {A} Hybrid Approach to Extracting Scientific Domain Knowledge Entities}, booktitle = {2017 {ACM/IEEE} Joint Conference on Digital Libraries, {JCDL} 2017, Toronto, ON, Canada, June 19-23, 2017}, pages = {241--244}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/JCDL.2017.7991580}, doi = {10.1109/JCDL.2017.7991580}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jcdl/WuCCLG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisec2/LeeTCLK17, author = {Tsung{-}Ju Lee and Shian{-}Shyong Tseng and Hsing{-}Chung Chen and Sung{-}Chiang Lin and Chiun{-}How Kao}, editor = {Ilsun You and Hsing{-}Chung Chen and Vishal Sharma and Igor V. Kotenko}, title = {A Frame-Based Approach to Generating Insider Threat Test Suite on Cloud File-Sharing}, booktitle = {Mobile Internet Security - Second International Symposium, MobiSec 2017, Jeju Island, Republic of Korea, October 19-22, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {971}, pages = {151--156}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-13-3732-1\_12}, doi = {10.1007/978-981-13-3732-1\_12}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisec2/LeeTCLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SiddiquiLS17, author = {Ali Shuja Siddiqui and Chia{-}Che Lee and Fareena Saqib}, title = {Hardware based protection against malwares by {PUF} based access control mechanism}, booktitle = {{IEEE} 60th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2017, Boston, MA, USA, August 6-9, 2017}, pages = {1312--1315}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MWSCAS.2017.8053172}, doi = {10.1109/MWSCAS.2017.8053172}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/SiddiquiLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChouWCCHCL17, author = {Shan{-}Ying Chou and Jia{-}Han Wu and Shang{-}Ta Chou and Chia{-}Hui Chen and Wen{-}Yen Huang and Chen{-}Yu Chen and Gwo{-}Bin Lee}, title = {An integrated microfluidic system for automating multiplex allergy microarrays}, booktitle = {12th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2017, Los Angeles, CA, USA, April 9-12, 2017}, pages = {434--437}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NEMS.2017.8017059}, doi = {10.1109/NEMS.2017.8017059}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChouWCCHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChangVLKEDMBC17, author = {Chia{-}Ming Chang and Guilhem de Valicourt and Jeffrey Lee and K. W. Kim and Michael S. Eggleston and Po Dong and Anaelle Maho and Romain Brenot and Young{-}Kai Chen}, title = {Small form factor hybrid Ill-V/Si wavelength-tunable push-pull microring based transmitter}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/document/7937317}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChangVLKEDMBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ValicourtCEMZLS17, author = {Guilhem de Valicourt and Chia{-}Ming Chang and Michael S. Eggleston and Argishti Melikyan and C. Zhu and J. Lee and Jesse E. Simsarian and S. Chandrasekhar and Jeffrey H. Sinsky and Kwangwoong Kim and Anaelle Maho and R. Brenot and Po Dong and Young{-}Kai Chen}, title = {Hybrid III-V/Silicon integration: Enabling the next generation of advanced photonic transmitters}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/document/7937422}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ValicourtCEMZLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ValicourtEZLCSK17, author = {Guilhem de Valicourt and Michael S. Eggleston and C. Zhu and J. Lee and Chia{-}Ming Chang and Jeffrey H. Sinsky and K. W. Kim and Young{-}Kai Chen and Anaelle Maho and R. Brenot and Po Dong}, title = {80Gb/s {PDM-QPSK} PIC-to-PIC transmission based on integrated hybrid silicon/III-V wavelength-tunable transmitter and monolithic silicon coherent receiver}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/document/7937205}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ValicourtEZLCSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LiuCPRCCFL17, author = {Lee{-}Kai Liu and Li{-}Yu Chien and Shang{-}Heh Pan and Jia{-}Liang Ren and Chi{-}Lun Chiao and Wei{-}Hsuan Chen and Li{-}Chen Fu and Jin{-}Shin Lai}, title = {Interactive torque controller with electromyography intention prediction implemented on exoskeleton robot {NTUH-II}}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {1485--1490}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324627}, doi = {10.1109/ROBIO.2017.8324627}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/LiuCPRCCFL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/HanHCHLKCCH17, author = {Ping{-}Hsuan Han and Chiao{-}En Hsieh and Yang{-}Sheng Chen and Jui{-}Chun Hsiao and Kong{-}Chang Lee and Sheng{-}Fu Ko and Kuan{-}Wen Chen and Chien{-}Hsing Chou and Yi{-}Ping Hung}, title = {AoEs: enhancing teleportation experience in immersive environment with mid-air haptics}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} 2017, Los Angeles, CA, USA, July 30 - August 3, 2017, Emerging Technologies}, pages = {3:1--3:2}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3084822.3084823}, doi = {10.1145/3084822.3084823}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/HanHCHLKCCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/LeeC17a, author = {Kelvin Kuang{-}Chi Lee and Chiao{-}En Chen}, title = {An improved matrix inversion approximation method for massive {MIMO} systems with transmit antenna correlation}, booktitle = {18th {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2017, Sapporo, Japan, July 3-6, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SPAWC.2017.8227755}, doi = {10.1109/SPAWC.2017.8227755}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/spawc/LeeC17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/ChangCLL17, author = {Cheng{-}Shang Chang and Chia{-}Tai Chang and Duan{-}Shin Lee and Li{-}Heng Liou}, title = {K-sets\({}^{\mbox{+}}\): {A} linear-time clustering algorithm for data points with a sparse similarity measure}, booktitle = {2017 {IEEE} SmartWorld, Ubiquitous Intelligence {\&} Computing, Advanced {\&} Trusted Computed, Scalable Computing {\&} Communications, Cloud {\&} Big Data Computing, Internet of People and Smart City Innovation, SmartWorld/SCALCOM/UIC/ATC/CBDCom/IOP/SCI 2017, San Francisco, CA, USA, August 4-8, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/UIC-ATC.2017.8397636}, doi = {10.1109/UIC-ATC.2017.8397636}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/uic/ChangCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/WuWLSWCKHLC17, author = {Te{-}Yen Wu and Bryan Wang and Jiun{-}Yu Lee and Hao{-}Ping Shen and Yu{-}Chian Wu and Yu{-}An Chen and Pin{-}Sung Ku and Ming{-}Wei Hsu and Yu{-}Chih Lin and Mike Y. Chen}, editor = {Krzysztof Gajos and Jennifer Mankoff and Chris Harrison}, title = {CircuitSense: Automatic Sensing of Physical Circuits and Generation of Virtual Circuits to Support Software Tools}, booktitle = {Proceedings of the 30th Annual {ACM} Symposium on User Interface Software and Technology, {UIST} 2017, Quebec City, QC, Canada, October 22 - 25, 2017}, pages = {311--319}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126594.3126634}, doi = {10.1145/3126594.3126634}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/WuWLSWCKHLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/WuCLLW17, author = {Chia{-}Heng Wu and Ting{-}Sheng Chen and Ding{-}Yuan Lee and Tsung{-}Te Liu and An{-}Yeu Wu}, title = {Low-latency Voltage-Racing Winner-Take-All {(VR-WTA)} circuit for acceleration of learning engine}, booktitle = {2017 International Symposium on {VLSI} Design, Automation and Test, {VLSI-DAT} 2017, Hsinchu, Taiwan, April 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VLSI-DAT.2017.7939641}, doi = {10.1109/VLSI-DAT.2017.7939641}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/WuCLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LinLL17, author = {Yeh{-}Cheng Lin and Chia{-}Peng Lee and Phone Lin}, title = {A Study on Networking Functionalities and Challenges for Machine-to-Machine Mobile Networks}, booktitle = {86th {IEEE} Vehicular Technology Conference, {VTC} Fall 2017, Toronto, ON, Canada, September 24-27, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCFall.2017.8288379}, doi = {10.1109/VTCFALL.2017.8288379}, timestamp = {Mon, 20 Dec 2021 11:29:16 +0100}, biburl = {https://dblp.org/rec/conf/vtc/LinLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/HuangLWNCWLC17, author = {Yu{-}Hao Huang and Ching{-}Ho Lu and Tse{-}Wei Wu and Yu{-}Teng Nien and Ying{-}Yen Chen and Max Wu and Jih{-}Nung Lee and Mango C.{-}T. Chao}, title = {Methodology of generating dual-cell-aware tests}, booktitle = {35th {IEEE} {VLSI} Test Symposium, {VTS} 2017, Las Vegas, NV, USA, April 9-12, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/VTS.2017.7928925}, doi = {10.1109/VTS.2017.7928925}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/HuangLWNCWLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChangCLL17, author = {Cheng{-}Shang Chang and Chia{-}Tai Chang and Duan{-}Shin Lee and Li{-}Heng Liou}, title = {K-sets+: a Linear-time Clustering Algorithm for Data Points with a Sparse Similarity Measure}, journal = {CoRR}, volume = {abs/1705.04249}, year = {2017}, url = {http://arxiv.org/abs/1705.04249}, eprinttype = {arXiv}, eprint = {1705.04249}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChangCLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChungTLL17, author = {Cheng{-}Tao Chung and Cheng{-}Yu Tsai and Chia{-}Hsiang Liu and Lin{-}Shan Lee}, title = {Unsupervised Iterative Deep Learning of Speech Features and Acoustic Tokens with Applications to Spoken Term Detection}, journal = {CoRR}, volume = {abs/1707.05315}, year = {2017}, url = {http://arxiv.org/abs/1707.05315}, eprinttype = {arXiv}, eprint = {1707.05315}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChungTLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenL16, author = {Yi{-}Chung Chen and Chiang Lee}, title = {Skyline Path Queries With Aggregate Attributes}, journal = {{IEEE} Access}, volume = {4}, pages = {4690--4706}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2602702}, doi = {10.1109/ACCESS.2016.2602702}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLL16, author = {Chi{-}Hua Chen and Chi{-}Ao Lee and Chi{-}Chun Lo}, title = {Vehicle Localization and Velocity Estimation Based on Mobile Phone Sensing}, journal = {{IEEE} Access}, volume = {4}, pages = {803--817}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2530806}, doi = {10.1109/ACCESS.2016.2530806}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiLLLC16, author = {Tzuu{-}Hseng S. Li and Ming{-}Han Lee and Chia{-}Wei Lin and Guan{-}Hong Liou and Wei{-}Chung Chen}, title = {Design of Autonomous and Manual Driving System for 4WIS4WID Vehicle}, journal = {{IEEE} Access}, volume = {4}, pages = {2256--2271}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2548081}, doi = {10.1109/ACCESS.2016.2548081}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiLLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/JuangCLL16, author = {Chia{-}Feng Juang and Guo{-}Cyuan Chen and Chung{-}Wei Liang and Demei Lee}, title = {Stereo-camera-based object detection using fuzzy color histograms and a fuzzy classifier with depth and shape estimations}, journal = {Appl. Soft Comput.}, volume = {46}, pages = {753--766}, year = {2016}, url = {https://doi.org/10.1016/j.asoc.2015.10.025}, doi = {10.1016/J.ASOC.2015.10.025}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/JuangCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/HungCCLCLLHL16, author = {Sheng{-}Jou Hung and Yi{-}Lin Chen and Chia{-}Hung Chu and Chuan{-}Chun Lee and Wan{-}Li Chen and Ya{-}Lan Lin and Ming{-}Ching Lin and Chung{-}Liang Ho and Tsunglin Liu}, title = {TRIg: a robust alignment pipeline for non-regular T-cell receptor and immunoglobulin sequences}, journal = {{BMC} Bioinform.}, volume = {17}, pages = {433}, year = {2016}, url = {https://doi.org/10.1186/s12859-016-1304-2}, doi = {10.1186/S12859-016-1304-2}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/HungCCLCLLHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/HuangCCHL16, author = {Shih{-}Chia Huang and Bo{-}Hao Chen and Sheng{-}Kai Chou and Jenq{-}Neng Hwang and Kuan{-}Hui Lee}, title = {Smart Car [Application Notes]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {11}, number = {4}, pages = {46--58}, year = {2016}, url = {https://doi.org/10.1109/MCI.2016.2601758}, doi = {10.1109/MCI.2016.2601758}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/HuangCCHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LeeWYWWCCWY16, author = {Chang{-}Shing Lee and Mei{-}Hui Wang and Shi{-}Jim Yen and Ting{-}Han Wei and I{-}Chen Wu and Ping{-}Chiang Chou and Chun{-}Hsun Chou and Ming{-}Wan Wang and Tai{-}Hsiung Yang}, title = {Human vs. Computer Go: Review and Prospect [Discussion Forum]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {11}, number = {3}, pages = {67--72}, year = {2016}, url = {https://doi.org/10.1109/MCI.2016.2572559}, doi = {10.1109/MCI.2016.2572559}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cim/LeeWYWWCCWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ShihWLCCL16, author = {Kao{-}Shang Shih and Pei{-}Wei Weng and Shang{-}Chih Lin and Yi{-}Tzu Chen and Cheng{-}Kung Cheng and Chian{-}Her Lee}, title = {Biomechanical comparison between concentrated, follower, and muscular loads of the lumbar column}, journal = {Comput. Methods Programs Biomed.}, volume = {135}, pages = {209--218}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.07.021}, doi = {10.1016/J.CMPB.2016.07.021}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ShihWLCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/LinCWLLPW16, author = {Bing{-}Yang Lin and Wan{-}Ting Chiang and Cheng{-}Wen Wu and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Configurable Cubical Redundancy Schemes for Channel-Based 3-D {DRAM} Yield Improvement}, journal = {{IEEE} Des. Test}, volume = {33}, number = {2}, pages = {30--39}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2455347}, doi = {10.1109/MDAT.2015.2455347}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/LinCWLLPW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChangL16, author = {Chia{-}Lun Chang and Tai{-}Cheng Lee}, title = {A Compact Multi-Input Power Conversion System with High Time-Efficiency Inductor-Sharing Technique for Thermoelectric Energy Harvesting Applications}, journal = {J. Circuits Syst. Comput.}, volume = {25}, number = {1}, pages = {1640007:1--1640007:18}, year = {2016}, url = {https://doi.org/10.1142/S0218126616400077}, doi = {10.1142/S0218126616400077}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ChangL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JuLLCCWWLHCLCLC16, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen}, title = {A 0.5 nJ/Pixel 4 {K} {H.265/HEVC} Codec {LSI} for Multi-Format Smartphone Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {56--67}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2465857}, doi = {10.1109/JSSC.2015.2465857}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JuLLCCWWLHCLCLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/MaLCL16, author = {Shang{-}Pin Ma and Wen{-}Tin Lee and Ping{-}Chang Chen and Chi{-}Chia Li}, title = {Framework for Enhancing Mobile Availability of RESTful Services - {A} Connectivity-Aware and Risk-Driven Approach}, journal = {Mob. Networks Appl.}, volume = {21}, number = {2}, pages = {337--351}, year = {2016}, url = {https://doi.org/10.1007/s11036-015-0655-7}, doi = {10.1007/S11036-015-0655-7}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/MaLCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChouCSHLLYHWTTH16, author = {Chih{-}Hung Chou and Nai{-}Wen Chang and Sirjana Shrestha and Sheng{-}Da Hsu and Yu{-}Ling Lin and Wei{-}Hsiang Lee and Chi{-}Dung Yang and Hsiao{-}Chin Hong and Ting{-}Yen Wei and Siang{-}Jyun Tu and Tzi{-}Ren Tsai and Shu{-}Yi Ho and Ting{-}Yan Jian and Hsin{-}Yi Wu and Pin{-}Rong Chen and Nai{-}Chieh Lin and Hsin{-}Tzu Huang and Tzu{-}Ling Yang and Chung{-}Yuan Pai and Chun{-}San Tai and Wen{-}Liang Chen and Chia{-}Yen Huang and Chun{-}Chi Liu and Shun{-}Long Weng and Kuang{-}Wen Liao and Wen{-}Lian Hsu and Hsien{-}Da Huang}, title = {miRTarBase 2016: updates to the experimentally validated miRNA-target interactions database}, journal = {Nucleic Acids Res.}, volume = {44}, number = {Database-Issue}, pages = {239--247}, year = {2016}, url = {https://doi.org/10.1093/nar/gkv1258}, doi = {10.1093/NAR/GKV1258}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChouCSHLLYHWTTH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungYLLTLWWTTC16, author = {Tien{-}Kan Chung and Po{-}Chen Yeh and Hao Lee and Cheng{-}Mao Lin and Chia{-}Yung Tseng and Wen{-}Tuan Lo and Chieh{-}Min Wang and Wen{-}Chin Wang and Chi{-}Jen Tu and Pei{-}Yuan Tasi and Jui{-}Wen Chang}, title = {An Attachable Electromagnetic Energy Harvester Driven Wireless Sensing System Demonstrating Milling-Processes and Cutter-Wear/Breakage-Condition Monitoring}, journal = {Sensors}, volume = {16}, number = {3}, pages = {269}, year = {2016}, url = {https://doi.org/10.3390/s16030269}, doi = {10.3390/S16030269}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungYLLTLWWTTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/FangLC16, author = {Wen{-}Hsien Fang and Yi{-}Chiao Lee and Yie{-}Tarng Chen}, title = {Importance Sampling-Based Maximum Likelihood Estimation for Multidimensional Harmonic Retrieval}, journal = {{IEEE} Signal Process. Lett.}, volume = {23}, number = {1}, pages = {35--39}, year = {2016}, url = {https://doi.org/10.1109/LSP.2015.2498195}, doi = {10.1109/LSP.2015.2498195}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/FangLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LaiLCKC16, author = {Bo{-}Cheng Charles Lai and Chia{-}Ying Lee and Tsou{-}Han Chiu and Hsien{-}Kai Kuo and Chun{-}Kai Chang}, title = {Unified Designs for High Performance {LDPC} Decoding on {GPGPU}}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {12}, pages = {3754--3765}, year = {2016}, url = {https://doi.org/10.1109/TC.2016.2547379}, doi = {10.1109/TC.2016.2547379}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LaiLCKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LaiJLCCTL16, author = {Shin{-}Chi Lai and Wen{-}Ho Juang and Yueh{-}Shu Lee and Shin{-}Hao Chen and Ke{-}Horng Chen and Chia{-}Chun Tsai and Chiung{-}Hon Lee}, title = {Hybrid Architecture Design for Calculating Variable-Length Fourier Transform}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {3}, pages = {279--283}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2015.2482238}, doi = {10.1109/TCSII.2015.2482238}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LaiJLCCTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeHY16, author = {Cheng{-}Yen Lee and Ping{-}Hsuan Hsieh and Chia{-}Hsiang Yang}, title = {A Standard-Cell-Design-Flow Compatible Energy-Recycling Logic With 70{\%} Energy Saving}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {1}, pages = {70--79}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2015.2510620}, doi = {10.1109/TCSI.2015.2510620}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/LeeHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinTCCL16, author = {Chia{-}Lung Lin and Shu{-}Wen Tu and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {An Efficient Decoder Architecture for Nonbinary {LDPC} Codes With Extended Min-Sum Algorithm}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {9}, pages = {863--867}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2534820}, doi = {10.1109/TCSII.2016.2534820}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LinTCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinWHWL16, author = {Chin{-}Yu Lin and Chien{-}Heng Wong and Chia{-}Hau Hsu and Yen{-}Hsin Wei and Tai{-}Cheng Lee}, title = {A 200-MS/s Phase-Detector-Based Comparator With 400-{\(\mu\)}V\({}_{\mbox{rms}}\) Noise}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {9}, pages = {813--817}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2534678}, doi = {10.1109/TCSII.2016.2534678}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LinWHWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/LaiLCB16, author = {I{-}Wei Lai and Chia{-}Han Lee and Kwang{-}Cheng Chen and Ezio Biglieri}, title = {Open-Loop End-to-End Transmission for Multihop Opportunistic Networks With Energy-Harvesting Devices}, journal = {{IEEE} Trans. Commun.}, volume = {64}, number = {7}, pages = {2860--2872}, year = {2016}, url = {https://doi.org/10.1109/TCOMM.2016.2574858}, doi = {10.1109/TCOMM.2016.2574858}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/LaiLCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/ZhengHTHL16, author = {Liang Zheng and Y.{-}W. Peter Hong and Chee Wei Tan and Cheng{-}Lin Hsieh and Chia{-}Han Lee}, title = {Wireless Max-Min Utility Fairness With General Monotonic Constraints by Perron-Frobenius Theory}, journal = {{IEEE} Trans. Inf. Theory}, volume = {62}, number = {12}, pages = {7283--7298}, year = {2016}, url = {https://doi.org/10.1109/TIT.2016.2615183}, doi = {10.1109/TIT.2016.2615183}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/ZhengHTHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LeeTYCH16, author = {Gwo Giun Lee and Tzu{-}Chiang Tai and Wei{-}Chiao Yang and Chun{-}Fu Chen and Chun{-}Hsi Huang}, title = {Reconfigurable Interpolation Architecture for Multistandard Video Decoding}, journal = {J. Signal Process. Syst.}, volume = {84}, number = {2}, pages = {251--264}, year = {2016}, url = {https://doi.org/10.1007/s11265-015-1053-x}, doi = {10.1007/S11265-015-1053-X}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/LeeTYCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/LiangCLLYC16, author = {Hao{-}Wen Liang and Hsiu{-}Chi Chen and Chien{-}Hung Lin and Chia{-}Lin Lee and Shan{-}Chun Yang and Kuan{-}Neng Chen}, title = {The influence of device morphology on wafer-level bonding with polymer-coated layer}, booktitle = {2016 {IEEE} International 3D Systems Integration Conference, 3DIC 2016, San Francisco, CA, USA, November 8-11, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/3DIC.2016.7970009}, doi = {10.1109/3DIC.2016.7970009}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/LiangCLLYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LinLCCL16, author = {Chia{-}Lung Lin and Rong{-}Jie Liu and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 7.72 Gb/s {LDPC-CC} decoder with overlapped architecture for pre-5G wireless communications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {337--340}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844204}, doi = {10.1109/ASSCC.2016.7844204}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LinLCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/HoLTLL16, author = {Yu{-}Lung Ho and Wei{-}Yang Lin and Chia{-}Ling Tsai and Cheng{-}Chia Lee and Chih{-}Yang Lin}, title = {Automatic Brain Extraction for T1-Weighted Magnetic Resonance Images Using Region Growing}, booktitle = {16th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2016, Taichung, Taiwan, October 31 - November 2, 2016}, pages = {250--253}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BIBE.2016.42}, doi = {10.1109/BIBE.2016.42}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/HoLTLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/LinLCHC16, author = {Yu{-}Shan Lin and Sheng{-}Cheng Lee and Yu{-}Jui Chen and Chia{-}Ming Huang and Herming Chiueh}, title = {Live demonstration: {A} wireless multi-channel physiological signal acquisition system-on-chip for wearable devices}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2016, Shanghai, China, October 17-19, 2016}, pages = {128}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BioCAS.2016.7833742}, doi = {10.1109/BIOCAS.2016.7833742}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/LinLCHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeCGCC16, author = {Tzu{-}I Lee and Yih{-}Harn Chiang and Jiayi Guo and Mu{-}Tsz Chen and Yue Chen}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Dot-it: Managing Nausea and Vomiting for {A} Peaceful Pregnancy with Personal Pattern Exploration}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016, Extended Abstracts}, pages = {20--25}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2851581.2890631}, doi = {10.1145/2851581.2890631}, timestamp = {Wed, 01 Jun 2022 08:38:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeCGCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoon/ChenCHHLW16, author = {Li{-}Hsuan Chen and Dun{-}Wei Cheng and Sun{-}Yuan Hsieh and Ling{-}Ju Hung and Chia{-}Wei Lee and Bang Ye Wu}, editor = {Thang N. Dinh and My T. Thai}, title = {Approximation Algorithms for the Star k-Hub Center Problem in Metric Graphs}, booktitle = {Computing and Combinatorics - 22nd International Conference, {COCOON} 2016, Ho Chi Minh City, Vietnam, August 2-4, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9797}, pages = {222--234}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-42634-1\_18}, doi = {10.1007/978-3-319-42634-1\_18}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cocoon/ChenCHHLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ddecs/HuangCXLHC16, author = {Hong{-}Yi Huang and Kun{-}Yuan Chen and Jia{-}Hao Xie and Ming{-}Ta Lee and Hao{-}Chiao Hong and Kuo{-}Hsing Cheng}, title = {Gm-C filter with automatic calibration scheme}, booktitle = {2016 {IEEE} 19th International Symposium on Design and Diagnostics of Electronic Circuits {\&} Systems (DDECS), Kosice, Slovakia, April 20-22, 2016}, pages = {206--209}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/DDECS.2016.7482471}, doi = {10.1109/DDECS.2016.7482471}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ddecs/HuangCXLHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/HungFCCLL16, author = {Yu{-}Hsiang Hung and Sheng{-}Hsin Fang and Hung{-}Ming Chen and Shen{-}Min Chen and Chang{-}Tzu Lin and Chia{-}Hsin Lee}, editor = {Ayse K. Coskun and Martin Margala and Laleh Behjat and Jie Han}, title = {A New Methodology for Noise Sensor Placement Based on Association Rule Mining}, booktitle = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI, {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016}, pages = {81--86}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2902961.2902973}, doi = {10.1145/2902961.2902973}, timestamp = {Wed, 10 Mar 2021 14:55:38 +0100}, biburl = {https://dblp.org/rec/conf/glvlsi/HungFCCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/ChiuCLHC16, author = {Po{-}Kai Chiu and Donyau Chiang and Chao{-}Te Lee and Chien{-}Nan Hsiao and Fong{-}Zhi Chen}, title = {Relative reflectivity uncertainty evaluation for a broadband spectrophotometer system}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2016, Proceedings, Taipei, Taiwan, May 23-26, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/I2MTC.2016.7520515}, doi = {10.1109/I2MTC.2016.7520515}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/ChiuCLHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/LinTC16, author = {Ray{-}Lee Lin and Chia{-}Hao Tsai and Nian{-}Ci Chen}, title = {Design and implementation of ferroresonant transformer for {LED} driver systems}, booktitle = {2016 {IEEE} Industry Applications Society Annual Meeting, Portland, OR, USA, October 2-6, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IAS.2016.7731891}, doi = {10.1109/IAS.2016.7731891}, timestamp = {Tue, 06 Jul 2021 18:52:58 +0200}, biburl = {https://dblp.org/rec/conf/iasam/LinTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KaoLHLKS16, author = {Wen{-}Chung Kao and Chun{-}Yi Lin and Chen{-}Chien J. Hsu and Chia{-}Yi Lee and Bai{-}Yueh Ke and Ting{-}Yi Su}, title = {Optimal iris region matching and gaze point calibration for real-time eye tracking systems}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2016, Las Vegas, NV, USA, January 7-11, 2016}, pages = {443--444}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE.2016.7430684}, doi = {10.1109/ICCE.2016.7430684}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KaoLHLKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icebe/MaLFLH16, author = {Shang{-}Pin Ma and Chi{-}Chia Li and Chen{-}Yuan Fan and Wen{-}Tin Lee and Nien{-}Lin Hsueh}, editor = {Jingzhi Guo and Hongming Cai and Xiang Fei and Kuo{-}Ming Chao and Jen{-}Yao Chung}, title = {{MASA:} {A} Cross-Platform Component Architecture for Building Mobile Applications with Service Caching}, booktitle = {13th {IEEE} International Conference on e-Business Engineering, {ICEBE} 2016, Macau, China, November 4-6, 2016}, pages = {264--269}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICEBE.2016.052}, doi = {10.1109/ICEBE.2016.052}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icebe/MaLFLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeeH16, author = {Chia{-}Chen Lee and Wen{-}Liang Hwang}, title = {Sparse representation of a blur kernel for out-of-focus blind image restoration}, booktitle = {2016 {IEEE} International Conference on Image Processing, {ICIP} 2016, Phoenix, AZ, USA, September 25-28, 2016}, pages = {2698--2702}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIP.2016.7532849}, doi = {10.1109/ICIP.2016.7532849}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LeeH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/YangLYSCC16, author = {Jen{-}An Yang and Chia{-}Han Lee and Shao{-}Wen Yang and V. Srinivasa Somayazulu and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {Wearable social camera: Egocentric video summarization for social interaction}, booktitle = {2016 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2016, Seattle, WA, USA, July 11-15, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICMEW.2016.7574681}, doi = {10.1109/ICMEW.2016.7574681}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/YangLYSCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ChiaPL16, author = {Liping Sharon Chia and Suresh Palale and Pooi See Lee}, title = {Thickness-dependent sensitivity of Copper Phthalocyanine chemiresistive Nitrogen Dioxide sensors}, booktitle = {2016 {IEEE} SENSORS, Orlando, FL, USA, October 30 - November 3, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICSENS.2016.7808405}, doi = {10.1109/ICSENS.2016.7808405}, timestamp = {Wed, 28 Dec 2022 14:09:32 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/ChiaPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/inlg/LeeH16, author = {Chia{-}Chen Lee and Shu{-}Kai Hsieh}, editor = {Amy Isard and Verena Rieser and Dimitra Gkatzia}, title = {Evaluative Pattern Extraction for Automated Text Generation}, booktitle = {{INLG} 2016 - Proceedings of the Ninth International Natural Language Generation Conference, September 5-8, 2016, Edinburgh, {UK}}, pages = {99--103}, publisher = {The Association for Computer Linguistics}, year = {2016}, url = {https://doi.org/10.18653/v1/w16-6617}, doi = {10.18653/V1/W16-6617}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/inlg/LeeH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/LinLSLL16, author = {Tzu{-}Ting Lin and Chun{-}Ju Lin and Chia{-}Min Su and Yi{-}Chun Lin and Huang{-}Chen Lee}, title = {Poster Abstract: Exploiting Temporal Variation of Received Radio Signal Strength for Indoor Human Tracking}, booktitle = {15th {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2016, Vienna, Austria, April 11-14, 2016}, pages = {50:1--50:2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IPSN.2016.7460703}, doi = {10.1109/IPSN.2016.7460703}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipsn/LinLSLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/LeeCYL16, author = {Yen{-}Chi Lee and Chiun{-}Chuan Chen and Ping{-}Cheng Yeh and Chia{-}Han Lee}, title = {Distribution of first arrival position in molecular communication}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2016, Barcelona, Spain, July 10-15, 2016}, pages = {1033--1037}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISIT.2016.7541456}, doi = {10.1109/ISIT.2016.7541456}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/LeeCYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nana/LeeCCCL16, author = {Ru{-}Hung Lee and An{-}Yi Chen and Chih{-}Chung Chiang and Yu{-}Shan Athena Chen and Chun{-}Hung Liu}, title = {A Preliminary Design and Implementation of Location-Based Mobile Advertising Schemes with Plot Placement Animation over a Cyber-Physical System}, booktitle = {International Conference on Networking and Network Applications, NaNA 2016, Hakodate City, Hokkaido, Japan, July 23-25, 2016}, pages = {196--201}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NaNA.2016.89}, doi = {10.1109/NANA.2016.89}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nana/LeeCCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChiuCLHWC16, author = {Po{-}Kai Chiu and Donyau Chiang and Chao{-}Te Lee and Chien{-}Nan Hsiao and Zheng{-}Han Wu and Chien{-}Yue Chen}, title = {Development of high-performance parallel exposure I-line {UV} light source}, booktitle = {11th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2016, Sendai, Japan, April 17-20, 2016}, pages = {396--400}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NEMS.2016.7758276}, doi = {10.1109/NEMS.2016.7758276}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChiuCLHWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeLKH16, author = {Chia{-}Yi Lee and Kin Fong Lei and Cheng{-}Lung Ku and Chia{-}Hao Huang}, title = {Development of bionic invasion membrane for the study of multiple sclerosis}, booktitle = {11th {IEEE} Annual International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2016, Sendai, Japan, April 17-20, 2016}, pages = {370--374}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NEMS.2016.7758270}, doi = {10.1109/NEMS.2016.7758270}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LeeLKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntcir/DayTCLCSTCHCTLL16, author = {Min{-}Yuh Day and Cheng{-}Chia Tsai and Wei{-}Chun Chuang and Jin{-}Kun Lin and Hsiu{-}Yuan Chang and Tzu{-}Jui Sun and Yuan{-}Jie Tsai and Yi{-}Heng Chiang and Cheng{-}Zhi Han and Wei{-}Ming Chen and Yun{-}Da Tsai and Yi{-}Jing Lin and Yue{-}Da Lin and Yu{-}Ming Guo and Ching{-}Yuan Chien and Cheng{-}Hung Lee}, editor = {Noriko Kando and Tetsuya Sakai and Mark Sanderson}, title = {{IMTKU} Question Answering System for World History Exams at {NTCIR-12} {QA} Lab2}, booktitle = {Proceedings of the 12th {NTCIR} Conference on Evaluation of Information Access Technologies, National Center of Sciences, Tokyo, Japan, June 7-10, 2016}, publisher = {National Institute of Informatics {(NII)}}, year = {2016}, url = {http://research.nii.ac.jp/ntcir/workshop/OnlineProceedings12/pdf/ntcir/QALAB/05-NTCIR12-QALAB-DayM.pdf}, timestamp = {Wed, 01 Jun 2022 17:01:01 +0200}, biburl = {https://dblp.org/rec/conf/ntcir/DayTCLCSTCHCTLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/HuangWLLLH16, author = {Tzu{-}Yun Huang and Hsiao{-}Han Wu and Chia{-}Chen Lee and Shao{-}Man Lee and Guan{-}Wei Li and Shu{-}Kai Hsieh}, editor = {Chung{-}Hsien Wu and Yuen{-}Hsien Tseng and Hung{-}Yu Kao and Lun{-}Wei Ku and Yu Tsao and Shih{-}Hung Wu}, title = {Crowdsourcing Experiment Designs for Chinese Word Sense Annotation}, booktitle = {Proceedings of the 28th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2016, National Cheng Kung University, Tainan, Taiwan, October 6-7, 2015}, publisher = {Association for Computational Linguistics and Chinese Language Processing (ACLCLP), Taiwan}, year = {2016}, url = {https://aclanthology.org/O16-1009/}, timestamp = {Tue, 30 Jul 2024 08:36:43 +0200}, biburl = {https://dblp.org/rec/conf/rocling/HuangWLLLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/YenYMCLCL16, author = {Shao{-}Wei Yen and Chih{-}Kuo Yeh and Charles C. Morace and Sheng{-}Yuan Chen and Shih{-}Syun Lin and Chia{-}Hsiang Chen and Tong{-}Yee Lee}, editor = {Johannes Kopf and Phillip Chi{-}Wing Fu}, title = {Content enhanced word art with depth perception}, booktitle = {{SIGGRAPH} {ASIA} 2016, Macao, December 5-8, 2016 - Posters}, pages = {51}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3005280}, timestamp = {Mon, 03 Jul 2023 17:35:02 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/YenYMCLCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeWCL16, author = {Kuan{-}Ru Lee and Chao{-}Cheng Wu and Yung{-}Hsiao Chiang and Jiannher Lin}, title = {Evaluation of band generation process for classification of cerebrospinal fluid in magnetic resonance images}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {4305--4310}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844908}, doi = {10.1109/SMC.2016.7844908}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeWCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tale/WangCKTCCCLLC16, author = {Yen{-}Yin Wang and Yu{-}Chun Cheng and Chieh{-}Ju Kuo and I{-}Chang Tsai and Min{-}Tsuei Chen and Chin{-}Yu Chou and Yung{-}Hsuan Chen and Jinn{-}Bao Lee and John Laio and Chia{-}Heng Chen}, title = {Equal learning rights for the new generation - a study on the innovation of interactive live webcasting by the Small School Alliance}, booktitle = {{IEEE} International Conference on Teaching, Assessment, and Learning for Engineering, {TALE} 2016, Bangkok, Thailand, December 7-9, 2016}, pages = {70--76}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TALE.2016.7851773}, doi = {10.1109/TALE.2016.7851773}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/tale/WangCKTCCCLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ChenHHLHLCH16, author = {Yang{-}Sheng Chen and Ping{-}Hsuan Han and Jui{-}Chun Hsiao and Kong{-}Chang Lee and Chiao{-}En Hsieh and Kuan{-}Yin Lu and Chien{-}Hsing Chou and Yi{-}Ping Hung}, editor = {Jun Rekimoto and Takeo Igarashi and Jacob O. Wobbrock and Daniel Avrahami}, title = {SoEs: Attachable Augmented Haptic on Gaming Controller for Immersive Interaction}, booktitle = {Proceedings of the 29th Annual Symposium on User Interface Software and Technology, {UIST} 2016 Adjunct Volume, Tokyo, Japan, October 16 - 19, 2016}, pages = {71--72}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2984751.2985707}, doi = {10.1145/2984751.2985707}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/ChenHHLHLCH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vts/TsaiLLYLHCKLC16, author = {Chih{-}Ying Tsai and Kao{-}Chi Lee and Chien{-}Hsueh Lin and Sung{-}Chu Yu and Wen{-}Rong Liau and Alex Chun{-}Liang Hou and Ying{-}Yen Chen and Chun{-}Yi Kuo and Jih{-}Nung Lee and Mango C.{-}T. Chao}, title = {Predicting Vt mean and variance from parallel Id measurement with model-fitting technique}, booktitle = {34th {IEEE} {VLSI} Test Symposium, {VTS} 2016, Las Vegas, NV, USA, April 25-27, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/VTS.2016.7477268}, doi = {10.1109/VTS.2016.7477268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vts/TsaiLLYLHCKLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LiuMLQC16, author = {Chen{-}Feng Liu and Marco Maso and Chia{-}Han Lee and Tony Q. S. Quek and Leonardo S. Cardoso}, title = {Enhancing full-duplex information transfer by {RF} energy harvesting}, booktitle = {{IEEE} Wireless Communications and Networking Conference Workshops, {WCNC} Workshops 2016, Doha, Qatar, April 3-6, 2016}, pages = {333--339}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WCNCW.2016.7552721}, doi = {10.1109/WCNCW.2016.7552721}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/LiuMLQC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/LiuMLQC16a, author = {Chen{-}Feng Liu and Marco Maso and Chia{-}Han Lee and Tony Q. S. Quek and Leonardo S. Cardoso}, title = {Enhancing full-duplex information transfer by {RF} energy harvesting}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2016, Doha, Qatar, April 3-6, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WCNC.2016.7564692}, doi = {10.1109/WCNC.2016.7564692}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/LiuMLQC16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisnet/ChangWJCFL16, author = {Yen Chih Chang and Chia{-}Chun Wang and Wen{-}De Jian and Chia{-}Chan Chang and Guo{-}Hua Feng and Huang{-}Chen Lee}, title = {Wireless sensors for intelligent ball screws monitoring}, booktitle = {{IEEE} Topical Conference on Wireless Sensors and Sensor Networks, WiSNet 2016, Austin, TX, USA, January 24-27, 2016}, pages = {44--47}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WISNET.2016.7444318}, doi = {10.1109/WISNET.2016.7444318}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/wisnet/ChangWJCFL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChungTLLLL16, author = {Cheng{-}Tao Chung and Cheng{-}Yu Tsai and Hsiang{-}Hung Lu and Chia{-}Hsiang Liu and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {An Iterative Deep Learning Framework for Unsupervised Discovery of Speech Features and Linguistic Units with Applications on Spoken Term Detection}, journal = {CoRR}, volume = {abs/1602.00426}, year = {2016}, url = {http://arxiv.org/abs/1602.00426}, eprinttype = {arXiv}, eprint = {1602.00426}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChungTLLLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LeeWYWWCCWY16, author = {Chang{-}Shing Lee and Mei{-}Hui Wang and Shi{-}Jim Yen and Ting{-}Han Wei and I{-}Chen Wu and Ping{-}Chiang Chou and Chun{-}Hsun Chou and Ming{-}Wan Wang and Tai{-}Hsiung Yang}, title = {Human vs. Computer Go: Review and Prospect}, journal = {CoRR}, volume = {abs/1606.02032}, year = {2016}, url = {http://arxiv.org/abs/1606.02032}, eprinttype = {arXiv}, eprint = {1606.02032}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LeeWYWWCCWY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiuMLLQ16, author = {Chen{-}Feng Liu and Marco Maso and Subhash Lakshminarayana and Chia{-}Han Lee and Tony Q. S. Quek}, title = {Simultaneous Wireless Information and Power Transfer Under Different {CSI} Acquisition Schemes}, journal = {CoRR}, volume = {abs/1605.05639}, year = {2016}, url = {http://arxiv.org/abs/1605.05639}, eprinttype = {arXiv}, eprint = {1605.05639}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiuMLLQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MasoLLQC16, author = {Marco Maso and Chen{-}Feng Liu and Chia{-}Han Lee and Tony Q. S. Quek and Leonardo S. Cardoso}, title = {Energy-Recycling Full-Duplex Radios for Next-Generation Networks}, journal = {CoRR}, volume = {abs/1605.05633}, year = {2016}, url = {http://arxiv.org/abs/1605.05633}, eprinttype = {arXiv}, eprint = {1605.05633}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MasoLLQC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangLCYS15, author = {Wei Wang and Chia{-}Han Lee and Lin Chen and F. Richard Yu and Hsuan{-}Jung Su}, title = {{IEEE} Access Special Section Editorial: Emerging Cloud-Based Wireless Communications and Networks}, journal = {{IEEE} Access}, volume = {3}, pages = {3122--3124}, year = {2015}, url = {https://doi.org/10.1109/ACCESS.2016.2517298}, doi = {10.1109/ACCESS.2016.2517298}, timestamp = {Wed, 15 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangLCYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/KoL15, author = {Chia{-}Nan Ko and Cheng{-}Ming Lee}, title = {Image recognition using adaptive fuzzy neural network based on lifting scheme of wavelet}, journal = {Artif. Life Robotics}, volume = {20}, number = {4}, pages = {353--358}, year = {2015}, url = {https://doi.org/10.1007/s10015-015-0242-9}, doi = {10.1007/S10015-015-0242-9}, timestamp = {Thu, 26 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/alr/KoL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LiLCC15, author = {I{-}Hsum Li and Lian{-}Wang Lee and Hsin{-}Han Chiang and Pin{-}Cheng Chen}, title = {Intelligent switching adaptive control for uncertain nonlinear dynamical systems}, journal = {Appl. Soft Comput.}, volume = {34}, pages = {638--654}, year = {2015}, url = {https://doi.org/10.1016/j.asoc.2015.04.057}, doi = {10.1016/J.ASOC.2015.04.057}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/LiLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/ChangCCMLCP15, author = {Fong{-}Ching Chang and Chiung{-}Hui Chiu and Ping{-}Hung Chen and Nae{-}Fang Miao and Ching{-}Mei Lee and Jengtung Chiang and Ying{-}Chun Pan}, title = {Relationship Between Parental and Adolescent eHealth Literacy and Online Health Information Seeking in Taiwan}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {18}, number = {10}, pages = {618--624}, year = {2015}, url = {https://doi.org/10.1089/cyber.2015.0110}, doi = {10.1089/CYBER.2015.0110}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/ChangCCMLCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChangWLWLLCLLHW15, author = {Hsin{-}Yi Chang and Chia{-}Yu Wang and Ming{-}Hsien Lee and Hsin{-}Kai Wu and Jyh{-}Chong Liang and Silvia Wen{-}Yu Lee and Guo{-}Li Chiou and Hao{-}Chang Lo and Jing{-}Wen Lin and Chung{-}Yuan Hsu and Ying{-}Tien Wu and Sufen Chen and Fu{-}Kwun Hwang and Chin{-}Chung Tsai}, title = {A review of features of technology-supported learning environments based on participants' perceptions}, journal = {Comput. Hum. Behav.}, volume = {53}, pages = {223--237}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2015.06.042}, doi = {10.1016/J.CHB.2015.06.042}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/ChangWLWLLCLLHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/HaoHCLINHYLLHLJ15, author = {Wen{-}Rui Hao and Yi{-}Hsin Elsa Hsu and Kuan{-}Chen Chen and Hsien{-}Chang Li and Usman Iqbal and Phung Anh Nguyen and Chih{-}Wei Huang and Hsuan{-}Chia Yang and Peisan Lee and Mei{-}Hsuan Li and Sharoon Lungile Hlatshwayo and Yu{-}Chuan (Jack) Li and Wen{-}Shan Jian}, title = {LabPush: {A} pilot study of providing remote clinics with laboratory results via short message service {(SMS)} in Swaziland, Africa - {A} qualitative study}, journal = {Comput. Methods Programs Biomed.}, volume = {118}, number = {1}, pages = {77--83}, year = {2015}, url = {https://doi.org/10.1016/j.cmpb.2014.10.005}, doi = {10.1016/J.CMPB.2014.10.005}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/HaoHCLINHYLLHLJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/LeeCH15, author = {Chia{-}Wei Lee and Pin{-}Liang Chen and Sun{-}Yuan Hsieh}, title = {Weight-constrained and density-constrained paths in a tree: Enumerating, counting, and k-maximum density paths}, journal = {Discret. Appl. Math.}, volume = {180}, pages = {126--134}, year = {2015}, url = {https://doi.org/10.1016/j.dam.2014.07.024}, doi = {10.1016/J.DAM.2014.07.024}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/LeeCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/es/ChenL15, author = {Yi{-}Chung Chen and Chiang Lee}, title = {Neural skyline filter for accelerating skyline search algorithms}, journal = {Expert Syst. J. Knowl. Eng.}, volume = {32}, number = {1}, pages = {108--131}, year = {2015}, url = {https://doi.org/10.1111/exsy.12065}, doi = {10.1111/EXSY.12065}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/es/ChenL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icga/WeiWLCTYL15, author = {Ting{-}Han Wei and I{-}Chen Wu and Chao{-}Chin Liang and Bing{-}Tsung Chiang and Wen{-}Jie Tseng and Shi{-}Jim Yen and Chang{-}Shing Lee}, title = {Job-Level Algorithms for Connect6 Opening Book Construction}, journal = {J. Int. Comput. Games Assoc.}, volume = {38}, number = {3}, pages = {165--179}, year = {2015}, url = {https://doi.org/10.3233/ICG-2015-38304}, doi = {10.3233/ICG-2015-38304}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icga/WeiWLCTYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChenLL15, author = {Pao{-}Lung Chen and Da{-}Chen Lee and Wei{-}Chia Li}, title = {Flying-Adder Frequency Synthesizer with a Novel Counter-Based Randomization Method}, journal = {{IEICE} Trans. Electron.}, volume = {98-C}, number = {6}, pages = {480--488}, year = {2015}, url = {https://doi.org/10.1587/transele.E98.C.480}, doi = {10.1587/TRANSELE.E98.C.480}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChenLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LeeMJCL15, author = {Chia{-}Yen Lee and Ardeshir Mahdavi and Joe{-}Air Jiang and Mark Ming{-}Cheng Cheng and Che{-}Hsin Lin}, title = {Sensors and Sensor Networks in Agriculture, Architecture, and Civil Engineering}, journal = {Int. J. Distributed Sens. Networks}, volume = {11}, pages = {839167:1}, year = {2015}, url = {https://doi.org/10.1155/2015/839167}, doi = {10.1155/2015/839167}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/LeeMJCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/LeeCPL15, author = {Chin{-}Feng Lee and Chin{-}Chen Chang and Pei{-}Yan Pai and Chia{-}Ming Liu}, title = {Adjustment Hiding Method Based on Exploiting Modification Direction}, journal = {Int. J. Netw. Secur.}, volume = {17}, number = {5}, pages = {607--618}, year = {2015}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v17-n5/ijns-2015-v17-n5-p607-618.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/LeeCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/LeeHPLCC15, author = {Lun{-}Hui Lee and Pei{-}Hsiang Huang and Shing{-}Tai Pan and Handra Wijaya Lie and Tung{-}Chien Chiang and Cheng{-}Yuan Chang}, title = {Applying vision feedback to crane controller design}, journal = {Int. J. Syst. Sci.}, volume = {46}, number = {2}, pages = {294--302}, year = {2015}, url = {https://doi.org/10.1080/00207721.2013.779762}, doi = {10.1080/00207721.2013.779762}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/LeeHPLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChenL15a, author = {Yi{-}Chung Chen and Chiang Lee}, title = {The {\(\sigma\)}-neighborhood skyline queries}, journal = {Inf. Sci.}, volume = {322}, pages = {92--114}, year = {2015}, url = {https://doi.org/10.1016/j.ins.2015.06.015}, doi = {10.1016/J.INS.2015.06.015}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ChenL15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jda/WeiHLP15, author = {Chia{-}Chen Wei and Sun{-}Yuan Hsieh and Chia{-}Wei Lee and Sheng{-}Lung Peng}, title = {An improved approximation algorithm for the partial-terminal Steiner tree problem with edge cost 1 or 2}, journal = {J. Discrete Algorithms}, volume = {35}, pages = {62--71}, year = {2015}, url = {https://doi.org/10.1016/j.jda.2015.10.003}, doi = {10.1016/J.JDA.2015.10.003}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jda/WeiHLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/LeeCHL15, author = {Ju{-}Hong Lee and Chia{-}Ching Chao and Chia{-}Cheng Huang and Wen{-}Chen Lo}, title = {Adaptive cyclostationary array beamforming with robust capabilities}, journal = {J. Frankl. Inst.}, volume = {352}, number = {6}, pages = {2486--2503}, year = {2015}, url = {https://doi.org/10.1016/j.jfranklin.2015.03.029}, doi = {10.1016/J.JFRANKLIN.2015.03.029}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/LeeCHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/Lee0PL15, author = {Chin{-}Feng Lee and Chin{-}Chen Chang and Pei{-}Yan Pai and Chia{-}Ming Liu}, title = {An Adjustable and Reversible Data Hiding Method Based on Multiple-base Notational System without Location Map}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {6}, number = {1}, pages = {1--28}, year = {2015}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2015/vol6/JIH-MSP-2015-01-001.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/Lee0PL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HuangTWCLW15, author = {Shou{-}Hung Huang and Nai{-}Chia Teng and Kung{-}Jeng Wang and Kun{-}Huang Chen and Hsin{-}Chien Lee and Pa{-}Chun Wang}, title = {Use of Oximetry as a Screening Tool for Obstructive Sleep Apnea: a Case Study in Taiwan}, journal = {J. Medical Syst.}, volume = {39}, number = {3}, pages = {29}, year = {2015}, url = {https://doi.org/10.1007/s10916-015-0195-5}, doi = {10.1007/S10916-015-0195-5}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HuangTWCLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/LaiZLT15, author = {I{-}Wei Lai and Liang Zheng and Chia{-}Han Lee and Chee Wei Tan}, title = {Beamforming Duality and Algorithms for Weighted Sum Rate Maximization in Cognitive Radio Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {33}, number = {5}, pages = {832--847}, year = {2015}, url = {https://doi.org/10.1109/JSAC.2014.2361079}, doi = {10.1109/JSAC.2014.2361079}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/LaiZLT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/MasoLLQC15, author = {Marco Maso and Chen{-}Feng Liu and Chia{-}Han Lee and Tony Q. S. Quek and Leonardo S. Cardoso}, title = {Energy-Recycling Full-Duplex Radios for Next-Generation Networks}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {33}, number = {12}, pages = {2948--2962}, year = {2015}, url = {https://doi.org/10.1109/JSAC.2015.2482058}, doi = {10.1109/JSAC.2015.2482058}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/MasoLLQC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChiangJHWCL15, author = {Ping{-}Chuan Chiang and Jhih{-}Yu Jiang and Hao{-}Wei Hung and Chin{-}Yang Wu and Gaun{-}Sing Chen and Jri Lee}, title = {4{\texttimes}25 Gb/s Transceiver With Optical Front-end for 100 GbE System in 65 nm {CMOS} Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {2}, pages = {573--585}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2365700}, doi = {10.1109/JSSC.2014.2365700}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChiangJHWCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCPCW15, author = {Jri Lee and Ping{-}Chuan Chiang and Pen{-}Jui Peng and Li{-}Yang Chen and Chih{-}Chi Weng}, title = {Design of 56 Gb/s {NRZ} and {PAM4} SerDes Transceivers in {CMOS} Technologies}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {9}, pages = {2061--2073}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2433269}, doi = {10.1109/JSSC.2015.2433269}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCPCW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/OuLSCC15, author = {Shun{-}Hsing Ou and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {On-Line Multi-View Video Summarization for Wireless Video Sensor Network}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {9}, number = {1}, pages = {165--179}, year = {2015}, url = {https://doi.org/10.1109/JSTSP.2014.2331916}, doi = {10.1109/JSTSP.2014.2331916}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/OuLSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChangLHHC15, author = {Tao{-}Chih Chang and Chang{-}Chun Lee and Chia{-}Ping Hsieh and Sheng{-}Che Hung and Ren{-}Shin Cheng}, title = {Electrical characteristics and reliability performance of {IGBT} power device packaging by chip embedding technology}, journal = {Microelectron. Reliab.}, volume = {55}, number = {12}, pages = {2582--2588}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.10.004}, doi = {10.1016/J.MICROREL.2015.10.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChangLHHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/BidelmanL15, author = {Gavin M. Bidelman and Chia{-}Cheng Lee}, title = {Effects of language experience and stimulus context on the neural organization and categorical perception of speech}, journal = {NeuroImage}, volume = {120}, pages = {191--200}, year = {2015}, url = {https://doi.org/10.1016/j.neuroimage.2015.06.087}, doi = {10.1016/J.NEUROIMAGE.2015.06.087}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/BidelmanL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/LinLLHL15, author = {Ying{-}Dar Lin and Yuan{-}Cheng Lai and Chun{-}Nan Lu and Peng{-}Kai Hsu and Chia{-}Yin Lee}, title = {Three-phase behavior-based detection and classification of known and unknown malware}, journal = {Secur. Commun. Networks}, volume = {8}, number = {11}, pages = {2004--2015}, year = {2015}, url = {https://doi.org/10.1002/sec.1148}, doi = {10.1002/SEC.1148}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/LinLLHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GongLHLLCTYLC15, author = {Cihun{-}Siyong Alex Gong and Hsin{-}Yi Lai and Sy{-}Han Huang and Yu{-}Chun Lo and Nicole Lee and Pin{-}Yuan Chen and Po{-}Hsun Tu and Chia{-}Yen Yang and James Chang{-}Chieh Lin and You{-}Yin Chen}, title = {A Programmable High-Voltage Compliance Neural Stimulator for Deep Brain Stimulation \emph{in Vivo}}, journal = {Sensors}, volume = {15}, number = {6}, pages = {12700--12719}, year = {2015}, url = {https://doi.org/10.3390/s150612700}, doi = {10.3390/S150612700}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GongLHLLCTYLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeCCL15, author = {Xin{-}Ru Lee and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 7.92 Gb/s 437.2 mW Stochastic {LDPC} Decoder Chip for {IEEE} 802.15.3c Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {2}, pages = {507--516}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2014.2360331}, doi = {10.1109/TCSI.2014.2360331}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeYCCL15, author = {Xin{-}Ru Lee and Chih{-}Wen Yang and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {An Area-Efficient Relaxed Half-Stochastic Decoding Architecture for Nonbinary {LDPC} Codes}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {3}, pages = {301--305}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2014.2368616}, doi = {10.1109/TCSII.2014.2368616}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeYCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinCCL15, author = {Chia{-}Lung Lin and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Jointly Designed Nonbinary {LDPC} Convolutional Codes and Memory-Based Decoder Architecture}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {10}, pages = {2523--2532}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2015.2471575}, doi = {10.1109/TCSI.2015.2471575}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LinCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WangCHHLLCHS15, author = {Chua{-}Chin Wang and Chih{-}Lin Chen and Zong{-}You Hou and Yi Hu and Jam{-}Wem Lee and Wan{-}Yen Lin and Yi{-}Feng Chang and Chia{-}Wei Hsu and Ming{-}Hsiang Song}, title = {A 60 {V} Tolerance Transceiver With {ESD} Protection for FlexRay-Based Communication Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {3}, pages = {752--760}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2014.2370192}, doi = {10.1109/TCSI.2014.2370192}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WangCHHLLCHS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmbmc/LinLLY15, author = {Yang{-}Kai Lin and Wei{-}An Lin and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {Asynchronous Threshold-Based Detection for Quantity-Type-Modulated Molecular Communication Systems}, journal = {{IEEE} Trans. Mol. Biol. Multi Scale Commun.}, volume = {1}, number = {1}, pages = {37--49}, year = {2015}, url = {https://doi.org/10.1109/TMBMC.2015.2465520}, doi = {10.1109/TMBMC.2015.2465520}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmbmc/LinLLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/YehTLL15, author = {Chia{-}Hung Yeh and Tsung{-}Yih Tseng and Cheng{-}Wei Lee and Chih{-}Yang Lin}, title = {Predictive Texture Synthesis-Based Intra Coding Scheme for Advanced Video Coding}, journal = {{IEEE} Trans. Multim.}, volume = {17}, number = {9}, pages = {1508--1514}, year = {2015}, url = {https://doi.org/10.1109/TMM.2015.2449659}, doi = {10.1109/TMM.2015.2449659}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/YehTLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ChungWFLCL15, author = {Szu{-}Chi Chung and Jing{-}Yu Wu and Hsing{-}Ping Fu and Jen{-}Wei Lee and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Efficient Hardware Architecture of {\(\eta\)}\({}_{\mbox{T}}\) Pairing Accelerator Over Characteristic Three}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {1}, pages = {88--97}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2303489}, doi = {10.1109/TVLSI.2014.2303489}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ChungWFLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YangLCL15, author = {Chi{-}Heng Yang and Yi{-}Min Lin and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {An MPCN-Based {BCH} Codec Architecture With Arbitrary Error Correcting Capability}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {23}, number = {7}, pages = {1235--1244}, year = {2015}, url = {https://doi.org/10.1109/TVLSI.2014.2338309}, doi = {10.1109/TVLSI.2014.2338309}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YangLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LaiLCB15, author = {I{-}Wei Lai and Chia{-}Han Lee and Kwang{-}Cheng Chen and Ezio Biglieri}, title = {Path-Permutation Codes for End-to-End Transmission in Ad Hoc Cognitive Radio Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {14}, number = {6}, pages = {3309--3321}, year = {2015}, url = {https://doi.org/10.1109/TWC.2015.2403848}, doi = {10.1109/TWC.2015.2403848}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/LaiLCB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LiuMLLQ15, author = {Chen{-}Feng Liu and Marco Maso and Subhash Lakshminarayana and Chia{-}Han Lee and Tony Q. S. Quek}, title = {Simultaneous Wireless Information and Power Transfer Under Different {CSI} Acquisition Schemes}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {14}, number = {4}, pages = {1911--1926}, year = {2015}, url = {https://doi.org/10.1109/TWC.2014.2376953}, doi = {10.1109/TWC.2014.2376953}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/LiuMLLQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/TsaiLLYC15, author = {Tsung{-}Yen Tsai and Chien{-}Hung Lin and Chia{-}Lin Lee and Shan{-}Chun Yang and Kuan{-}Neng Chen}, title = {An ultra-fast temporary bonding and release process based on thin photolysis polymer in 3D integration}, booktitle = {2015 International 3D Systems Integration Conference, 3DIC 2015, Sendai, Japan, August 31 - September 2, 2015}, pages = {TS8.8.1--TS8.8.5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/3DIC.2015.7334613}, doi = {10.1109/3DIC.2015.7334613}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/TsaiLLYC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/HuangLFHH15, author = {Yi{-}Ying Huang and Meng{-}Wei Lee and Tao{-}Ya Fan{-}Chiang and Xin Huang and Cheng{-}Hsin Hsu}, title = {Minimizing flow initialization latency in Software Defined Networks}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {303--308}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275444}, doi = {10.1109/APNOMS.2015.7275444}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/HuangLFHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ase-bigdata/HsiehLMLKD15, author = {Chih{-}Hung Hsieh and Kuo{-}Chen Lee and Ching{-}Hao Mao and Chia{-}Min Lai and Chiun{-}How Kao and Jyun{-}Han Dai}, title = {Sec-Buzzers: a Web Service for Exploring Cyber Security Emerging Topics based on Social Network Mining}, booktitle = {Proceedings of the {ASE} BigData {\&} SocialInformatics 2015, {ASE} BD{\&}SI 2015, Kaohsiung, Taiwan, October 7-9, 2015}, pages = {27:1--27:6}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2818869.2818897}, doi = {10.1145/2818869.2818897}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ase-bigdata/HsiehLMLKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChangLLHCKCTKCL15, author = {Meng{-}Fan Chang and Albert Lee and Chien{-}Chen Lin and Mon{-}Shu Ho and Ping{-}Cheng Chen and Chia{-}Chen Kuo and Ming{-}Pin Chen and Pei{-}Ling Tseng and Tzu{-}Kun Ku and Chien{-}Fu Chen and Kai{-}Shin Li and Jia{-}Min Shieh}, title = {Read circuits for resistive memory (ReRAM) and memristor-based nonvolatile Logics}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {569--574}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7059068}, doi = {10.1109/ASPDAC.2015.7059068}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChangLLHCKCTKCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChienCTLSC15, author = {Shao{-}Yi Chien and Wei{-}Kai Chan and Yu{-}Hsiang Tseng and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Distributed computing in IoT: System-on-a-chip for smart cameras as an example}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {130--135}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7058993}, doi = {10.1109/ASPDAC.2015.7058993}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChienCTLSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/ChungTLLLL15, author = {Cheng{-}Tao Chung and Cheng{-}Yu Tsai and Hsiang{-}Hung Lu and Chia{-}Hsiang Liu and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {An iterative deep learning framework for unsupervised discovery of speech features and linguistic units with applications on spoken term detection}, booktitle = {2015 {IEEE} Workshop on Automatic Speech Recognition and Understanding, {ASRU} 2015, Scottsdale, AZ, USA, December 13-17, 2015}, pages = {245--251}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASRU.2015.7404801}, doi = {10.1109/ASRU.2015.7404801}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/asru/ChungTLLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LaiSLHKYWLCL15, author = {Kelvin Yi{-}Tse Lai and Ming{-}Feng Shiu and Yi{-}Wen Lu and Yingchieh Ho and Yu{-}Chi Kao and Yu{-}Tao Yang and Gary Wang and Keng{-}Ming Liu and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A field-programmable lab-on-a-chip with built-in self-test circuit and low-power sensor-fusion solution in 0.35{\(\mu\)}m standard {CMOS} process}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2015, Xia'men, China, November 9-11, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASSCC.2015.7387477}, doi = {10.1109/ASSCC.2015.7387477}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LaiSLHKYWLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/LeeFC15, author = {Yi{-}Chiao Lee and Wen{-}Hsien Fang and Yie{-}Tarng Chen}, title = {Improved {HISS} technique for multidimensional harmonic retrieval problems}, booktitle = {{IEEE} China Summit and International Conference on Signal and Information Processing, ChinaSIP 2015, Chengdu, China, July 12-15, 2015}, pages = {109--112}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ChinaSIP.2015.7230372}, doi = {10.1109/CHINASIP.2015.7230372}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/chinasip/LeeFC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeYCCL15, author = {Xin{-}Ru Lee and Chih{-}Wen Yang and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, editor = {Wolfgang Pribyl and Franz Dielacher and Gernot Hueber}, title = {A 1.31Gb/s, 96.6{\%} utilization stochastic nonbinary {LDPC} decoder for small cell applications}, booktitle = {{ESSCIRC} Conference 2015 - 41\({}^{\mbox{st}}\) European Solid-State Circuits Conference, Graz, Austria, September 14-18, 2015}, pages = {96--99}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSCIRC.2015.7313837}, doi = {10.1109/ESSCIRC.2015.7313837}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeeYCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/ChenLC15, author = {Wei{-}Ren Chen and Chuan{-}Ren Lee and Jui{-}Chiu Chiang}, title = {Scene-aware high dynamic range imaging}, booktitle = {23rd European Signal Processing Conference, {EUSIPCO} 2015, Nice, France, August 31 - September 4, 2015}, pages = {609--613}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EUSIPCO.2015.7362455}, doi = {10.1109/EUSIPCO.2015.7362455}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/ChenLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiuMLQC15, author = {Chen{-}Feng Liu and Marco Maso and Chia{-}Han Lee and Tony Q. S. Quek and Leonardo S. Cardoso}, title = {A Novel Approach to Self-Interference Cancellation for Energy-Saving Full-Duplex Systems}, booktitle = {2015 {IEEE} Globecom Workshops, San Diego, CA, USA, December 6-10, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOMW.2015.7414058}, doi = {10.1109/GLOCOMW.2015.7414058}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LiuMLQC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiaoLLLC15, author = {Keng{-}Te Liao and Chia{-}Han Lee and Tzu{-}Ming Lin and Chien{-}Min Lee and Wen{-}Tsuen Chen}, title = {Non-orthogonal direct access for small data transmission in cellular {MTC} networks}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {2264--2270}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7248662}, doi = {10.1109/ICC.2015.7248662}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LiaoLLLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeLCLW15, author = {Yuan{-}Shan Lee and Rocky Lo and Chia{-}Yen Chen and Po{-}Chuan Lin and Jia{-}Ching Wang}, title = {News topics categorization using latent Dirichlet allocation and sparse representation classifier}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {136--137}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216819}, doi = {10.1109/ICCE-TW.2015.7216819}, timestamp = {Fri, 26 Nov 2021 09:37:33 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeLCLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/OuLSCC15, author = {Shun{-}Hsing Ou and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {Video sensor node with distributed video summary for Internet-of-Things applications}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {304--305}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216912}, doi = {10.1109/ICCE-TW.2015.7216912}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/OuLSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccst/HsiehLMKL15, author = {Chih{-}Hung Hsieh and Chia{-}Min Lai and Ching{-}Hao Mao and Tien{-}Cheu Kao and Kuo{-}Chen Lee}, title = {{AD2:} Anomaly detection on active directory log data for insider threat monitoring}, booktitle = {International Carnahan Conference on Security Technology, {ICCST} 2015, Taipei, Taiwan, September 21-24, 2015}, pages = {287--292}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CCST.2015.7389698}, doi = {10.1109/CCST.2015.7389698}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccst/HsiehLMKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icgec/YuanLF15, author = {Shyan{-}Ming Yuan and Chiou{-}Yng Lee and Chia{-}Chen Fan}, editor = {Thi Thi Zin and Jerry Chun{-}Wei Lin and Jeng{-}Shyang Pan and Pyke Tin and Mitsuhiro Yokota}, title = {Efficient Digit-Serial Multiplier Employing Karatsuba Algorithm}, booktitle = {Genetic and Evolutionary Computing - Proceedings of the Ninth International Conference on Genetic and Evolutionary Computing, {ICGEC} 2015, August 26-28, 2015, Yangon, Myanmar - Volume {II}}, series = {Advances in Intelligent Systems and Computing}, volume = {388}, pages = {221--231}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-23207-2\_22}, doi = {10.1007/978-3-319-23207-2\_22}, timestamp = {Tue, 07 Apr 2020 12:12:38 +0200}, biburl = {https://dblp.org/rec/conf/icgec/YuanLF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/HsiehTHCCL15, author = {Sun{-}Yuan Hsieh and I{-}Pien Tsai and Hao{-}Che Hung and Yi{-}Chun Chen and Hsin{-}Hung Chou and Chia{-}Wei Lee}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Abir Jaafar Hussain}, title = {An Enhanced Algorithm for Reconstructing a Phylogenetic Tree Based on the Tree Rearrangement and Maximum Likelihood Method}, booktitle = {Intelligent Computing Theories and Methodologies - 11th International Conference, {ICIC} 2015, Fuzhou, China, August 20-23, 2015, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9226}, pages = {530--541}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-22186-1\_53}, doi = {10.1007/978-3-319-22186-1\_53}, timestamp = {Sat, 19 Oct 2019 20:26:13 +0200}, biburl = {https://dblp.org/rec/conf/icic/HsiehTHCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/ChouLCCL15, author = {Chien{-}Li Chou and Chin{-}Hsien Lin and Tzu{-}Hsuan Chiang and Hua{-}Tsung Chen and Suh{-}Yin Lee}, title = {Coherent event-based surveillance video synopsis using trajectory clustering}, booktitle = {2015 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2015, Turin, Italy, June 29 - July 3, 2015}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICMEW.2015.7169855}, doi = {10.1109/ICMEW.2015.7169855}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/ChouLCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ChangWL15, author = {Chia{-}Yang Chang and Cheng{-}Ru Wang and Shie{-}Jue Lee}, title = {Novel imputation for time series data}, booktitle = {2015 International Conference on Machine Learning and Cybernetics, {ICMLC} 2015, Guangzhou, China, July 12-15, 2015}, pages = {916--920}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICMLC.2015.7340675}, doi = {10.1109/ICMLC.2015.7340675}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/ChangWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/JhengLCHL15, author = {Chen{-}Wei Jheng and Chih{-}Wen Li and Chen{-}Chia Chuang and Chih{-}Ching Hsiao and Tsu{-}Tian Lee}, editor = {Hamido Fujita and Shun{-}Feng Su}, title = {iOS based Forward Collision Warning System}, booktitle = {New Trends on System Sciences and Engineering - Proceedings of {ICSSE} 2015 [International Conference on System Science and Engineering, Morioka, Japan, July 6-8 2015]}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {276}, pages = {47--54}, publisher = {{IOS} Press}, year = {2015}, url = {https://doi.org/10.3233/978-1-61499-522-7-47}, doi = {10.3233/978-1-61499-522-7-47}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsse/JhengLCHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/YouHLCL15, author = {Chang{-}Min You and Fu{-}Cheng Hung and Lian{-}Wang Lee and Hsin{-}Han Chiang and Yi{-}Jie Lin}, editor = {Hamido Fujita and Shun{-}Feng Su}, title = {Design and Implementation of a Haar Wavelet Series-based Adaptive Sliding-Mode Controller for Pneumatic Actuator Systems}, booktitle = {New Trends on System Sciences and Engineering - Proceedings of {ICSSE} 2015 [International Conference on System Science and Engineering, Morioka, Japan, July 6-8 2015]}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {276}, pages = {470--484}, publisher = {{IOS} Press}, year = {2015}, url = {https://doi.org/10.3233/978-1-61499-522-7-470}, doi = {10.3233/978-1-61499-522-7-470}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsse/YouHLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictir/ChenLC15, author = {Ruey{-}Cheng Chen and Chia{-}Jung Lee and W. Bruce Croft}, editor = {James Allan and W. Bruce Croft and Arjen P. de Vries and Chengxiang Zhai}, title = {On Divergence Measures and Static Index Pruning}, booktitle = {Proceedings of the 2015 International Conference on The Theory of Information Retrieval, {ICTIR} 2015, Northampton, Massachusetts, USA, September 27-30, 2015}, pages = {151--160}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2808194.2809472}, doi = {10.1145/2808194.2809472}, timestamp = {Tue, 06 Nov 2018 11:07:20 +0100}, biburl = {https://dblp.org/rec/conf/ictir/ChenLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HoCLCL15, author = {Kin{-}Chu Ho and Chih{-}Lung Chen and Yen{-}Chin Liao and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 3.46 Gb/s (9141, 8224) LDPC-based {ECC} scheme and on-line channel estimation for solid-state drive applications}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1450--1453}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168917}, doi = {10.1109/ISCAS.2015.7168917}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HoCLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/YangLLC15, author = {Richard Hsin{-}Hsyong Yang and Ming{-}Tsai Lee and Chia{-}Kun Lee and Shiunn{-}Jang Chern}, title = {Low complexity receiver for continuous phase modulation using 3RC-TL phase shaping pulses}, booktitle = {2015 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2015, Nusa Dua Bali, Indonesia, November 9-12, 2015}, pages = {608--613}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISPACS.2015.7432844}, doi = {10.1109/ISPACS.2015.7432844}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/YangLLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangLLKYTCSTLK15, author = {Meng{-}Fan Chang and Chien{-}Chen Lin and Albert Lee and Chia{-}Chen Kuo and Geng{-}Hau Yang and Hsiang{-}Jen Tsai and Tien{-}Fu Chen and Shyh{-}Shyuan Sheu and Pei{-}Ling Tseng and Heng{-}Yuan Lee and Tzu{-}Kun Ku}, title = {17.5 {A} 3T1R nonvolatile {TCAM} using {MLC} ReRAM with Sub-1ns search time}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063054}, doi = {10.1109/ISSCC.2015.7063054}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangLLKYTCSTLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JuLLCCWWLHCLCLC15, author = {Chi{-}Cheng Ju and Tsu{-}Ming Liu and Kun{-}Bin Lee and Yung{-}Chang Chang and Han{-}Liang Chou and Chih{-}Ming Wang and Tung{-}Hsing Wu and Hue{-}Min Lin and Yi{-}Hsin Huang and Chia{-}Yun Cheng and Ting{-}An Lin and Chun{-}Chia Chen and Yu{-}Kun Lin and Min{-}Hao Chiu and Wei{-}Cing Li and Sheng{-}Jen Wang and Yen{-}Chieh Lai and Ping Chao and Chih{-}Da Chien and Meng{-}Jye Hu and Peng{-}Hao Wang and Fu{-}Chun Yeh and Yen{-}Chao Huang and Shun{-}Hsiang Chuang and Lien{-}Fei Chen and Hsiu{-}Yi Lin and Ming{-}Long Wu and Che{-}Hong Chen and Ryan Chen and H. Y. Hsu and Kevin Jou}, title = {18.6 {A} 0.5nJ/pixel 4K {H.265/HEVC} codec {LSI} for multi-format smartphone applications}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063063}, doi = {10.1109/ISSCC.2015.7063063}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JuLLCCWWLHCLCLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/misnc/LiaoCL15, author = {Heng{-}Ching Liao and Yi{-}Chung Chen and Chiang Lee}, editor = {Leon S. L. Wang and Shiro Uesugi and I{-}Hsien Ting and Koji Okuhara and Kai Wang}, title = {Multiple Days Trip Recommendation Based on Check-in Data}, booktitle = {Multidisciplinary Social Networks Research - Second International Conference, {MISNC} 2015, Matsuyama, Japan, September 1-3, 2015. Proceedings}, series = {Communications in Computer and Information Science}, volume = {540}, pages = {316--330}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-48319-0\_25}, doi = {10.1007/978-3-662-48319-0\_25}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/misnc/LiaoCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/KungLWCC15, author = {Fu{-}Ja Kung and Pa{-}Hwa Lee and Yih{-}Ru Wang and Sin{-}Horng Chen and Chen{-}Yu Chiang}, title = {On finding word-level break-type formation rules for mandarin read speech}, booktitle = {2015 International Conference Oriental {COCOSDA} held jointly with 2015 Conference on Asian Spoken Language Research and Evaluation (O-COCOSDA/CASLRE), Shanghai, China, October 28-30, 2015}, pages = {53--57}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICSDA.2015.7357864}, doi = {10.1109/ICSDA.2015.7357864}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/KungLWCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChaoLWWC15, author = {Cheng{-}Chih Chao and Chia{-}Han Lee and Hung{-}Yu Wei and Chih{-}Yu Wang and Wen{-}Tsuen Chen}, title = {Distributed dynamic-TDD resource allocation in femtocell networks using evolutionary game}, booktitle = {26th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2015, Hong Kong, China, August 30 - September 2, 2015}, pages = {1157--1162}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/PIMRC.2015.7343473}, doi = {10.1109/PIMRC.2015.7343473}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ChaoLWWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ChiuLRYLC15, author = {Chun{-}Chia Chiu and Yi{-}Hsiang Lo and Wei{-}Ting Ruan and Cheng{-}Han Yang and Ruen{-}Rone Lee and Hung{-}Kuo Chu}, title = {Continuous circular scribble arts}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '15, Los Angeles, CA, USA, August 9-13, 2015, Posters Proceedings}, pages = {1:1}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2787626.2792600}, doi = {10.1145/2787626.2792600}, timestamp = {Fri, 12 Mar 2021 10:46:10 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/ChiuLRYLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/HungLYSCC15, author = {Pei{-}Hen Hung and Chia{-}Han Lee and Shao{-}Wen Yang and V. Srinivasa Somayazulu and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {Bridge deep learning to the physical world: An efficient method to quantize network}, booktitle = {2015 {IEEE} Workshop on Signal Processing Systems, SiPS 2015, Hangzhou, China, October 14-16, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SiPS.2015.7345005}, doi = {10.1109/SIPS.2015.7345005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/HungLYSCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/SheikhALXCVST15, author = {Farhana Sheikh and Oskar Andersson and Ching{-}En Lee and Feng Xue and Chia{-}Hsiang Chen and Anuja Vaidya and Ankit Sharma and Tom Tetzlaff}, title = {Reconf{\i}gurable and selectively-adaptive signal processing for multi-mode wireless communication}, booktitle = {2015 {IEEE} Workshop on Signal Processing Systems, SiPS 2015, Hangzhou, China, October 14-16, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SiPS.2015.7344987}, doi = {10.1109/SIPS.2015.7344987}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sips/SheikhALXCVST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JanACCCDHIJKSKK15, author = {Chia{-}Hong Jan and F. Al{-}amoody and H.{-}Y. Chang and T. Chang and Y.{-}W. Chen and N. Dias and Walid M. Hafez and Doug B. Ingerly and M. Jang and Eric Karl and S. K.{-}Y. Shi and K. Komeyli and H. Kilambi and A. Kumar and K. Byon and C.{-}G. Lee and J. Lee and T. Leo and P.{-}C. Liu and N. Nidhi and R. Olac{-}vaw and C. Petersburg and K. Phoa and Chetan Prasad and C. Quincy and R. Ramaswamy and T. Rana and L. Rockford and Aravinth Subramaniam and C. Tsai and Peter Vandervoorn and L. Yang and A. Zainuddin and Peng Bai}, title = {A 14 nm SoC platform technology featuring 2\({}^{\mbox{nd}}\) generation Tri-Gate transistors, 70 nm gate pitch, 52 nm metal pitch, and 0.0499 um\({}^{\mbox{2}}\) {SRAM} cells, optimized for low power, high performance and high density SoC products}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {12}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231380}, doi = {10.1109/VLSIC.2015.7231380}, timestamp = {Thu, 02 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/JanACCCDHIJKSKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeCLCHKTSK15, author = {Albert Lee and Meng{-}Fan Chang and Chien{-}Chen Lin and Chien{-}Fu Chen and Mon{-}Shu Ho and Chia{-}Chen Kuo and Pei{-}Ling Tseng and Shyh{-}Shyuan Sheu and Tzu{-}Kun Ku}, title = {RRAM-based 7T1R nonvolatile {SRAM} with 2x reduction in store energy and 94x reduction in restore energy for frequent-off instant-on applications}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {76}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231368}, doi = {10.1109/VLSIC.2015.7231368}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeCLCHKTSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LuoCSWSLLLLLCSK15, author = {Pei{-}Wen Luo and Chi{-}Kang Chen and Yu{-}Hui Sung and Wei Wu and Hsiu{-}Chuan Shih and Chia{-}Hsin Lee and Kuo{-}Hua Lee and Ming{-}Wei Li and Mei{-}Chiang Lung and Chun{-}Nan Lu and Yung{-}Fa Chou and Po{-}Lin Shih and Chung{-}Hu Ke and Chun Shiah and Patrick Stolt and Shigeki Tomishima and Ding{-}Ming Kwai and Bor{-}Doou Rong and Nicky Lu and Shih{-}Lien Lu and Cheng{-}Wen Wu}, title = {A computer designed half Gb 16-channel 819Gb/s high-bandwidth and 10ns low-latency {DRAM} for 3D stacked memory devices using TSVs}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {186}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231256}, doi = {10.1109/VLSIC.2015.7231256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LuoCSWSLLLLLCSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LeeH15c, author = {Chia{-}Chen Lee and Wen{-}Liang Hwang}, title = {Sparse Representation of a Blur Kernel for Blind Image Restoration}, journal = {CoRR}, volume = {abs/1512.04418}, year = {2015}, url = {http://arxiv.org/abs/1512.04418}, eprinttype = {arXiv}, eprint = {1512.04418}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LeeH15c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChangCHSCL14, author = {Kuo{-}En Chang and Chia{-}Tzu Chang and Huei{-}Tse Hou and Yao{-}Ting Sung and Huei{-}Lin Chao and Cheng{-}Ming Lee}, title = {Development and behavioral pattern analysis of a mobile guide system with augmented reality for painting appreciation instruction in an art museum}, journal = {Comput. Educ.}, volume = {71}, pages = {185--197}, year = {2014}, url = {https://doi.org/10.1016/j.compedu.2013.09.022}, doi = {10.1016/J.COMPEDU.2013.09.022}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/ChangCHSCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/ChenHL14, author = {Kuei{-}Hao Chen and Guan{-}Shieng Huang and Richard Chia{-}Tung Lee}, title = {Bit-Parallel Algorithms for Exact Circular String Matching}, journal = {Comput. J.}, volume = {57}, number = {5}, pages = {731--743}, year = {2014}, url = {https://doi.org/10.1093/comjnl/bxt023}, doi = {10.1093/COMJNL/BXT023}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/ChenHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ChouHCWCL14, author = {Chia{-}Yu Chou and Boe{-}Shong Hong and Pei{-}Ju Chiang and Wen{-}Teng Wang and Liang{-}Kuang Chen and Chia{-}Yen Lee}, title = {Distributed Control of Heat Conduction in Thermal Inductive Materials with 2D Geometrical Isomorphism}, journal = {Entropy}, volume = {16}, number = {9}, pages = {4937--4959}, year = {2014}, url = {https://doi.org/10.3390/e16094937}, doi = {10.3390/E16094937}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/ChouHCWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ets/WangWLHCWCCLLLT14, author = {Chia{-}Yu Wang and Hsin{-}Kai Wu and Silvia Wen{-}Yu Lee and Fu{-}Kwun Hwang and Hsin{-}Yi Chang and Ying{-}Tien Wu and Guo{-}Li Chiou and Sufen Chen and Jyh{-}Chong Liang and Jing{-}Wen Lin and Hao{-}Chang Lo and Chin{-}Chung Tsai}, title = {A Review of Research on Technology-Assisted School Science Laboratories}, journal = {J. Educ. Technol. Soc.}, volume = {17}, number = {2}, pages = {307--320}, year = {2014}, url = {http://www.ifets.info/download\_pdf.php?j\_id=63\&a\_id=1481}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ets/WangWLHCWCCLLLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/KuoLCS14, author = {Che{-}Nan Kuo and Chia{-}Wei Lee and Nai{-}Wen Chang and Kuang{-}Husn Shih}, title = {Extended fault-tolerant bipanconnectivity and panconnectivity of folded hypercubes}, journal = {Int. J. Mob. Commun.}, volume = {12}, number = {4}, pages = {397--410}, year = {2014}, url = {https://doi.org/10.1504/IJMC.2014.063655}, doi = {10.1504/IJMC.2014.063655}, timestamp = {Mon, 29 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmc/KuoLCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasis/WeiLCCY14, author = {Chih{-}Ping Wei and Yen{-}Hsien Lee and Yu{-}Sheng Chiang and Chun{-}Ta Chen and Christopher C. Yang}, title = {Exploiting temporal characteristics of features for effectively discovering event episodes from news corpora}, journal = {J. Assoc. Inf. Sci. Technol.}, volume = {65}, number = {3}, pages = {621--634}, year = {2014}, url = {https://doi.org/10.1002/asi.22995}, doi = {10.1002/ASI.22995}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jasis/WeiLCCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChenLSLO14, author = {Yuan{-}Ho Chen and Chih{-}Wen Lu and Shian{-}Shing Shyu and Chung{-}Lin Lee and Ting{-}Chia Ou}, title = {A Multi-stage Fault-Tolerant Multiplier with Triple Module Redundancy {(TMR)} Technique}, journal = {J. Circuits Syst. Comput.}, volume = {23}, number = {5}, year = {2014}, url = {https://doi.org/10.1142/S0218126614500741}, doi = {10.1142/S0218126614500741}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ChenLSLO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ChouCCCFGLLSSTWWY14, author = {Cheng{-}Wei Chou and Ping{-}Chiang Chou and Jean{-}Joseph Christophe and Adrien Cou{\"{e}}toux and Pierre de Freminville and Nicolas Galichet and Chang{-}Shing Lee and Jialin Liu and David Lupien Saint{-}Pierre and Mich{\`{e}}le Sebag and Olivier Teytaud and Mei{-}Hui Wang and Li{-}Wen Wu and Shi{-}Jim Yen}, title = {Strategic Choices in Optimization}, journal = {J. Inf. Sci. Eng.}, volume = {30}, number = {3}, pages = {727--747}, year = {2014}, url = {http://www.iis.sinica.edu.tw/page/jise/2014/201405\_10.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ChouCCCFGLLSSTWWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm2/ChiangWL14, author = {Cheng{-}Chieh Chiang and Kai{-}Ming Wang and Greg C. Lee}, title = {Multi-Pose Face Detection and Tracking Using Condensation}, journal = {J. Multim.}, volume = {9}, number = {10}, pages = {1135--1141}, year = {2014}, url = {https://doi.org/10.4304/jmm.9.10.1135-1141}, doi = {10.4304/JMM.9.10.1135-1141}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmm2/ChiangWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ker/ChenWLCC14, author = {Chi{-}Yuan Chen and Tin{-}Yu Wu and Wei{-}Tsong Lee and Han{-}Chieh Chao and Jen{-}Chun Chiang}, title = {QoS-based active dropping mechanism for {NGN} video streaming optimization}, journal = {Knowl. Eng. Rev.}, volume = {29}, number = {4}, pages = {484--495}, year = {2014}, url = {https://doi.org/10.1017/S0269888914000186}, doi = {10.1017/S0269888914000186}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ker/ChenWLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ors/LeeC14, author = {Chia{-}Yen Lee and Chen{-}Fu Chien}, title = {Stochastic programming for vendor portfolio selection and order allocation under delivery uncertainty}, journal = {{OR} Spectr.}, volume = {36}, number = {3}, pages = {761--797}, year = {2014}, url = {https://doi.org/10.1007/s00291-013-0342-7}, doi = {10.1007/S00291-013-0342-7}, timestamp = {Tue, 04 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ors/LeeC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeCXSA14, author = {Shihyan Lee and Kwo{-}Fu Chiang and Xiaoxiong Xiong and Chengbo Sun and Samuel Anderson}, title = {The {S-NPP} {VIIRS} Day-Night Band On-Orbit Calibration/Characterization and Current State of {SDR} Products}, journal = {Remote. Sens.}, volume = {6}, number = {12}, pages = {12427--12446}, year = {2014}, url = {https://doi.org/10.3390/rs61212427}, doi = {10.3390/RS61212427}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeCXSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YenTCPCL14, author = {Li{-}Chen Yen and Ming{-}Tsyr Tang and Fang{-}Yu Chang and Tung{-}Ming Pan and Tien{-}Sheng Chao and Chiang{-}Hsuan Lee}, title = {Improvement in pH Sensitivity of Low-Temperature Polycrystalline-Silicon Thin-Film Transistor Sensors Using H\({}_{\mbox{2}}\) Sintering}, journal = {Sensors}, volume = {14}, number = {3}, pages = {3825--3832}, year = {2014}, url = {https://doi.org/10.3390/s140303825}, doi = {10.3390/S140303825}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YenTCPCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/ChiangCWL14, author = {Hsin{-}Han Chiang and Yen{-}Lin Chen and Bing{-}Fei Wu and Tsu{-}Tian Lee}, title = {Embedded Driver-Assistance System Using Multiple Sensors for Safe Overtaking Maneuver}, journal = {{IEEE} Syst. J.}, volume = {8}, number = {3}, pages = {681--698}, year = {2014}, url = {https://doi.org/10.1109/JSYST.2012.2212636}, doi = {10.1109/JSYST.2012.2212636}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/ChiangCWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/ChenHWL14, author = {Chia{-}Ping Chen and Yi{-}Chin Huang and Chung{-}Hsien Wu and Kuan{-}De Lee}, title = {Polyglot Speech Synthesis Based on Cross-Lingual Frame Selection Using Auditory and Articulatory Features}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {22}, number = {10}, pages = {1558--1570}, year = {2014}, url = {https://doi.org/10.1109/TASLP.2014.2339738}, doi = {10.1109/TASLP.2014.2339738}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/ChenHWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChengYLYU14, author = {Chung{-}Chao Cheng and Jeng{-}Da Yang and Huang{-}Chang Lee and Chia{-}Hsiang Yang and Yeong{-}Luh Ueng}, title = {A Fully Parallel {LDPC} Decoder Architecture Using Probabilistic Min-Sum Algorithm for High-Throughput Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {9}, pages = {2738--2746}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2312479}, doi = {10.1109/TCSI.2014.2312479}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChengYLYU14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinHCL14, author = {Yi{-}Min Lin and Chih{-}Hsiang Hsu and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 2.56 Gb/s Soft {RS} (255, 239) Decoder Chip for Optical Communication Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {7}, pages = {2110--2118}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2298282}, doi = {10.1109/TCSI.2014.2298282}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LinHCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LeeCCL14, author = {Jen{-}Wei Lee and Szu{-}Chi Chung and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Efficient Power-Analysis-Resistant Dual-Field Elliptic Curve Cryptographic Processor Using Heterogeneous Dual-Processing-Element Architecture}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {1}, pages = {49--61}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2237930}, doi = {10.1109/TVLSI.2013.2237930}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LeeCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LuoCTGFCCTIL14, author = {Tseng{-}Chin Luo and Mango Chia{-}Tso Chao and Huan{-}Chi Tseng and Masaharu Goto and Philip A. Fisher and Yuan{-}Yao Chang and Chi{-}Min Chang and Takayuki Takao and Katsuhito Iwasaki and Cheng Mao Lee}, title = {Fast Transistor Threshold Voltage Measurement Method for High-Speed, High-Accuracy Advanced Process Characterization}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {5}, pages = {1138--1149}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2265299}, doi = {10.1109/TVLSI.2013.2265299}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LuoCTGFCCTIL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LinLCC14, author = {Tzu{-}Ming Lin and Chia{-}Han Lee and Jen{-}Po Cheng and Wen{-}Tsuen Chen}, title = {{PRADA:} Prioritized Random Access With Dynamic Access Barring for {MTC} in 3GPP {LTE-A} Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {63}, number = {5}, pages = {2467--2472}, year = {2014}, url = {https://doi.org/10.1109/TVT.2013.2290128}, doi = {10.1109/TVT.2013.2290128}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LinLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LaiCLCB14, author = {I{-}Wei Lai and Chien{-}Lun Chen and Chia{-}Han Lee and Kwang{-}Cheng Chen and Ezio Biglieri}, title = {End-to-End Virtual {MIMO} Transmission in Ad Hoc Cognitive Radio Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {13}, number = {1}, pages = {330--341}, year = {2014}, url = {https://doi.org/10.1109/TWC.2013.112513.130519}, doi = {10.1109/TWC.2013.112513.130519}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/LaiCLCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LeeS14, author = {Chia{-}Han Lee and Cheng{-}Yu Shih}, title = {Coverage Analysis of Cognitive Femtocell Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {3}, number = {2}, pages = {177--180}, year = {2014}, url = {https://doi.org/10.1109/WCL.2013.123013.130800}, doi = {10.1109/WCL.2013.123013.130800}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/LeeS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/HuangHTWCL14, author = {Chen{-}Che Huang and Jiun{-}Long Huang and Chin{-}Liang Tsai and Guan{-}Zhong Wu and Chia{-}Min Chen and Wang{-}Chien Lee}, title = {Energy-efficient and cost-effective web {API} invocations with transfer size reduction for mobile mashup applications}, journal = {Wirel. Networks}, volume = {20}, number = {3}, pages = {361--378}, year = {2014}, url = {https://doi.org/10.1007/s11276-013-0608-7}, doi = {10.1007/S11276-013-0608-7}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/HuangHTWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LeeTSCS14, author = {Ying{-}Li Lee and Hou{-}Chiang Tseng and Yao{-}Ting Sung and Ju{-}Ling Chen and Shao Hui Shu}, title = {The Readability of Diabetes Patient Education Materials on the World Wide Web based on {LSA} and {SVM} technique}, booktitle = {{AMIA} 2014, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 15-19, 2014}, publisher = {{AMIA}}, year = {2014}, url = {https://knowledge.amia.org/56638-amia-1.1540970/t-005-1.1543914/f-005-1.1543915/a-416-1.1544423/a-417-1.1544420}, timestamp = {Wed, 17 Apr 2024 11:47:48 +0200}, biburl = {https://dblp.org/rec/conf/amia/LeeTSCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/YehLFSH14, author = {Chia{-}Hung Yeh and Cheng{-}Wei Lee and Shu{-}Jhen Fan{-}Jiang and Yu{-}Hsien Sung and Wen{-}Jung Huang}, title = {Second order residual prediction for {HEVC} inter coding}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2014, Chiang Mai, Thailand, December 9-12, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APSIPA.2014.7041747}, doi = {10.1109/APSIPA.2014.7041747}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/YehLFSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChenWCL14, author = {Chih{-}Lung Chen and Sheng{-}Jhan Wu and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 1-100Mb/s 0.5-9.9mW {LDPC} convolutional code decoder for body area network}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {229--232}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008902}, doi = {10.1109/ASSCC.2014.7008902}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChenWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChiuehSCLHCWCLT14, author = {Tzi{-}Dar Chiueh and Toru Shimizu and Gregory Chen and Chen{-}Yi Lee and Charles Hsu and Tihao Chiang and Zhihua Wang and Junghwan Choi and Jongwoo Lee and Yasumoto Tomita and Takayuki Kawahara}, title = {What is a good way to expand a silicon value to a solution value?}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {389--394}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008941}, doi = {10.1109/ASSCC.2014.7008941}, timestamp = {Wed, 27 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ChiuehSCLHCWCLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LaiYCSSHCL14, author = {Kelvin Yi{-}Tse Lai and Yu{-}Tao Yang and Bang{-}Jing Chen and Chun{-}Jen Shen and Ming{-}Feng Shiu and Zih{-}Cheng He and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 3.3V 15.6b 6.1pJ/0.02{\%}RH with 10ms response humidity sensor for respiratory monitoring}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {293--296}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008918}, doi = {10.1109/ASSCC.2014.7008918}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LaiYCSSHCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LinSKTCSLTLLCLH14, author = {Wen{-}Pin Lin and Shyh{-}Shyuan Sheu and Chia{-}Chen Kuo and Pei{-}Ling Tseng and Meng{-}Fan Chang and Keng{-}Li Su and Chih{-}Sheng Lin and Kan{-}Hsueh Tsai and Sih{-}Han Lee and Szu{-}Chieh Liu and Yu{-}Sheng Chen and Heng{-}Yuan Lee and Ching{-}Chih Hsu and Frederick T. Chen and Tzu{-}Kun Ku and Ming{-}Jinn Tsai and Ming{-}Jer Kao}, title = {A nonvolatile look-up table using ReRAM for reconfigurable logic}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {133--136}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008878}, doi = {10.1109/ASSCC.2014.7008878}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LinSKTCSLTLLCLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/WuCCLLC14, author = {Ching{-}Wei Wu and Ming{-}Hung Chang and Chia{-}Cheng Chen and Robin Lee and Hung{-}Jen Liao and Jonathan Chang}, title = {A configurable 2-in-1 {SRAM} compiler with constant-negative-level write driver for low Vmin in 16nm Fin-FET {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2014, KaoHsiung, Taiwan, November 10-12, 2014}, pages = {145--148}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASSCC.2014.7008881}, doi = {10.1109/ASSCC.2014.7008881}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/WuCCLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/brain/HuangLC14, author = {Hen{-}Hsen Huang and Chia{-}Chun Lee and Hsin{-}Hsi Chen}, editor = {Dominik Slezak and Ah{-}Hwee Tan and James F. Peters and Lars Schwabe}, title = {Mining Professional Knowledge from Medical Records}, booktitle = {Brain Informatics and Health - International Conference, {BIH} 2014, Warsaw, Poland, August 11-14, 2014, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8609}, pages = {152--163}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-09891-3\_15}, doi = {10.1007/978-3-319-09891-3\_15}, timestamp = {Sat, 19 Oct 2019 20:25:48 +0200}, biburl = {https://dblp.org/rec/conf/brain/HuangLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/LiuLHPC14, author = {Chai{-}Yuan Liu and Chia{-}Yuan Lee and Li{-}Te Huang and Hao{-}Hsuan Peng and Hui{-}Chuan Chen}, title = {The study of green logistics services to manage reverse logistics of {TFT-LCD} panel industry}, booktitle = {2014 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2014, New Taipei, Taiwan, August 18-22, 2014}, pages = {603--606}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CoASE.2014.6899389}, doi = {10.1109/COASE.2014.6899389}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/LiuLHPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LeeLC14, author = {Chia{-}Yi Lee and Tai{-}Hung Li and Tai{-}Chen Chen}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Design-for-debug routing for {FIB} probing}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.333}, doi = {10.7873/DATE.2014.333}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LeeLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/WeiWLCTYL14, author = {Ting{-}Han Wei and I{-}Chen Wu and Chao{-}Chin Liang and Bing{-}Tsung Chiang and Wen{-}Jie Tseng and Shi{-}Jim Yen and Chang{-}Shing Lee}, editor = {Tristan Cazenave and Mark H. M. Winands and Yngvi Bj{\"{o}}rnsson}, title = {Job-Level Algorithms for Connect6 Opening Position Analysis}, booktitle = {Computer Games - Third Workshop on Computer Games, {CGW} 2014, Held in Conjunction with the 21st European Conference on Artificial Intelligence, {ECAI} 2014, Prague, Czech Republic, August 18, 2014, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {504}, pages = {29--44}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-14923-3\_3}, doi = {10.1007/978-3-319-14923-3\_3}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecai/WeiWLCTYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecc/ChenYL14, author = {Yen{-}Liang Chen and Yao{-}Chiang Yang and Wei{-}Tsong Lee}, editor = {Jeng{-}Shyang Pan and V{\'{a}}clav Sn{\'{a}}sel and Emilio Corchado and Ajith Abraham and Shyue{-}Liang Wang}, title = {The Study of Using Game Theory for Live Migration Prediction over Cloud Computing}, booktitle = {Intelligent Data analysis and its Applications, Volume {II} - Proceeding of the First Euro-China Conference on Intelligent Data Analysis and Applications, June 13-15, 2014, Shenzhen, China}, series = {Advances in Intelligent Systems and Computing}, volume = {298}, pages = {417--425}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07773-4\_41}, doi = {10.1007/978-3-319-07773-4\_41}, timestamp = {Wed, 07 Dec 2022 23:12:48 +0100}, biburl = {https://dblp.org/rec/conf/ecc/ChenYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eenergy/KuoLFHC14, author = {Chien{-}Yu Kuo and Ming{-}Feng Lee and Chia{-}Lin Fu and Yao{-}Hua Ho and Ling{-}Jyh Chen}, editor = {Jon Crowcroft and Richard V. Penty and Jean{-}Yves Le Boudex and Prashant J. Shenoy}, title = {An in-depth study of forecasting household electricity demand using realistic datasets}, booktitle = {The Fifth International Conference on Future Energy Systems, e-Energy '14, Cambridge, United Kingdom - June 11 - 13, 2014}, pages = {145--155}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2602044.2602055}, doi = {10.1145/2602044.2602055}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eenergy/KuoLFHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LinLLYY14, author = {Yuan{-}Hsiang Lin and Yuan Long Luo and Chia{-}cheng Lee and Shih{-}fan Yang and Dasen Yu}, title = {A PC-based laparoscopic surgery skills training and assessment system}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {498--501}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6943637}, doi = {10.1109/EMBC.2014.6943637}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LinLLYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eos/LeeSCX14, author = {Shihyan Lee and Chengbo Sun and Vincent Kwofu Chiang and Xiaoxiong Xiong}, editor = {James J. Butler and Xiaoxiong (Jack) Xiong and Xingfa Gu}, title = {An overview of {NASA} {VCST} {SNPP} {VIIRS} day-night band on-orbit calibration methodology}, booktitle = {Earth Observing Systems XIX, {SPIE} Optical Engineering + Applications, San Diego, California, USA, 17-21 August 2014}, series = {{SPIE} Proceedings}, volume = {9218}, pages = {921808}, publisher = {{SPIE}}, year = {2014}, url = {https://doi.org/10.1117/12.2061912}, doi = {10.1117/12.2061912}, timestamp = {Thu, 19 May 2022 21:17:47 +0200}, biburl = {https://dblp.org/rec/conf/eos/LeeSCX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/HsuLCLL14, author = {Chia{-}Chen Hsu and Cheng{-}Yen Lin and Shin{-}Kai Chen and Chih{-}Wei Liu and Jenq Kuen Lee}, title = {Optimized memory access support for data layout conversion on heterogeneous multi-core systems}, booktitle = {12th {IEEE} Symposium on Embedded Systems for Real-time Multimedia, ESTIMedia 2014, Greater Noida, India, October 16-17, 2014}, pages = {128--137}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ESTIMedia.2014.6962353}, doi = {10.1109/ESTIMEDIA.2014.6962353}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/HsuLCLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/LiLCL14, author = {Cheng{-}Yen Li and Yi{-}Shiun Lee and Kun{-}Hsiang Chang and Chia{-}Hung Lien}, title = {Design and implementation of mobile traffic warning triangle}, booktitle = {{IEEE} 3rd Global Conference on Consumer Electronics, {GCCE} 2014, Tokyo, Japan, 7-10 October 2014}, pages = {69--70}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GCCE.2014.7031285}, doi = {10.1109/GCCE.2014.7031285}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/LiLCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChenLCCL14, author = {Yi{-}Chi Chen and I{-}Wei Lai and Kwang{-}Cheng Chen and Wen{-}Tsuen Chen and Chia{-}Han Lee}, title = {Transmission latency and reliability trade-off in path-time coded cognitive radio ad hoc networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2014, Austin, TX, USA, December 8-12, 2014}, pages = {1084--1089}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOM.2014.7036953}, doi = {10.1109/GLOCOM.2014.7036953}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChenLCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiuMLLQ14, author = {Chen{-}Feng Liu and Marco Maso and Subhash Lakshminarayana and Chia{-}Han Lee and Tony Q. S. Quek}, title = {Performance analysis of simultaneous wireless information and power transfer in {MISO} systems}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {1094--1101}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063579}, doi = {10.1109/GLOCOMW.2014.7063579}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LiuMLLQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/OuLSCC14, author = {Shun{-}Hsing Ou and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {Low complexity on-line video summarization with Gaussian mixture model based clustering}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2014, Florence, Italy, May 4-9, 2014}, pages = {1260--1264}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICASSP.2014.6853799}, doi = {10.1109/ICASSP.2014.6853799}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/OuLSCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LiuL14a, author = {Chen{-}Feng Liu and Chia{-}Han Lee}, title = {{MISO} information and power transfer with finite-rate feedback under fading channel}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2014, Sydney, Australia, June 10-14, 2014}, pages = {3794--3799}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICC.2014.6883912}, doi = {10.1109/ICC.2014.6883912}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LiuL14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/ChuangHJL14, author = {Chen{-}Chia Chuang and Guan{-}Yi Hu and Jin{-}Tsong Jeng and Heng Wei Lee}, title = {{LTS-SVM} learning mechanism with wavelet for modeling of nonlinear systems with noise and outliers}, booktitle = {11th {IEEE} International Conference on Control {\&} Automation, {ICCA} 2014, Taichung, Taiwan, June 18-20, 2014}, pages = {1449--1453}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCA.2014.6871136}, doi = {10.1109/ICCA.2014.6871136}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icca/ChuangHJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/HuangLLLCLK14, author = {Chia{-}Chi Huang and Chang{-}Tzu Lin and Wei{-}Syun Liao and Chieh{-}Jui Lee and Hung{-}Ming Chen and Chia{-}Hsin Lee and Ding{-}Ming Kwai}, title = {Improving power delivery network design by practical methodologies}, booktitle = {32nd {IEEE} International Conference on Computer Design, {ICCD} 2014, Seoul, South Korea, October 19-22, 2014}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICCD.2014.6974687}, doi = {10.1109/ICCD.2014.6974687}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/HuangLLLCLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/ChenHCL14, author = {Yen{-}Lin Chen and Yo{-}Ping Huang and Hsin{-}Han Chiang and Tsu{-}Tian Lee}, title = {Ubiquitous knowledge-based framework for personalized home healthcare systems}, booktitle = {Proceedings of 11th {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2014, Miami, FL, USA, April 7-9, 2014}, pages = {673--678}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICNSC.2014.6819706}, doi = {10.1109/ICNSC.2014.6819706}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/ChenHCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/HongTZHL14, author = {Yao{-}Win Peter Hong and Chee Wei Tan and Liang Zheng and Cheng{-}Lin Hsieh and Chia{-}Han Lee}, title = {A unified framework for wireless max-min utility optimization with general monotonic constraints}, booktitle = {2014 {IEEE} Conference on Computer Communications, {INFOCOM} 2014, Toronto, Canada, April 27 - May 2, 2014}, pages = {2076--2084}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/INFOCOM.2014.6848149}, doi = {10.1109/INFOCOM.2014.6848149}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/HongTZHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/ChiangLTLHC14, author = {Ya{-}Tien Chiang and Chi{-}Heng Lu and Chiu{-}Ching Tuan and Tsair{-}Fwu Lee and Yu{-}Chih Huang and Mei{-}Chuan Chen}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Non-invasive Detection of Sound Signals for Diagnosis of Ligament Injuries around Knee based on Mel-frequency Cepstrum}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1940--1949}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1940}, doi = {10.3233/978-1-61499-484-8-1940}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/ChiangLTLHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/MaCLL14, author = {Shang{-}Pin Ma and Ping{-}Chang Chen and Chi{-}Chia Li and Wen{-}Tin Lee}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Context-Aware RESTful Service Delivery Mechanism for Smartphones}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {1885--1894}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-1885}, doi = {10.3233/978-1-61499-484-8-1885}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/MaCLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/MuchtarYLXSK14, author = {Kahlil Muchtar and Chia{-}Hung Yeh and Cheng{-}Wei Lee and Wen{-}Hung Xu and Po{-}Yi Sung and Chia{-}Chen Kuo}, editor = {William Cheng{-}Chung Chu and Han{-}Chieh Chao and Stephen Jenn{-}Hwa Yang}, title = {Heartbeat measurement based on laser speckle fingerprint}, booktitle = {Intelligent Systems and Applications - Proceedings of the International Computer Symposium {(ICS)} held at Taichung, Taiwan, December 12-14, 2014}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {274}, pages = {2030--2036}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-484-8-2030}, doi = {10.3233/978-1-61499-484-8-2030}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intcompsymp/MuchtarYLXSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JianSLCC14, author = {Jhong{-}Ting Jian and Yu{-}Lin Song and Chia{-}Fone Lee and Yuan{-}Fang Chou and Wei{-}Zen Chen}, title = {A 0.6 V, 1.66mW energy harvester and audio driver for tympanic membrane transducer with wirelessly optical signal and power transfer}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {874--877}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865275}, doi = {10.1109/ISCAS.2014.6865275}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JianSLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuLSCC14, author = {Hsin{-}Fang Wu and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen and Shao{-}Yi Chien}, title = {Error resilience for key frames in distributed video coding with rate-distortion optimized mode decision}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1118--1121}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865336}, doi = {10.1109/ISCAS.2014.6865336}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuLSCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLCCL14, author = {Chih{-}Wen Yang and Xin{-}Ru Lee and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Area-efficient TFM-based stochastic decoder design for non-binary {LDPC} codes}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {409--412}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865152}, doi = {10.1109/ISCAS.2014.6865152}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isicir/ChangL14, author = {Chia{-}Lun Chang and Tai{-}Cheng Lee}, title = {A compact multi-input thermoelectric energy harvesting system with 58.5{\%} power conversion efficiency and 32.4-mW output power capability}, booktitle = {2014 International Symposium on Integrated Circuits (ISIC), Singapore, December 10-12, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISICIR.2014.7029491}, doi = {10.1109/ISICIR.2014.7029491}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/isicir/ChangL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/LaiLCB14, author = {I{-}Wei Lai and Chia{-}Han Lee and Kwang{-}Cheng Chen and Ezio Biglieri}, title = {Performance of path-time codes for end-to-end transmission in ad hoc multihop networks}, booktitle = {2014 {IEEE} International Symposium on Information Theory, Honolulu, HI, USA, June 29 - July 4, 2014}, pages = {66--70}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISIT.2014.6874796}, doi = {10.1109/ISIT.2014.6874796}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isit/LaiLCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/HoLWC14, author = {Chia{-}Tung Ho and Yu{-}Min Lee and Shu{-}Han Wei and Liang{-}Chia Cheng}, editor = {Cliff C. N. Sze and Azadeh Davoodi}, title = {Incremental transient simulation of power grid}, booktitle = {International Symposium on Physical Design, ISPD'14, Petaluma, CA, USA, March 30 - April 02, 2014}, pages = {93--100}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2560519.2560525}, doi = {10.1145/2560519.2560525}, timestamp = {Tue, 06 Nov 2018 11:07:47 +0100}, biburl = {https://dblp.org/rec/conf/ispd/HoLWC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiangHCCL14, author = {Ping{-}Chuan Chiang and Hao{-}Wei Hung and Hsiang{-}Yun Chu and Guan{-}Sing Chen and Jri Lee}, title = {2.3 60Gb/s {NRZ} and {PAM4} transmitters for 400GbE in 65nm {CMOS}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {42--43}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757329}, doi = {10.1109/ISSCC.2014.6757329}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChiangHCCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/WangLOHWCCHTTTL14, author = {Alice Wang and Tsung{-}Yao Lin and Shichin Ouyang and Wei{-}Hung Huang and Jidong Wang and Shu{-}Hsin Chang and Sheng{-}Ping Chen and Chun{-}Hsiung Hu and J. C. Tai and Koan{-}Sin Tan and Meng{-}Nan Tsou and Ming{-}Hsien Lee and Gordon Gammie and Chi{-}Wei Yang and Chih{-}Chieh Yang and Yeh{-}Chi Chou and Shih{-}Hung Lin and Wuan Kuo and Chi{-}Jui Chung and Lee{-}Kee Yong and Chia{-}Wei Wang and Kin Hooi Dia and Cheng{-}Hsing Chien and You{-}Ming Tsao and N. K. Singh and Rolf Lagerquist and Chih{-}Cheng Chen and Uming Ko}, title = {10.3 heterogeneous multi-processing quad-core {CPU} and dual-GPU design for optimal performance, power, and thermal tradeoffs in a 28nm mobile application processor}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {180--181}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757390}, doi = {10.1109/ISSCC.2014.6757390}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/WangLOHWCCHTTTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinCWLLPW14, author = {Bing{-}Yang Lin and Wan{-}Ting Chiang and Cheng{-}Wen Wu and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Redundancy architectures for channel-based 3D {DRAM} yield improvement}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035331}, doi = {10.1109/TEST.2014.7035331}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LinCWLLPW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivcnz/HsiehLCLC14, author = {Jun{-}Wei Hsieh and C.{-}Hung Lee and Yun{-}Chih Chen and W.{-}Shan Lee and Hui{-}Fen Chiang}, editor = {Michael J. Cree and Lee V. Streeter and John A. Perrone and Michael Mayo and Anthony M. Blake}, title = {Stage Classification in Chronic Kidney Disease by Ultrasound Image}, booktitle = {Proceedings of the 29th International Conference on Image and Vision Computing New Zealand, {IVCNZ} 2014, Hamilton, New Zealand, November 19-21, 2014}, pages = {271}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2683405.2683457}, doi = {10.1145/2683405.2683457}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ivcnz/HsiehLCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/KuoCCLWLHCTHHH14, author = {Yin{-}Hsi Kuo and Yan{-}Ying Chen and Bor{-}Chun Chen and Wen{-}Yu Lee and Chun{-}Che Wu and Chia{-}Hung Lin and Yu{-}Lin Hou and Wen{-}Feng Cheng and Yi{-}Chih Tsai and Chung{-}Yen Hung and Liang{-}Chi Hsieh and Winston H. Hsu}, editor = {Kien A. Hua and Yong Rui and Ralf Steinmetz and Alan Hanjalic and Apostol Natsev and Wenwu Zhu}, title = {Discovering the City by Mining Diverse and Multimodal Data Streams}, booktitle = {Proceedings of the {ACM} International Conference on Multimedia, {MM} '14, Orlando, FL, USA, November 03 - 07, 2014}, pages = {201--204}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2647868.2656406}, doi = {10.1145/2647868.2656406}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/KuoCCLWLHCTHHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/ZhuL14, author = {Chia{-}Cheng Zhu and Chung{-}Nan Lee}, editor = {Shyue{-}Liang Leon Wang and Yu{-}Hui Tao and Liming Chen and Chung{-}Nan Lee}, title = {Improvement of Small-write Performance Using the ECL-based Technique}, booktitle = {Proceedings of the 12th International Conference on Advances in Mobile Computing and Multimedia, Kaohsiung, Taiwan, December 8-10, 2014}, pages = {203--210}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2684103.2684125}, doi = {10.1145/2684103.2684125}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/momm/ZhuL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LinFL14, author = {Che{-}Hsin Lin and Lung{-}Ming Fu and Chia{-}Yen Lee}, title = {MEMS-based humidity sensor based on thiol-coated gold nanoparticles}, booktitle = {9th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2014, Waikiki Beach, HI, USA, April 13-16, 2014}, pages = {191--194}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEMS.2014.6908788}, doi = {10.1109/NEMS.2014.6908788}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/nems/LinFL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/HongFLCHH14, author = {Hua{-}Jun Hong and Tao{-}Ya Fan{-}Chiang and Che{-}Run Lee and Kuan{-}Ta Chen and Chun{-}Ying Huang and Cheng{-}Hsin Hsu}, editor = {Yutaka Ishibashi and Adrian David Cheok}, title = {{GPU} consolidation for cloud games: Are we there yet?}, booktitle = {13th Annual Workshop on Network and Systems Support for Games, NetGames 2014, Nagoya, Japan, December 4-5, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NetGames.2014.7008969}, doi = {10.1109/NETGAMES.2014.7008969}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/netgames/HongFLCHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChenSZCLZCHLLLW14, author = {Chin{-}Ta Chen and Po{-}Kuan Shen and Teng{-}Zhang Zhu and Chia{-}Chi Chang and Shu{-}Shuan Lin and Mao{-}Yuan Zeng and Chien{-}Yu Chiu and Hsu{-}Liang Hsiao and Hsiao{-}Chin Lan and Yun{-}Chih Lee and Yo{-}Shen Lin and Mount{-}Learn Wu}, title = {Chip-level 10-Gbit/s optical interconnects using 1 {\texttimes} 2 polymer vertical splitter on silicon substrate}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.M2K.4}, doi = {10.1364/OFC.2014.M2K.4}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChenSZCLZCHLLLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ShenCCCCLLW14, author = {Po{-}Kuan Shen and Chin{-}Ta Chen and Chia{-}Hao Chang and Chien{-}Yu Chiu and Chia{-}Chi Chang and Hsiao{-}Chin Lan and Yun{-}Chih Lee and Mount{-}Learn Wu}, title = {On-chip optical interconnects integrated with laser and photodetector using three-dimensional silicon waveguides}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.M2K.6}, doi = {10.1364/OFC.2014.M2K.6}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ShenCCCCLLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/persuasive/ChenCCLLYCLLLTJSCH14, author = {Yong{-}Xiang Chen and Siek{-}Siang Chiang and Shu{-}Yun Chih and Wen{-}Ching Liao and Shih{-}Yao Lin and Shang{-}Hua Yang and Shun{-}Wen Cheng and Shih{-}Sung Lin and Yu{-}Shan Lin and Ming{-}Sui Lee and Jau{-}Yih Tsauo and Cheng{-}Min Jen and Chia{-}Shiang Shih and King{-}Jen Chang and Yi{-}Ping Hung}, editor = {Anna Spagnolli and Luca Chittaro and Luciano Gamberini}, title = {Opportunities for Persuasive Technology to Motivate Heavy Computer Users for Stretching Exercise}, booktitle = {Persuasive Technology - 9th International Conference, {PERSUASIVE} 2014, Padua, Italy, May 21-23, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8462}, pages = {25--30}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07127-5\_3}, doi = {10.1007/978-3-319-07127-5\_3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/persuasive/ChenCCLLYCLLLTJSCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/TaoLCLC14, author = {Chin{-}Wang Tao and Ming{-}Yen Lin and Chen{-}Chia Chuang and Tsu{-}Tian Lee and Chia{-}Wen Chang}, title = {Design of a DSP-based biaxial solar tracking system}, booktitle = {2014 Joint 7th International Conference on Soft Computing and Intelligent Systems {(SCIS)} and 15th International Symposium on Advanced Intelligent Systems (ISIS), Kita-Kyushu, Japan, December 3-6, 2014}, pages = {892--895}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SCIS-ISIS.2014.7044679}, doi = {10.1109/SCIS-ISIS.2014.7044679}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/TaoLCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChenYCLSCL14, author = {Yen{-}Lin Chen and Chao{-}Wei Yu and Chuan{-}Yen Chiang and Chin{-}Hsuan Liu and Wei{-}Chen Sun and Hsin{-}Han Chiang and Tsu{-}Tian Lee}, title = {Real-time eye detection and event identification for human-computer interactive control for driver assistance}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {2144--2149}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SMC.2014.6974239}, doi = {10.1109/SMC.2014.6974239}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChenYCLSCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soca/LeeHKC14, author = {Haw Lee and Wei{-}Chih Hong and Chia{-}Hung Kao and Chen{-}Mou Cheng}, title = {A User-Friendly Authentication Solution Using {NFC} Card Emulation on Android}, booktitle = {7th {IEEE} International Conference on Service-Oriented Computing and Applications, {SOCA} 2014, Matsue, Japan, November 17-19, 2014}, pages = {271--278}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SOCA.2014.16}, doi = {10.1109/SOCA.2014.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soca/LeeHKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/WuTCLLC14, author = {Guo{-}Zua Wu and Song{-}Nien Tang and Chih{-}Chi Chang and Chien{-}Ju Lee and Kuan{-}Hsien Lin and Oscal T.{-}C. Chen}, editor = {Kaijian Shi and Thomas B{\"{u}}chner and Danella Zhao and Ramalingam Sridhar}, title = {High-frequency and power-efficiency ultrasound beam-forming processor for handheld applications}, booktitle = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014, Las Vegas, NV, USA, September 2-5, 2014}, pages = {420--424}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOCC.2014.6948966}, doi = {10.1109/SOCC.2014.6948966}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/WuTCLLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialcom/LeeLCCLCC14, author = {Eric L. Lee and Jing{-}Kai Lou and Wei{-}Ming Chen and Yen{-}Chi Chen and Shou{-}De Lin and Yen{-}Sheng Chiang and Kuan{-}Ta Chen}, editor = {Su Yang and Kristina Lerman and James She and Martin Atzmueller}, title = {Fairness-Aware Loan Recommendation for Microfinance Services}, booktitle = {Proceedings of the 2014 International Conference on Social Computing, Beijing, China, August 04 - 07, 2014}, pages = {3:1--3:4}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2639968.2640064}, doi = {10.1145/2639968.2640064}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialcom/LeeLCCLCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/HuangLYLW14, author = {Cheng{-}Yi Huang and Yi{-}Cheng Lee and Chia{-}An Yu and Yi{-}Zheng Lee and Sai{-}Keung Wong}, editor = {Shin{-}Ming Cheng and Min{-}Yuh Day}, title = {Wonders of Seabed: Difficulty Evaluation of Management Games Using Neural Network}, booktitle = {Technologies and Applications of Artificial Intelligence, 19th International Conference, {TAAI} 2014, Taipei, Taiwan, November 21-23, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8916}, pages = {164--177}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13987-6\_16}, doi = {10.1007/978-3-319-13987-6\_16}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/taai/HuangLYLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/OuLWCLYLGSC14, author = {Shun{-}Hsing Ou and Yu{-}Chen Lu and Jui{-}Pin Wang and Shao{-}Yi Chien and Shou{-}De Lin and Mi{-}Yen Yeh and Chia{-}Han Lee and Phillip B. Gibbons and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Communication-efficient multi-view keyframe extraction in distributed video sensors}, booktitle = {2014 {IEEE} Visual Communications and Image Processing Conference, {VCIP} 2014, Valletta, Malta, December 7-10, 2014}, pages = {13--16}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VCIP.2014.7051492}, doi = {10.1109/VCIP.2014.7051492}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vcip/OuLWCLYLGSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/TsaiWHCKLCWCL14, author = {Chang{-}Hung Tsai and Tung{-}Yu Wu and Shu{-}Yu Hsu and Chia{-}Ching Chu and Fang{-}Ju Ku and Ying{-}Siou Laio and Chih{-}Lung Chen and Wing Hung Wong and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 7.11mJ/Gb/query data-driven machine learning processor (D\({}^{\mbox{2}}\)MLP) for big data analysis and applications}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858422}, doi = {10.1109/VLSIC.2014.6858422}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/TsaiWHCKLCWCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChuLC14, author = {Feng Seng Chu and Chia{-}Han Lee and Kwang{-}Cheng Chen}, title = {Backhaul-constrained resource optimization for distributed femtocell interference mitigation}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2014, Istanbul, Turkey, April 6-9, 2014}, pages = {1485--1489}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/WCNC.2014.6952409}, doi = {10.1109/WCNC.2014.6952409}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/ChuLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/ChenTHLWYCLSCLPGCYCH14, author = {Kuan{-}Wen Chen and Hsin{-}Mu Tsai and Chih{-}Hung Hsieh and Shou{-}De Lin and Chieh{-}Chih Wang and Shao{-}Wen Yang and Shao{-}Yi Chien and Chia{-}Han Lee and Yu{-}Chi Su and Chun{-}Ting Chou and Yuh{-}Jye Lee and Hsing{-}Kuo Pao and Ruey{-}Shan Guo and Chung{-}Jen Chen and Ming{-}Hsuan Yang and Bing{-}Yu Chen and Yi{-}Ping Hung}, title = {Connected vehicle safety science, system, and framework}, booktitle = {{IEEE} World Forum on Internet of Things, WF-IoT 2014, Seoul, South Korea, March 6-8, 2014}, pages = {235--240}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WF-IoT.2014.6803165}, doi = {10.1109/WF-IOT.2014.6803165}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/ChenTHLWYCLSCLPGCYCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HsuLY14, author = {Bo{-}Kai Hsu and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {On Timing Synchronization for Quantity-based Modulation in Additive Inverse Gaussian Channel with Drift}, journal = {CoRR}, volume = {abs/1411.2443}, year = {2014}, url = {http://arxiv.org/abs/1411.2443}, eprinttype = {arXiv}, eprint = {1411.2443}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HsuLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/AngSCYC13, author = {Li{-}Minn Ang and Kah Phooi Seng and Li Wern Chew and Lee Seng Yeong and Wai Chong Chia}, title = {Wireless Multimedia Sensor Networks on Reconfigurable Hardware - Information Reduction Techniques}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38203-1}, doi = {10.1007/978-3-642-38203-1}, isbn = {978-3-642-38202-4}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/AngSCYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenLLCCC13, author = {Wen{-}Tsuen Chen and Youn{-}Long Lin and Chen{-}Yi Lee and Jeng{-}Long Chiang and Meng{-}Fan Chang and Shih{-}Chieh Chang}, title = {Strengthening Modern Electronics Industry Through the National Program for Intelligent Electronics in Taiwan}, journal = {{IEEE} Access}, volume = {1}, pages = {123--130}, year = {2013}, url = {https://doi.org/10.1109/ACCESS.2013.2260591}, doi = {10.1109/ACCESS.2013.2260591}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenLLCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/LeeHCHC13, author = {Yen{-}Hsien Lee and Paul Jen{-}Hwa Hu and Tsang{-}Hsiang Cheng and Te{-}Chia Huang and Wei{-}Yao Chuang}, title = {A preclustering-based ensemble learning technique for acute appendicitis diagnoses}, journal = {Artif. Intell. Medicine}, volume = {58}, number = {2}, pages = {115--124}, year = {2013}, url = {https://doi.org/10.1016/j.artmed.2013.03.007}, doi = {10.1016/J.ARTMED.2013.03.007}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/LeeHCHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/WengLLN13, author = {Chia{-}Wei Weng and Shan{-}Chih Lee and Yu{-}Liang Lee and Ka{-}Lok Ng}, title = {Analysis of the {NCI-60} dataset for cancer-related microRNA and mRNA using expression profiles}, journal = {Comput. Biol. Chem.}, volume = {44}, pages = {15--21}, year = {2013}, url = {https://doi.org/10.1016/j.compbiolchem.2013.02.001}, doi = {10.1016/J.COMPBIOLCHEM.2013.02.001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/WengLLN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/HongHCLLC13, author = {Jon{-}Chao Hong and Ming{-}Yueh Hwang and Wen{-}Chi Chen and Chia{-}Ching Lee and Pei{-}Hsin Lin and Yi{-}Ling Chen}, title = {Comparing the retention and flow experience in playing Solitary and Heart Attack games of San Zi Jing: {A} perspective of Dual Process Theory}, journal = {Comput. Educ.}, volume = {69}, pages = {369--376}, year = {2013}, url = {https://doi.org/10.1016/j.compedu.2013.07.027}, doi = {10.1016/J.COMPEDU.2013.07.027}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/HongHCLLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ChienCOCLSC13, author = {Shao{-}Yi Chien and Teng{-}Yuan Cheng and Shun{-}Hsing Ou and Chieh{-}Chuan Chiu and Chia{-}han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Power Consumption Analysis for Distributed Video Sensors in Machine-to-Machine Networks}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {3}, number = {1}, pages = {55--64}, year = {2013}, url = {https://doi.org/10.1109/JETCAS.2013.2242771}, doi = {10.1109/JETCAS.2013.2242771}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/ChienCOCLSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hac/TanCL13, author = {Chia{-}Chen Tan and Chih{-}Ming Chen and Hahn{-}Ming Lee}, title = {Using a Paper-based Digital Pen for Supporting English Courses in Regular Classrooms to Improve Reading Fluency}, journal = {Int. J. Humanit. Arts Comput.}, volume = {7}, number = {Supplement}, pages = {234--246}, year = {2013}, url = {https://doi.org/10.3366/ijhac.2013.0073}, doi = {10.3366/IJHAC.2013.0073}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hac/TanCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LaiLC13, author = {I{-}Wei Lai and Chia{-}han Lee and Kwang{-}Cheng Chen}, title = {A Virtual {MIMO} Path-Time Code for Cognitive Ad Hoc Networks}, journal = {{IEEE} Commun. Lett.}, volume = {17}, number = {1}, pages = {4--7}, year = {2013}, url = {https://doi.org/10.1109/LCOMM.2012.112012.121868}, doi = {10.1109/LCOMM.2012.112012.121868}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/LaiLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YangLC13, author = {Richard Hsin{-}Hsyong Yang and Chia{-}Kun Lee and Shiunn{-}Jang Chern}, title = {Continuous Phase Modulation {(CPM)} Revisited: Using Time-Limited Phase Shaping Pulses}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {11}, pages = {2828--2839}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.2828}, doi = {10.1587/TRANSCOM.E96.B.2828}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YangLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LeeKCHWHC13, author = {Yen{-}Ying Lee and Li{-}Na Kuo and Yi{-}Chun Chiang and Jing{-}Yi Hou and Tzu{-}Ying Wu and Min{-}Huei Hsu and Hsiang{-}Yin Chen}, title = {Pharmacist-conducted medication reconciliation at hospital admission using information technology in Taiwan}, journal = {Int. J. Medical Informatics}, volume = {82}, number = {6}, pages = {522--527}, year = {2013}, url = {https://doi.org/10.1016/j.ijmedinf.2013.01.006}, doi = {10.1016/J.IJMEDINF.2013.01.006}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/LeeKCHWHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/ChangL13, author = {Chin{-}Chen Chang and Chia{-}Yin Lee}, title = {A Smart Card-based Authentication Scheme Using User Identify Cryptography}, journal = {Int. J. Netw. Secur.}, volume = {15}, number = {2}, pages = {139--147}, year = {2013}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v15-n2/ijns-2013-v15-n2-p139-147.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/ChangL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnsec/LeeLH13, author = {Cheng{-}Chi Lee and Chia{-}Hsin Liu and Min{-}Shiang Hwang}, title = {Guessing Attacks on Strong-Password Authentication Protocol}, journal = {Int. J. Netw. Secur.}, volume = {15}, number = {1}, pages = {64--67}, year = {2013}, url = {http://ijns.jalaxy.com.tw/contents/ijns-v15-n1/ijns-2013-v15-n1-p64-67.pdf}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnsec/LeeLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/LiuHLC13, author = {Chien{-}Liang Liu and Wen{-}Hoar Hsaio and Chia{-}Hoang Lee and Chun{-}Hsien Chen}, title = {Clustering tagged documents with labeled and unlabeled documents}, journal = {Inf. Process. Manag.}, volume = {49}, number = {3}, pages = {596--606}, year = {2013}, url = {https://doi.org/10.1016/j.ipm.2012.12.004}, doi = {10.1016/J.IPM.2012.12.004}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/LiuHLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/HuLHC13, author = {Nian{-}Ze Hu and Chia{-}Ying Lee and Mark C. Hou and Ying{-}Ling Chen}, title = {A Cloud System for Mobile Medical Services of Traditional Chinese Medicine}, journal = {J. Medical Syst.}, volume = {37}, number = {6}, pages = {9978}, year = {2013}, url = {https://doi.org/10.1007/s10916-013-9978-8}, doi = {10.1007/S10916-013-9978-8}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/HuLHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ShihLYC13, author = {Po{-}Jen Shih and Chia{-}Han Lee and Ping{-}Cheng Yeh and Kwang{-}Cheng Chen}, title = {Channel Codes for Reliability Enhancement in Molecular Communication}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {31}, number = {12-Supplement}, pages = {857--867}, year = {2013}, url = {https://doi.org/10.1109/JSAC.2013.SUP2.12130018}, doi = {10.1109/JSAC.2013.SUP2.12130018}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsac/ShihLYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/WuLYLC13, author = {Chih{-}Yao Wu and Pang{-}Chang Lan and Ping{-}Cheng Yeh and Chia{-}Han Lee and Chen{-}Mou Cheng}, title = {Practical Physical Layer Security Schemes for {MIMO-OFDM} Systems Using Precoding Matrix Indices}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {31}, number = {9}, pages = {1687--1700}, year = {2013}, url = {https://doi.org/10.1109/JSAC.2013.130904}, doi = {10.1109/JSAC.2013.130904}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/WuLYLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangSLWKCYCLLCSKKT13, author = {Meng{-}Fan Chang and Shyh{-}Shyuan Sheu and Ku{-}Feng Lin and Che{-}Wei Wu and Chia{-}Chen Kuo and Pi{-}Feng Chiu and Yih{-}Shan Yang and Yu{-}Sheng Chen and Heng{-}Yuan Lee and Chen{-}Hsin Lien and Frederick T. Chen and Keng{-}Li Su and Tzu{-}Kun Ku and Ming{-}Jer Kao and Ming{-}Jinn Tsai}, title = {A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive {RAM} (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {3}, pages = {878--891}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2230515}, doi = {10.1109/JSSC.2012.2230515}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChangSLWKCYCLLCSKKT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HsiehHLCTLS13, author = {Yao{-}Sheng Hsieh and Yi{-}Ching Ho and Shyh{-}Yuan Lee and Ching{-}Cheng Chuang and Jui{-}Che Tsai and Kun{-}Feng Lin and Chia{-}Wei Sun}, title = {Dental Optical Coherence Tomography}, journal = {Sensors}, volume = {13}, number = {7}, pages = {8928--8949}, year = {2013}, url = {https://doi.org/10.3390/s130708928}, doi = {10.3390/S130708928}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HsiehHLCTLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangSHTCLH13, author = {Chua{-}Chin Wang and Tzu{-}Chiao Sung and Chia{-}Hao Hsu and Yue{-}Da Tsai and Yun{-}Chi Chen and Ming{-}Chih Lee and I{-}Yu Huang}, title = {A Protein Concentration Measurement System Using a Flexural Plate-Wave Frequency-Shift Readout Technique}, journal = {Sensors}, volume = {13}, number = {1}, pages = {86--105}, year = {2013}, url = {https://doi.org/10.3390/s130100086}, doi = {10.3390/S130100086}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangSHTCLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LiLCKCCYCHSL13, author = {Ming{-}Lung Li and Lung{-}Cheng Lee and Yuh{-}Ren Cheng and Ching{-}Hua Kuo and Yuan{-}Fang Chou and Yuh{-}Shyang Chen and Chih{-}Min Yao and Peir{-}Rong Chen and Chuan{-}Jen Hsu and Yu{-}Lin Song and Chia{-}Fone Lee}, title = {A Novel Aerosol-Mediated Drug Delivery System for Inner Ear Therapy: Intratympanic Aerosol Methylprednisolone Can Attenuate Acoustic Trauma}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {60}, number = {9}, pages = {2450--2460}, year = {2013}, url = {https://doi.org/10.1109/TBME.2013.2258154}, doi = {10.1109/TBME.2013.2258154}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/LiLCKCCYCHSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tem/LeeWL13, author = {Cheng{-}Yu Lee and Hsueh{-}Liang Wu and Chia{-}Yi Liu}, title = {Contextual Determinants of Ambidextrous Learning: Evidence From Industrial Firms in Four Industrialized Countries}, journal = {{IEEE} Trans. Engineering Management}, volume = {60}, number = {3}, pages = {529--540}, year = {2013}, url = {https://doi.org/10.1109/TEM.2012.2228204}, doi = {10.1109/TEM.2012.2228204}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tem/LeeWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChengLH13, author = {Chia{-}Wen Cheng and Chia{-}Wei Lee and Sun{-}Yuan Hsieh}, title = {Conditional Edge-Fault Hamiltonicity of Cartesian Product Graphs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {24}, number = {10}, pages = {1951--1960}, year = {2013}, url = {https://doi.org/10.1109/TPDS.2012.304}, doi = {10.1109/TPDS.2012.304}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChengLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LiuHLC13, author = {Chien{-}Liang Liu and Wen{-}Hoar Hsaio and Chia{-}Hoang Lee and Hsiao{-}Cheng Chi}, title = {An HMM-Based Algorithm for Content Ranking and Coherence-Feature Extraction}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {43}, number = {2}, pages = {440--450}, year = {2013}, url = {https://doi.org/10.1109/TSMCA.2012.2207104}, doi = {10.1109/TSMCA.2012.2207104}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/LiuHLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LinCL13, author = {Yi{-}Min Lin and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Improved High Code-Rate Soft {BCH} Decoder Architectures With One Extra Error Compensation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {11}, pages = {2160--2164}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2227847}, doi = {10.1109/TVLSI.2012.2227847}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LinCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/LeeSC13, author = {Chia{-}Han Lee and Cheng{-}Yu Shih and Yu{-}Sheng Chen}, title = {Stochastic geometry based models for modeling cellular networks in urban areas}, journal = {Wirel. Networks}, volume = {19}, number = {6}, pages = {1063--1072}, year = {2013}, url = {https://doi.org/10.1007/s11276-012-0518-0}, doi = {10.1007/S11276-012-0518-0}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/LeeSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LinCLWCCLP13, author = {Chin{-}Feng Lin and Shun{-}Hsyung Chang and Chia{-}Chang Lee and Wen{-}Chin Wu and Wei{-}Hua Chen and Kao{-}Hung Chang and Jenny Chih{-}Yu Lee and Ivan A. Parinov}, title = {Underwater Acoustic Multimedia Communication Based on {MIMO-OFDM}}, journal = {Wirel. Pers. Commun.}, volume = {71}, number = {2}, pages = {1231--1245}, year = {2013}, url = {https://doi.org/10.1007/s11277-012-0871-4}, doi = {10.1007/S11277-012-0871-4}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LinCLWCCLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LeeWLLLWCCLC13, author = {Ying{-}Li Lee and Tzuning Wen and Sheng Chieh Lu and Chia Wei Lin and Ching{-}lin Lai and Meng{-}Ping Wu and Shuo{-}Ju Chiang and Mei{-}Ju Chen and Chien{-}Hsien Lee and Polun Chang}, title = {How many materials needed to facilitate the middle age and elderly to learn using smartphones?}, booktitle = {{AMIA} 2013, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2013}, publisher = {{AMIA}}, year = {2013}, url = {https://knowledge.amia.org/amia-55142-a2013e-1.580047/t-06-1.582200/f-006-1.582201/a-288-1.583133/a-289-1.583127}, timestamp = {Wed, 17 Apr 2024 11:47:55 +0200}, biburl = {https://dblp.org/rec/conf/amia/LeeWLLLWCCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/LiLCCL13, author = {Yun{-}Chiao Li and Hung{-}yi Lee and Cheng{-}Tao Chung and Chun{-}an Chan and Lin{-}Shan Lee}, title = {Towards unsupervised semantic retrieval of spoken content with query expansion based on automatically discovered acoustic patterns}, booktitle = {2013 {IEEE} Workshop on Automatic Speech Recognition and Understanding, Olomouc, Czech Republic, December 8-12, 2013}, pages = {198--203}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASRU.2013.6707729}, doi = {10.1109/ASRU.2013.6707729}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asru/LiLCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LiuCCTLY13, author = {Yao{-}Chia Liu and Wei{-}Zen Chen and Mao{-}Hsuan Chou and Tsung{-}Hsien Tsai and Yen{-}Wei Lee and Min{-}Shueh Yuan}, title = {A 0.1-3GHz cell-based fractional-N all digital phase-locked loop using {\(\Delta\)}{\(\Sigma\)} noise-shaped phase detector}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658528}, doi = {10.1109/CICC.2013.6658528}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LiuCCTLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/LeeLFTW13, author = {Trong{-}Yen Lee and Min{-}Jea Liu and Chia{-}Chen Fan and Chia{-}Chun Tsai and Haixia Wu}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {Low Complexity Digit-Serial Multiplier over GF(2m) Using Karatsuba Technology}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {461--466}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.84}, doi = {10.1109/CISIS.2013.84}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/LeeLFTW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LiuLHCLL13, author = {Shih{-}Ying Sean Liu and Chieh{-}Jui Lee and Chuan{-}Chia Huang and Hung{-}Ming Chen and Chang{-}Tzu Lin and Chia{-}Hsin Lee}, editor = {Enrico Macii}, title = {Effective power network prototyping via statistical-based clustering and sequential linear programming}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {1701--1706}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.343}, doi = {10.7873/DATE.2013.343}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LiuLHCLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eenergy/JengKHLTFLC13, author = {Ru{-}Sen Jeng and Chien{-}Yu Kuo and Yao{-}Hua Ho and Ming{-}Feng Lee and Lin{-}Wen Tseng and Chia{-}Lin Fu and Pei{-}Fang Liang and Ling{-}Jyh Chen}, editor = {David E. Culler and Catherine Rosenberg and Srinivasan Keshav and Jim Kurose}, title = {Missing data handling for meter data management system}, booktitle = {The Fourth International Conference on Future Energy Systems, e-Energy '13, Berkeley, CA, USA, May 22-24, 2013}, pages = {275--276}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2487166.2487204}, doi = {10.1145/2487166.2487204}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eenergy/JengKHLTFLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChiuMYL13, author = {Hung{-}Jui Chiu and Ling{-}San Meng and Ping{-}Cheng Yeh and Chia{-}Han Lee}, title = {Near-optimal low complexity receiver design for diffusion-based molecular communication}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {3372--3377}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831593}, doi = {10.1109/GLOCOM.2013.6831593}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChiuMYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HuangLLLY13, author = {Jiun{-}Ting Huang and Hsin{-}Yu Lai and Yen{-}Chi Lee and Chia{-}Han Lee and Ping{-}Cheng Yeh}, title = {Distance estimation in concentration-based molecular communications}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {2587--2591}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831464}, doi = {10.1109/GLOCOM.2013.6831464}, timestamp = {Fri, 28 Apr 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HuangLLLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LiuL13a, author = {Chen{-}Feng Liu and Chia{-}Han Lee}, title = {Information and power transfer under {MISO} channel with finite-rate feedback}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {2497--2501}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831449}, doi = {10.1109/GLOCOM.2013.6831449}, timestamp = {Fri, 28 Apr 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LiuL13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChenWL13, author = {Chien{-}Hsu Chen and Shao{-}Yu Wang and Yi{-}Chia Nina Lee}, editor = {Constantine Stephanidis and Margherita Antona}, title = {Developing Story Performing System for Children}, booktitle = {Universal Access in Human-Computer Interaction. Applications and Services for Quality of Life - 7th International Conference, {UAHCI} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8011}, pages = {143--152}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39194-1\_17}, doi = {10.1007/978-3-642-39194-1\_17}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/ChenWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeSC13, author = {Yi{-}Chia Nina Lee and Li{-}Ting Shan and Chien{-}Hsu Chen}, editor = {Randall Shumaker}, title = {System Development of Immersive Technology Theatre in Museum}, booktitle = {Virtual, Augmented and Mixed Reality. Systems and Applications - 5th International Conference, {VAMR} 2013, Held as Part of {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8022}, pages = {400--408}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39420-1\_42}, doi = {10.1007/978-3-642-39420-1\_42}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeLCL13, author = {Hung{-}yi Lee and Yun{-}Chiao Li and Cheng{-}Tao Chung and Lin{-}Shan Lee}, title = {Enhancing query expansion for semantic retrieval of spoken content with automatically discovered acoustic patterns}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2013, Vancouver, BC, Canada, May 26-31, 2013}, pages = {8297--8301}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICASSP.2013.6639283}, doi = {10.1109/ICASSP.2013.6639283}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/HsuCHL13, author = {Kou{-}Cheng Hsu and Hsin{-}Han Chiang and Chin{-}I Huang and Tsu{-}Tian Lee}, title = {Optimized adaptive sliding-mode position control system for linear induction motor drive}, booktitle = {Proceedings of 10th {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2013, Evry, France, April 10-12, 2013}, pages = {355--360}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICNSC.2013.6548763}, doi = {10.1109/ICNSC.2013.6548763}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/HsuCHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/JengL13, author = {Albert B. Jeng and Chia Ling Lee}, editor = {Moonis Ali and Tibor Bosse and Koen V. Hindriks and Mark Hoogendoorn and Catholijn M. Jonker and Jan Treur}, title = {A Study on Online Game Cheating and the Effective Defense}, booktitle = {Recent Trends in Applied Artificial Intelligence, 26th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2013, Amsterdam, The Netherlands, June 17-21, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7906}, pages = {518--527}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38577-3\_53}, doi = {10.1007/978-3-642-38577-3\_53}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/JengL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChiangSCL13, author = {Chen{-}Yu Chiang and Sabato Marco Siniscalchi and Sin{-}Horng Chen and Chin{-}Hui Lee}, editor = {Fr{\'{e}}d{\'{e}}ric Bimbot and Christophe Cerisara and C{\'{e}}cile Fougeron and Guillaume Gravier and Lori Lamel and Fran{\c{c}}ois Pellegrino and Pascal Perrier}, title = {Knowledge integration for improving performance in {LVCSR}}, booktitle = {14th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2013, Lyon, France, August 25-29, 2013}, pages = {1786--1790}, publisher = {{ISCA}}, year = {2013}, url = {https://doi.org/10.21437/Interspeech.2013-442}, doi = {10.21437/INTERSPEECH.2013-442}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChiangSCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChangYLLLCCHJTHHKCWWLCS13, author = {Chi{-}Shin Chang and Hao{-}I Yang and Wei{-}Nan Liao and Yi{-}Wei Lin and Nan{-}Chun Lien and Chien{-}Hen Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Ming{-}Hsien Tu and Huan{-}Shun Huang and Yong{-}Jyun Hu and Paul{-}Sen Kan and Cheng{-}Yo Cheng and Wei{-}Chang Wang and Jian{-}Hao Wang and Kuen{-}Di Lee and Chia{-}Cheng Chen and Wei{-}Chiang Shih}, title = {A 40nm 1.0Mb pipeline 6T {SRAM} with variation-tolerant Step-Up Word-Line and Adaptive Data-Aware Write-Assist}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {1468--1471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6572134}, doi = {10.1109/ISCAS.2013.6572134}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChangYLLLCCHJTHHKCWWLCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHCLC13, author = {Yuh{-}Jiun Wang and Szu{-}Lu Hsu and Teng{-}Yuan Cheng and Chia{-}Han Lee and Shao{-}Yi Chien}, title = {Low-complexity feedback-channel-free distributed video coding with enhanced classifier}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {257--260}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571831}, doi = {10.1109/ISCAS.2013.6571831}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/LeeYHWHCLC13, author = {Yi{-}Chia Lee and Chia{-}Yu Yao and Cheng{-}Yu Hsieh and Jau{-}Yi Wu and Yi{-}Hsuan Hsieh and Chien{-}Hsiung Chen and Rung{-}Huei Liang and Ya{-}Shu Chen}, title = {Egg Pair - {A} hearing game for the visually impaired people using {RFID}}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, pages = {3--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCE.2013.6570237}, doi = {10.1109/ISCE.2013.6570237}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isce/LeeYHWHCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/LuoZCCLSSKS13, author = {Pei{-}Wen Luo and Chun Zhang and Yung{-}Tai Chang and Liang{-}Chia Cheng and Hung{-}Hsie Lee and Bih{-}Lan Sheu and Yu{-}Shih Su and Ding{-}Ming Kwai and Yiyu Shi}, editor = {Cheng{-}Kok Koh and Cliff C. N. Sze}, title = {Benchmarking for research in power delivery networks of three-dimensional integrated circuits}, booktitle = {International Symposium on Physical Design, ISPD'13, Stateline, NV, USA, March 24-27, 2013}, pages = {17--24}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2451916.2451922}, doi = {10.1145/2451916.2451922}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispd/LuoZCCLSSKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JiangCHLYL13, author = {Jhih{-}Yu Jiang and Ping{-}Chuan Chiang and Hao{-}Wei Hung and Chen{-}Lun Lin and Ty Yoon and Jri Lee}, title = {100Gb/s ethernet chipsets in 65nm {CMOS} technology}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {120--121}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487663}, doi = {10.1109/ISSCC.2013.6487663}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JiangCHLYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCCL13, author = {Jen{-}Wei Lee and Szu{-}Chi Chung and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Processor with side-channel attack resistance}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {50--51}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487632}, doi = {10.1109/ISSCC.2013.6487632}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GoelAWCHMLCKVMSCLCK13, author = {Sandeep Kumar Goel and Saman Adham and Min{-}Jer Wang and Ji{-}Jan Chen and Tze{-}Chiang Huang and Ashok Mehta and Frank Lee and Vivek Chickermane and Brion L. Keller and Thomas Valind and Subhasish Mukherjee and Navdeep Sood and Jeongho Cho and Hayden Hyungdong Lee and Jungi Choi and Sangdoo Kim}, title = {Test and debug strategy for {TSMC} CoWoS{\texttrademark} stacking process based heterogeneous 3D {IC:} {A} silicon case study}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651893}, doi = {10.1109/TEST.2013.6651893}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GoelAWCHMLCKVMSCLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memea/WangLLLFLLCW13, author = {Wei{-}Wen Wang and Kai{-}Wen Lee and Sheng{-}Yen Lin and Chia{-}Hsun Lin and Li{-}Chen Fu and Jin{-}Shin Lai and Jer{-}Junn Luh and Wen{-}Shiang Chen and Tyng{-}Guey Wang}, title = {A joint localizer for finger length measurements}, booktitle = {{IEEE} International Symposium on Medical Measurements and Applications, MeMeA 2013, Gatineau, QC, Canada, May 4-5, 2013, Proceedings}, pages = {111--115}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/MeMeA.2013.6549717}, doi = {10.1109/MEMEA.2013.6549717}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memea/WangLLLFLLCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/ChiangTL13, author = {Cheng{-}Chieh Chiang and Cheng{-}Chuan Tsai and Greg C. Lee}, title = {Vision-based Raising Hand Detection in Classroom}, booktitle = {Proceedings of the 13. {IAPR} International Conference on Machine Vision Applications, {MVA} 2013, Kyoto, Japan, May 20-23, 2013}, pages = {61--64}, year = {2013}, url = {http://www.mva-org.jp/Proceedings/2013USB/papers/04-07.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/ChiangTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ChenSCHHZLLLW13, author = {Chin{-}Ta Chen and Po{-}Kuan Shen and Chia{-}Chi Chang and Hsu{-}Liang Hsiao and Tien{-}Yu Huan and Teng{-}Zhang Zhu and Hsiao{-}Chin Lan and Yun{-}Chih Lee and Yo{-}Shen Lin and Mount{-}Learn Wu}, title = {Polymer-based vertically optical splitter with 20-Gbps transmission rate realized on silicon substrate}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6532992}, timestamp = {Thu, 26 Sep 2024 15:12:51 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ChenSCHHZLLLW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LuCWCLHLC13, author = {I{-}Cheng Lu and Hsing{-}Yu Chen and Chia{-}Chien Wei and Yu{-}Chieh Chi and Yi{-}Cheng Lee and Dar{-}Zu Hsu and Gong{-}Ru Lin and Jyehong Chen}, title = {20-Gbps {WDM-PON} transmissions employing weak-resonant-cavity {FPLD} with {OFDM} and {SC-FDE} modulation formats}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6532562}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LuCWCLHLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rvsp/ChenLLTL13, author = {Yi{-}Ting Chen and Bin{-}Yih Liao and Chin{-}Feng Lee and Wu{-}Der Tsay and Mei{-}Chiao Lai}, title = {An Adjustable Frequency Bat Algorithm Based on Flight Direction to Improve Solution Accuracy for Optimization Problems}, booktitle = {Second International Conference on Robot, Vision and Signal Processing, {RVSP} 2013, Kitakyushu, Japan, December 10-12, 2013}, pages = {172--177}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RVSP.2013.47}, doi = {10.1109/RVSP.2013.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rvsp/ChenLLTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/ChenL13, author = {Ruey{-}Cheng Chen and Chia{-}Jung Lee}, editor = {Gareth J. F. Jones and Paraic Sheridan and Diane Kelly and Maarten de Rijke and Tetsuya Sakai}, title = {An information-theoretic account of static index pruning}, booktitle = {The 36th International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} '13, Dublin, Ireland - July 28 - August 01, 2013}, pages = {163--172}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2484028.2484061}, doi = {10.1145/2484028.2484061}, timestamp = {Tue, 06 Nov 2018 11:07:23 +0100}, biburl = {https://dblp.org/rec/conf/sigir/ChenL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/WeiCL13, author = {Chia{-}Ching Wei and Thao{-}Tsen Chen and Shie{-}Jue Lee}, title = {k-NN Based Neuro-fuzzy System for Time Series Prediction}, booktitle = {14th {ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2013, Honolulu, Hawaii, USA, 1-3 July, 2013}, pages = {569--574}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SNPD.2013.68}, doi = {10.1109/SNPD.2013.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/WeiCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChenLLTC13, author = {Chien{-}Liang Chen and Chao{-}Lin Liu and Chia{-}Ying Lee and Yu{-}Lin Tzeng and Chia{-}Ju Chou}, title = {Linking Statistics of Betting Behavior to Difficulties of Test Items: An Exploration}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2013, Taipei, Taiwan, December 6-8, 2013}, pages = {109--114}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TAAI.2013.33}, doi = {10.1109/TAAI.2013.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/ChenLLTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/WeiLHSC13, author = {Shu{-}Han Wei and Yu{-}Min Lee and Chia{-}Tung Ho and Chih{-}Ting Sun and Liang{-}Chia Cheng}, title = {Power delivery network design for wiring and {TSV} resource minimization in TSV-based 3-D ICs}, booktitle = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLDI-DAT.2013.6533816}, doi = {10.1109/VLDI-DAT.2013.6533816}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/WeiLHSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChenCUL13, author = {Yen{-}Ming Chen and Chia{-}Wei Chen and Yeong{-}Luh Ueng and Huang{-}Chang Lee}, title = {Look-Up Table Based Differential Amplitude/Phase Modulation Schemes for Rayleigh Block Fading Channels}, booktitle = {Proceedings of the 78th {IEEE} Vehicular Technology Conference, {VTC} Fall 2013, Las Vegas, NV, USA, September 2-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VTCFall.2013.6692271}, doi = {10.1109/VTCFALL.2013.6692271}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChenCUL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ShihL13, author = {Cheng{-}Yu Shih and Chia{-}Han Lee}, title = {Coverage analysis of femtocell networks with hybrid access policy}, booktitle = {2013 {IEEE} Wireless Communications and Networking Conference (WCNC), Shanghai, Shanghai, China, April 7-10, 2013}, pages = {2091--2095}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/WCNC.2013.6554885}, doi = {10.1109/WCNC.2013.6554885}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ShihL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcgenomics/WangLCLYCL12, author = {Nai{-}Jyuan Wang and Chi{-}Ching Lee and Chao{-}Sheng Cheng and Wei{-}Cheng Lo and Ya{-}Fen Yang and Ming{-}Nan Chen and Ping{-}Chiang Lyu}, title = {Construction and analysis of a plant non-specific lipid transfer protein database (nsLTPDB)}, journal = {{BMC} Genom.}, volume = {13}, number = {{S-1}}, pages = {S9}, year = {2012}, url = {https://doi.org/10.1186/1471-2164-13-S1-S9}, doi = {10.1186/1471-2164-13-S1-S9}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcgenomics/WangLCLYCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/SheCLWCLCC12, author = {Hsiao{-}Ching She and Meng{-}Tzu Cheng and Ta{-}Wei Li and Chia{-}Yu Wang and Hsin{-}Tien Chiu and Pei{-}Zon Lee and Wen{-}Chi Chou and Ming{-}Hua Chuang}, title = {Web-based undergraduate chemistry problem-solving: The interplay of task performance, domain knowledge and web-searching strategies}, journal = {Comput. Educ.}, volume = {59}, number = {2}, pages = {750--761}, year = {2012}, url = {https://doi.org/10.1016/j.compedu.2012.02.005}, doi = {10.1016/J.COMPEDU.2012.02.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/SheCLWCLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/OoiSL12, author = {Chia Yee Ooi and Jia Pao Sua and Siaw Chen Lee}, title = {Power-aware system-on-chip test scheduling using enhanced rectangle packing algorithm}, journal = {Comput. Electr. Eng.}, volume = {38}, number = {6}, pages = {1444--1455}, year = {2012}, url = {https://doi.org/10.1016/j.compeleceng.2012.04.010}, doi = {10.1016/J.COMPELECENG.2012.04.010}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/OoiSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ShihLCC12, author = {Hsu{-}Shih Shih and E. Stanley Lee and Shun{-}Hsiang Chuang and Chiau{-}Ching Chen}, title = {A forecasting decision on the sales volume of printers in Taiwan: An exploitation of the Analytic Network Process}, journal = {Comput. Math. Appl.}, volume = {64}, number = {6}, pages = {1545--1556}, year = {2012}, url = {https://doi.org/10.1016/j.camwa.2011.12.082}, doi = {10.1016/J.CAMWA.2011.12.082}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ShihLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/heuristics/LiangLC12, author = {Yun{-}Chia Liang and Zu{-}Hsu Lee and Yu{-}Shen Chen}, title = {A novel ant colony optimization approach for on-line scheduling and due date determination}, journal = {J. Heuristics}, volume = {18}, number = {4}, pages = {571--591}, year = {2012}, url = {https://doi.org/10.1007/s10732-012-9199-1}, doi = {10.1007/S10732-012-9199-1}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/heuristics/LiangLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/LeeCC12, author = {Chih{-}Cheng Lee and Chi Chiang and Chen{-}Tung Chen}, title = {An Evaluation Model of E-service Quality by Applying Hierarchical Fuzzy {TOPSIS} Method}, journal = {Int. J. Electron. Bus. Manag.}, volume = {10}, number = {1}, pages = {38--49}, year = {2012}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V10\_N1/A05.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/LeeCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsds/ChenCTCW12, author = {Yi{-}Fen Chen and Bi{-}Chu Chen and Chia{-}Wen Tsai and Wen{-}Yu Chen and Lee{-}Wei Wei}, title = {Estimating the Global Demand of Photovoltaic System}, journal = {Int. J. Strateg. Decis. Sci.}, volume = {3}, number = {1}, pages = {120--128}, year = {2012}, url = {https://doi.org/10.4018/jsds.2012010105}, doi = {10.4018/JSDS.2012010105}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsds/ChenCTCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/LeeLCW12, author = {Jonathan Lee and Shin{-}Jie Lee and Hsi{-}Min Chen and Chia{-}Ling Wu}, title = {Composing web services enacted by autonomous agents through agent-centric contract net protocol}, journal = {Inf. Softw. Technol.}, volume = {54}, number = {9}, pages = {951--967}, year = {2012}, url = {https://doi.org/10.1016/j.infsof.2012.03.001}, doi = {10.1016/J.INFSOF.2012.03.001}, timestamp = {Thu, 24 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/infsof/LeeLCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/LeeSLL12, author = {Chien{-}Cheng Lee and Cheng{-}Yuan Shih and Wen{-}Ping Lai and Po{-}Chiang Lin}, title = {An improved boosting algorithm and its application to facial emotion recognition}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {3}, number = {1}, pages = {11--17}, year = {2012}, url = {https://doi.org/10.1007/s12652-011-0085-8}, doi = {10.1007/S12652-011-0085-8}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/LeeSLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/YuSDCWLTJALHCL12, author = {Hwan{-}Jeu Yu and Chia{-}Ping Shen and Sarangerel Dorjgochoo and Chi{-}Huang Chen and Jin{-}Ming Wu and Mei{-}Shu Lai and Ching{-}Ting Tan and Chinburen Jigjidsuren and Erdenebaatar Altangerel and Hung{-}Chang Lee and Chih{-}Wen Hsueh and Yu{-}Fang Chung and Feipei Lai}, title = {A Physician Order Category-Based Clinical Guideline Comparison System}, journal = {J. Medical Syst.}, volume = {36}, number = {6}, pages = {3741--3753}, year = {2012}, url = {https://doi.org/10.1007/s10916-012-9847-x}, doi = {10.1007/S10916-012-9847-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/YuSDCWLTJALHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenLCL12, author = {Chih{-}Lung Chen and Yu{-}Hsiang Lin and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 2.37-Gb/s 284.8 mW Rate-Compatible (491, 3, 6) {LDPC-CC} Decoder}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {817--831}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185193}, doi = {10.1109/JSSC.2012.2185193}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12, author = {Shyuan Liao and Yen{-}Shuo Chang and Chia{-}Hsin Wu and Hung{-}Chieh Tsai and Hsin{-}Hua Chen and Min Chen and Ching{-}Wen Hsueh and Jian{-}Bang Lin and Den{-}Kai Juang and Shun{-}An Yang and Chin{-}Tai Liu and Tsai{-}Pao Lee and Jin{-}Ru Chen and Chih{-}Heng Shih and Barry Hong and Heng{-}Ruey Hsu and Chih{-}Yuan Wang and Meng{-}Shiang Lin and Wei{-}Hsiang Tseng and Che{-}Hsiung Yang and Lawrence Chen Lee and Ting{-}Jyun Jheng and Wen{-}Wei Yang and Ming{-}Yang Chao and Jyh{-}Shin Pan}, title = {A 70-Mb/s 100.5-dBm Sensitivity 65-nm {LP} {MIMO} Chipset for WiMAX Portable Router}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {61--74}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2167811}, doi = {10.1109/JSSC.2011.2167811}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YenHCCJL12, author = {Shao{-}Wei Yen and Shiang{-}Yu Hung and Chih{-}Lung Chen and Hsie{-}Chia Chang and Shyh{-}Jye Jou and Chen{-}Yi Lee}, title = {A 5.79-Gb/s Energy-Efficient Multirate {LDPC} Codec Chip for {IEEE} 802.15.3c Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {9}, pages = {2246--2257}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2194176}, doi = {10.1109/JSSC.2012.2194176}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YenHCCJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ChenCLC12, author = {Chun{-}Hao Chen and Rui{-}Dong Chiang and Cho{-}Ming Lee and Chih{-}Yang Chen}, title = {Improving the performance of association classifiers by rule prioritization}, journal = {Knowl. Based Syst.}, volume = {36}, pages = {59--67}, year = {2012}, url = {https://doi.org/10.1016/j.knosys.2012.06.004}, doi = {10.1016/J.KNOSYS.2012.06.004}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/ChenCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ChenSCL12, author = {Ling{-}Jyh Chen and Yu{-}Song Syu and Hung{-}Chia Chen and Wang{-}Chien Lee}, title = {The Design and Evaluation of Task Assignment Algorithms for GWAP-based Geospatial Tagging Systems}, journal = {Mob. Networks Appl.}, volume = {17}, number = {3}, pages = {395--414}, year = {2012}, url = {https://doi.org/10.1007/s11036-011-0314-6}, doi = {10.1007/S11036-011-0314-6}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ChenSCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/JengCCYLCLLC12, author = {Ming{-}Jer Jeng and Kuo{-}Ling Chiang and Hsin{-}Yi Chang and Chia{-}Yi Yen and Cheng{-}Chen Lin and Yuan{-}Hsiao Chang and Mu{-}Jen Lai and Yu{-}Lin Lee and Liann{-}Be Chang}, title = {Heat sink performances of GaN/InGaN flip-chip light-emitting diodes fabricated on silicon and AlN submounts}, journal = {Microelectron. Reliab.}, volume = {52}, number = {5}, pages = {884--888}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2011.04.013}, doi = {10.1016/J.MICROREL.2011.04.013}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/JengCCYLCLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChiangWL12, author = {Cheng{-}Chieh Chiang and Jia{-}Wei Wu and Greg C. Lee}, title = {Probabilistic semantic component descriptor}, journal = {Multim. Tools Appl.}, volume = {59}, number = {2}, pages = {629--643}, year = {2012}, url = {https://doi.org/10.1007/s11042-011-0726-0}, doi = {10.1007/S11042-011-0726-0}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChiangWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/LeeH12, author = {Ju{-}Hong Lee and Chia{-}Cheng Huang}, title = {Robust cyclic adaptive beamforming using a compensation method}, journal = {Signal Process.}, volume = {92}, number = {4}, pages = {954--962}, year = {2012}, url = {https://doi.org/10.1016/j.sigpro.2011.10.008}, doi = {10.1016/J.SIGPRO.2011.10.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/LeeH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/LeeC12, author = {Wen{-}Chia Lee and Chin{-}Hsing Chen}, title = {A Fast Template Matching Method With Rotation Invariance by Combining the Circular Projection Transform Process and Bounded Partial Correlation}, journal = {{IEEE} Signal Process. Lett.}, volume = {19}, number = {11}, pages = {737--740}, year = {2012}, url = {https://doi.org/10.1109/LSP.2012.2212010}, doi = {10.1109/LSP.2012.2212010}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/LeeC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/LeeCL12, author = {Hung{-}yi Lee and Chia{-}Ping Chen and Lin{-}Shan Lee}, title = {Integrating Recognition and Retrieval With Relevance Feedback for Spoken Term Detection}, journal = {{IEEE} Trans. Speech Audio Process.}, volume = {20}, number = {7}, pages = {2095--2110}, year = {2012}, url = {https://doi.org/10.1109/TASL.2012.2196514}, doi = {10.1109/TASL.2012.2196514}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/LeeCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChuangLCHLS12, author = {Ching{-}Cheng Chuang and Chia{-}Yen Lee and Chung{-}Ming Chen and Yao{-}Sheng Hsieh and Tsan{-}Chi Liu and Chia{-}Wei Sun}, title = {Diffuser-Aided Diffuse Optical Imaging for Breast Tumor: {A} Feasibility Study Based on Time-Resolved Three-Dimensional Monte Carlo Modeling}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {5}, pages = {1454--1461}, year = {2012}, url = {https://doi.org/10.1109/TBME.2012.2187900}, doi = {10.1109/TBME.2012.2187900}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChuangLCHLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/WangCLTCHLLS12, author = {Chunyang Wang and Ming{-}Lung Chuang and Shinn{-}Jye Liang and Jui{-}Che Tsai and Ching{-}Cheng Chuang and Yao{-}Sheng Hsieh and Chih{-}Wei Lu and Po{-}Lei Lee and Chia{-}Wei Sun}, title = {Diffuse Optical Multipatch Technique for Tissue Oxygenation Monitoring: Clinical Study in Intensive Care Unit}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {1}, pages = {87--94}, year = {2012}, url = {https://doi.org/10.1109/TBME.2011.2147315}, doi = {10.1109/TBME.2011.2147315}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/WangCLTCHLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeHCL12, author = {Jen{-}Wei Lee and Ju{-}Hung Hsiao and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {An Efficient {DPA} Countermeasure With Randomized Montgomery Operations for {DF-ECC} Processor}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {5}, pages = {287--291}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2012.2190857}, doi = {10.1109/TCSII.2012.2190857}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeHCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiuCL12, author = {Po{-}Chun Liu and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A True Random-Based Differential Power Analysis Countermeasure Circuit for an {AES} Engine}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {2}, pages = {103--107}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2011.2180094}, doi = {10.1109/TCSII.2011.2180094}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiuCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YuCYL12, author = {Chien{-}Ying Yu and Ching{-}Che Chung and Chia{-}Jung Yu and Chen{-}Yi Lee}, title = {A Low-Power {DCO} Using Interlaced Hysteresis Delay Cells}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {10}, pages = {673--677}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2012.2213357}, doi = {10.1109/TCSII.2012.2213357}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YuCYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HsiaoCLC12, author = {Yi{-}Mao Hsiao and Chia{-}Hsiang Chen and Jeng{-}Farn Lee and Yuan{-}Sun Chu}, title = {Designing and implementing a scalable video-streaming system using an adaptive control scheme}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {4}, pages = {1314--1322}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6415001}, doi = {10.1109/TCE.2012.6415001}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HsiaoCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChangL12, author = {Chin{-}Chen Chang and Chia{-}Yin Lee}, title = {A Secure Single Sign-On Mechanism for Distributed Computer Networks}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {1}, pages = {629--637}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2130500}, doi = {10.1109/TIE.2011.2130500}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/FangLLLCL12, author = {Yuming Fang and Weisi Lin and Bu{-}Sung Lee and Chiew Tong Lau and Zhenzhong Chen and Chia{-}Wen Lin}, title = {Bottom-Up Saliency Detection Model Based on Human Visual Sensitivity and Amplitude Spectrum}, journal = {{IEEE} Trans. Multim.}, volume = {14}, number = {1}, pages = {187--198}, year = {2012}, url = {https://doi.org/10.1109/TMM.2011.2169775}, doi = {10.1109/TMM.2011.2169775}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/FangLLLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/YehCLMSKLL12, author = {Ping{-}Cheng Yeh and Kwang{-}Cheng Chen and Yen{-}Chi Lee and Ling{-}San Meng and Po{-}Jen Shih and Pin{-}Yu Ko and Wei{-}An Lin and Chia{-}han Lee}, title = {A new frontier of wireless communication theory: diffusion-based molecular communications}, journal = {{IEEE} Wirel. Commun.}, volume = {19}, number = {5}, pages = {28--35}, year = {2012}, url = {https://doi.org/10.1109/MWC.2012.6339469}, doi = {10.1109/MWC.2012.6339469}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/YehCLMSKLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/airs/HuangLC12, author = {Hen{-}Hsen Huang and Chia{-}Chun Lee and Hsin{-}Hsi Chen}, editor = {Yuexian Hou and Jian{-}Yun Nie and Le Sun and Bo Wang and Peng Zhang}, title = {Outpatient Department Recommendation Based on Medical Summaries}, booktitle = {Information Retrieval Technology, 8th Asia Information Retrieval Societies Conference, {AIRS} 2012, Tianjin, China, December 17-19, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7675}, pages = {518--527}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35341-3\_47}, doi = {10.1007/978-3-642-35341-3\_47}, timestamp = {Wed, 26 Aug 2020 16:28:48 +0200}, biburl = {https://dblp.org/rec/conf/airs/HuangLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/HsiaoWLL12, author = {Shen{-}Fu Hsiao and Chia{-}Sheng Wen and Cheng{-}Han Lee and Andrew Lee}, title = {Low-cost designs of rectangular to polar coordinate converters for digital communication}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {511--514}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6419084}, doi = {10.1109/APCCAS.2012.6419084}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/HsiaoWLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LiuTWLL12, author = {Chia{-}Lin Liu and Chang{-}Hung Tsai and Hsiuan{-}Ting Wang and Yao Li and Chen{-}Yi Lee}, title = {A memory-efficient architecture for intra predictor and de-blocking filter in video coding system}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {555--558}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6419095}, doi = {10.1109/APCCAS.2012.6419095}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LiuTWLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/WangLLTCJCLSLC12, author = {Shao{-}Cheng Wang and Geng{-}Cing Lin and Yi{-}Wei Lin and Ming{-}Chien Tsai and Yi{-}Wei Chiu and Shyh{-}Jye Jou and Ching{-}Te Chuang and Nan{-}Chun Lien and Wei{-}Chiang Shih and Kuen{-}Di Lee and Jyun{-}Kai Chu}, title = {Design and implementation of dynamic Word-Line pulse write margin monitor for {SRAM}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2012, Kaohsiung, Taiwan, December 2-5, 2012}, pages = {116--119}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCCAS.2012.6418985}, doi = {10.1109/APCCAS.2012.6418985}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/WangLLTCJCLSLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChiuWCLSC12, author = {Chieh{-}Chuan Chiu and Hsin{-}Fang Wu and Shao{-}Yi Chien and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Hardware architecture design of hybrid distributed video coding with frame level coding mode selection}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6411963/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ChiuWCLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChienCCTLSC12, author = {Shao{-}Yi Chien and Teng{-}Yuan Cheng and Chieh{-}Chuan Chiu and Pei{-}Kuei Tsung and Chia{-}han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Power optimization of wireless video sensor nodes in {M2M} networks}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {401--405}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6164981}, doi = {10.1109/ASPDAC.2012.6164981}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChienCCTLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ches/LeeCCL12, author = {Jen{-}Wei Lee and Szu{-}Chi Chung and Hsie{-}Chia Chang and Chen{-}Yi Lee}, editor = {Emmanuel Prouff and Patrick Schaumont}, title = {An Efficient Countermeasure against Correlation Power-Analysis Attacks with Randomized Montgomery Operations for {DF-ECC} Processor}, booktitle = {Cryptographic Hardware and Embedded Systems - {CHES} 2012 - 14th International Workshop, Leuven, Belgium, September 9-12, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7428}, pages = {548--564}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33027-8\_32}, doi = {10.1007/978-3-642-33027-8\_32}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ches/LeeCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ChenLTH12, author = {Ruey{-}Cheng Chen and Chia{-}Jung Lee and Chiung{-}Min Tsai and Jieh Hsiang}, editor = {Xue{-}wen Chen and Guy Lebanon and Haixun Wang and Mohammed J. Zaki}, title = {Information preservation in static index pruning}, booktitle = {21st {ACM} International Conference on Information and Knowledge Management, CIKM'12, Maui, HI, USA, October 29 - November 02, 2012}, pages = {2487--2490}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2396761.2398673}, doi = {10.1145/2396761.2398673}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/ChenLTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cso/ChouSHCCLCCCC12, author = {Chun{-}Mei Chou and Chien{-}Hua Shen and Hsi{-}Chi Hsiao and Hui{-}Tzu Chang and Ying{-}Jung Chen and Wan{-}Hsuan Lee and Su{-}Chang Chen and Chin{-}Pin Chen and Jen{-}Chia Chang and Shih{-}Hsien Chuang}, editor = {Yanling Hao and Lean Yu}, title = {Analysis of students' employability self-efficacy and entrepreneurial career intention: using labor market information as a mediator variable}, booktitle = {Fifth International Joint Conference on Computational Sciences and Optimization, {CSO} 2012, Harbin, Heilongjiang, China, June 23-26, 2012}, pages = {32--35}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CSO.2012.205}, doi = {10.1109/CSO.2012.205}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cso/ChouSHCCLCCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PatilJCLYPLCC12, author = {Shruti Patil and Min{-}Woo Jang and Chia{-}Ling Chen and Dongjin Lee and Zhijang Ye and Walter E. Partlo and David J. Lilja and Stephen A. Campbell and Tianhong Cui}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {Weighted area technique for electromechanically enabled logic computation with cantilever-based {NEMS} switches}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {727--732}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176565}, doi = {10.1109/DATE.2012.6176565}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PatilJCLYPLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/VamvakosKSALBBBCCCCCDDDDGHHCKKLLMMNPPRRRSSSSWY12, author = {Socrates D. Vamvakos and Bendik Kleveland and Dipak K. Sikdar and B. K. Ahuja and Haidang Lin and Jayaprakash Balachandran and Wignes Balakrishnan and Aldo Bottelli and Jawji Chen and Xiaole Chen and Jae Choi and Jeong Choi and Rajesh Chopra and Sanjay Dabral and Kalyan Dasari and Ronald B. David and Shaishav Desai and Claude R. Gauthier and Mahmudul Hassan and Kuo{-}Chiang Hsieh and Ramosan Canagasaby and Jeff Kumala and E. P. Kwon and Ben Lee and Ming Liu and Gurupada Mandal and Sundari Mitra and Byeong Cheol Na and Siddharth Panwar and Jay Patel and Chethan Rao and Vithal Rao and Richard Rouse and Ritesh Saraf and Subramanian Seshadri and Jae{-}K. Sim and Clement Szeto and Alvin Wang and Jason Yeung}, title = {A 576 Mb {DRAM} with 16-channel 10.3125Gbps serial {I/O} and 14.5 ns latency}, booktitle = {Proceedings of the 38th European Solid-State Circuit conference, {ESSCIRC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {458--461}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSCIRC.2012.6341354}, doi = {10.1109/ESSCIRC.2012.6341354}, timestamp = {Thu, 26 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/VamvakosKSALBBBCCCCCDDDDGHHCKKLLMMNPPRRRSSSSWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/DenisTLTL12, author = {Juwendo Denis and Chia{-}Shiang Tseng and Cheng{-}Wei Lee and Chia{-}Yu Tsai and Che Lin}, title = {Deterministic bisection search algorithm for distributed sensor/relay networks}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {4851--4855}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503887}, doi = {10.1109/GLOCOM.2012.6503887}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/DenisTLTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KoLYLC12, author = {Pin{-}Yu Ko and Yen{-}Chi Lee and Ping{-}Cheng Yeh and Chia{-}han Lee and Kwang{-}Cheng Chen}, title = {A new paradigm for channel coding in diffusion-based molecular communications: Molecular coding distance function}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {3748--3753}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503700}, doi = {10.1109/GLOCOM.2012.6503700}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KoLYLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LinLYL12, author = {Wei{-}An Lin and Yen{-}Chi Lee and Ping{-}Cheng Yeh and Chia{-}han Lee}, title = {Signal detection and {ISI} cancellation for quantity-based amplitude modulation in diffusion-based molecular communications}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {4362--4367}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503804}, doi = {10.1109/GLOCOM.2012.6503804}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LinLYL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ShihLY12, author = {Po{-}Jen Shih and Chia{-}han Lee and Ping{-}Cheng Yeh}, title = {Channel codes for mitigating intersymbol interference in diffusion-based molecular communications}, booktitle = {2012 {IEEE} Global Communications Conference, {GLOBECOM} 2012, Anaheim, CA, USA, December 3-7, 2012}, pages = {4228--4232}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/GLOCOM.2012.6503781}, doi = {10.1109/GLOCOM.2012.6503781}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ShihLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChenCLY12, author = {Mei{-}Ju Chen and Shuo{-}Ju Chiang and Jiun{-}Shiou Lee and Ernest W. R. Yu}, title = {The Citizen Telehealth Care Service model in Taipei: {A} case study}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {399--402}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6379447}, doi = {10.1109/HEALTHCOM.2012.6379447}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChenCLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/healthcom/ChiangLLYCC12, author = {Chiung{-}Hung Chiang and Tzung{-}Yan Lee and Kang{-}Ping Lin and Su{-}Tso Yang and Juei{-}Chao Chen and Hen{-}Hong Chang}, title = {A study of repeatability and reproducibility for tongue diagnosis instrument in {TCM}}, booktitle = {{IEEE} 14th International Conference on e-Health Networking, Applications and Services, Healthcom 2012, Beijing, China, October 10-13, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HealthCom.2012.6380054}, doi = {10.1109/HEALTHCOM.2012.6380054}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/healthcom/ChiangLLYCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ChenHL12, author = {Wen{-}Chieh Chen and Shih{-}Chia Huang and Trong{-}Yen Lee}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {An Efficient Reconfigurable Architecture Design and Implementation of Image Contrast Enhancement Algorithm}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {1741--1747}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.262}, doi = {10.1109/HPCC.2012.262}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/ChenHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibica/HungCOWL12, author = {Chia{-}Chun Hung and Ching{-}Tai Chiang and Chen{-}Sen Ouyang and Rong{-}Ching Wu and C. Lee}, title = {Performance of Multiuser {TAS/MRC} Systems with a High Selection Gain in Severe Fading Channels}, booktitle = {2012 Third International Conference on Innovations in Bio-Inspired Computing and Applications, Kaohsiung City, Taiwan, September 26-28, 2012}, pages = {258--261}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IBICA.2012.11}, doi = {10.1109/IBICA.2012.11}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ibica/HungCOWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadl/FayuanHLC12, author = {Kuo{-}Ming Tang (Fayuan) and Chien{-}Kang Huang and Chia{-}Ming Lee and Kuang{-}hua Chen}, editor = {Hsin{-}Hsi Chen and Gobinda Chowdhury}, title = {Iterative Feature Selection of Translation Texts for Translator Identification}, booktitle = {The Outreach of Digital Libraries: {A} Globalized Resource Network - 14th International Conference on Asia-Pacific Digital Libraries, {ICADL} 2012, Taipei, Taiwan, November 12-15, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7634}, pages = {365--367}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34752-8\_56}, doi = {10.1007/978-3-642-34752-8\_56}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icadl/FayuanHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icadl/LeeHC12, author = {Chia{-}Ming Lee and Chien{-}Kang Huang and Kuo{-}Ming Tang (Fayuan) and Kuang{-}hua Chen}, editor = {Hsin{-}Hsi Chen and Gobinda Chowdhury}, title = {Iterative Machine-Learning Chinese Term Extraction}, booktitle = {The Outreach of Digital Libraries: {A} Globalized Resource Network - 14th International Conference on Asia-Pacific Digital Libraries, {ICADL} 2012, Taipei, Taiwan, November 12-15, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7634}, pages = {309--312}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-34752-8\_37}, doi = {10.1007/978-3-642-34752-8\_37}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icadl/LeeHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LeeCLNFC12, author = {Yu{-}Hsuan Lee and Gwo{-}Dong Chen and Liang{-}Yi Li and Nurkhamid and Cheng{-}Yu Fan and Kuang{-}Hung Chiang}, editor = {Carlo Giovannella and Demetrios G. Sampson and Ignacio Aedo}, title = {The Effect of Utilizing the Learning Skill of Highlighting and Constructing a Map in a Networked Hyperlink Condition on Learning Performance}, booktitle = {12th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2012, Rome, Italy, July 4-6, 2012}, pages = {546--548}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICALT.2012.105}, doi = {10.1109/ICALT.2012.105}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icalt/LeeCLNFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LeeHWHC12, author = {Wan{-}Ju Lee and Chi{-}Wen Huang and Chia{-}Jung Wu and Shing{-}Tsaan Huang and Gwo{-}Dong Chen}, editor = {Carlo Giovannella and Demetrios G. Sampson and Ignacio Aedo}, title = {The Effects of Using Embodied Interactions to Improve Learning Performance}, booktitle = {12th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2012, Rome, Italy, July 4-6, 2012}, pages = {557--559}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICALT.2012.104}, doi = {10.1109/ICALT.2012.104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LeeHWHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/LeeTCPCS12, author = {Yi{-}Shian Lee and Hou{-}Chiang Tseng and Ju{-}Ling Chen and Chun{-}Yi Peng and Tao{-}Hsing Chang and Yao{-}Ting Sung}, editor = {Carlo Giovannella and Demetrios G. Sampson and Ignacio Aedo}, title = {Constructing a Novel Chinese Readability Classification Model Using Principal Component Analysis and Genetic Programming}, booktitle = {12th {IEEE} International Conference on Advanced Learning Technologies, {ICALT} 2012, Rome, Italy, July 4-6, 2012}, pages = {164--166}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICALT.2012.134}, doi = {10.1109/ICALT.2012.134}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/LeeTCPCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenHWL12, author = {Chia{-}Ping Chen and Yi{-}Chin Huang and Chung{-}Hsien Wu and Kuan{-}De Lee}, title = {Cross-lingual frame selection method for polyglot speech synthesis}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {4521--4524}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288923}, doi = {10.1109/ICASSP.2012.6288923}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChenHWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeCSSL12, author = {Yutzu Lee and Chen{-}Kuo Chiang and Yu{-}Wei Sun and Te{-}Feng Su and Shang{-}Hong Lai}, title = {Parallelized Random Walk algorithm for background substitution on a multi-core embedded platform}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {1621--1624}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288205}, doi = {10.1109/ICASSP.2012.6288205}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeCSSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeSC12, author = {Chia{-}Hsiang Lee and Yu{-}Chi Su and Liang{-}Gee Chen}, title = {Accurate positioning system based on street view recognition}, booktitle = {2012 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2012, Kyoto, Japan, March 25-30, 2012}, pages = {2305--2308}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICASSP.2012.6288375}, doi = {10.1109/ICASSP.2012.6288375}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/LeeSC12, author = {Chia{-}Hsiang Lee and Yu{-}Chi Su and Liang{-}Gee Chen}, title = {An intelligent depth-based obstacle detection for mobile applications}, booktitle = {{IEEE} Second International Conference on Consumer Electronics - Berlin, ICCE-Berlin 2012, Berlin, Germany, September 3-5, 2012}, pages = {223--225}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCE-Berlin.2012.6336467}, doi = {10.1109/ICCE-BERLIN.2012.6336467}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/LeeSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HsuTCLHW12, author = {Chia{-}Hao Hsu and Yue{-}Da Tsai and Yun{-}Chi Chen and Ming{-}Chih Lee and I{-}Yu Huang and Chua{-}Chin Wang}, title = {A fast {FPW} allergy analyzer prototype for point of care {(POC)}}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {540--541}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCE.2012.6161803}, doi = {10.1109/ICCE.2012.6161803}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/HsuTCLHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icch/LeeWLCCT12, author = {Ming{-}Huei Lee and Huei{-}Ching Wu and Jen{-}Yung Lin and Yung{-}fu Chen and John Y. Chiang and Tan{-}Hsu Tan}, title = {Healthcare for patients with interstitial cystitis/bladder pain syndrome based on internet health education}, booktitle = {International Conference on Computerized Healthcare, {ICCH} 2012, Hong Kong, China, December 17-18, 2012}, pages = {17--22}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCH.2012.6724464}, doi = {10.1109/ICCH.2012.6724464}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icch/LeeWLCCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icch/TanGBCHCCHLHH12, author = {Tan{-}Hsu Tan and Munkhjargal Gochoo and Sukhbaatar Bilgee and Ching{-}Su Chang and Jin{-}Jia Hu and Yung{-}fu Chen and John Y. Chiang and Yung{-}Fa Huang and Ming{-}Hui Lee and Yung{-}Nian Hsu and Jin{-}Chyr Hsu}, title = {Development of an emergency medical service system based on wireless networks and real-time traffic information}, booktitle = {International Conference on Computerized Healthcare, {ICCH} 2012, Hong Kong, China, December 17-18, 2012}, pages = {35--42}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCH.2012.6724467}, doi = {10.1109/ICCH.2012.6724467}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icch/TanGBCHCCHLHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChiuCLSC12, author = {Chieh{-}Chuan Chiu and Shao{-}Yi Chien and Chia{-}han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Hybrid distributed video coding with frame level coding mode selection}, booktitle = {19th {IEEE} International Conference on Image Processing, {ICIP} 2012, Lake Buena Vista, Orlando, FL, USA, September 30 - October 3, 2012}, pages = {1561--1564}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICIP.2012.6467171}, doi = {10.1109/ICIP.2012.6467171}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChiuCLSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/ShihCL12, author = {Huang{-}Chia Shih and Che{-}Yen Chuang and Hong{-}Wei Lee}, title = {A semantic-based video segmentation method}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2012, Xian, Shaanxi, China, July 15-17, 2012, Proceedings}, pages = {1623--1626}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICMLC.2012.6359608}, doi = {10.1109/ICMLC.2012.6359608}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/ShihCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/LeeCSSKL12, author = {Yutzu Lee and Chen{-}Kuo Chiang and Te{-}Feng Su and Yu{-}Wei Sun and Chi{-}Bang Kuan and Shang{-}Hong Lai}, title = {Parallelized Background Substitution System on a Multi-core Embedded Platform}, booktitle = {41st International Conference on Parallel Processing Workshops, {ICPPW} 2012, Pittsburgh, PA, USA, September 10-13, 2012}, pages = {530--537}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPPW.2012.72}, doi = {10.1109/ICPPW.2012.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/LeeCSSKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChungLCL12, author = {Szu{-}Chi Chung and Jen{-}Wei Lee and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A high-performance elliptic curve cryptographic processor over GF(p) with {SPA} resistance}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1456--1459}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271521}, doi = {10.1109/ISCAS.2012.6271521}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChungLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeCCL12, author = {Xin{-}Ru Lee and Chih{-}Lung Chen and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {Stochastic decoding for {LDPC} convolutional codes}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2621--2624}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271843}, doi = {10.1109/ISCAS.2012.6271843}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LinWLTCJLSLC12, author = {Geng{-}Cing Lin and Shao{-}Cheng Wang and Yi{-}Wei Lin and Ming{-}Chien Tsai and Ching{-}Te Chuang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Wei{-}Chiang Shih and Kuen{-}Di Lee and Jyun{-}Kai Chu}, title = {An all-digital bit transistor characterization scheme for {CMOS} 6T {SRAM} array}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2485--2488}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271804}, doi = {10.1109/ISCAS.2012.6271804}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LinWLTCJLSLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WangHTCLH12, author = {Chua{-}Chin Wang and Chia{-}Hao Hsu and Yue{-}Da Tsai and Yun{-}Chi Chen and Ming{-}Chih Lee and I{-}Yu Huang}, title = {A fast FPW-based protein concentration measurement system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2389--2392}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271778}, doi = {10.1109/ISCAS.2012.6271778}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WangHTCLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/WuHLC12, author = {Tsung{-}Che Wu and Ji{-}Hua Hsu and Chang{-}Ming Lee and Jui{-}Chiu Chiang}, title = {Efficient improvement of side information in GOB-based {DVC} system}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1720--1723}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271593}, doi = {10.1109/ISCAS.2012.6271593}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/WuHLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YangLHLCCCHJLLLSWLH12, author = {Hao{-}I Yang and Yi{-}Wei Lin and Mao{-}Chih Hsia and Geng{-}Cing Lin and Chi{-}Shin Chang and Yin{-}Nien Chen and Ching{-}Te Chuang and Wei Hwang and Shyh{-}Jye Jou and Nan{-}Chun Lien and Hung{-}Yu Li and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, title = {High-performance 0.6V {VMIN} 55nm 1.0Mb 6T {SRAM} with adaptive {BL} bleeder}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1831--1834}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271624}, doi = {10.1109/ISCAS.2012.6271624}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YangLHLCCCHJLLLSWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/ChiangSWCL12, author = {Chen{-}Yu Chiang and Sabato Marco Siniscalchi and Yih{-}Ru Wang and Sin{-}Horng Chen and Chin{-}Hui Lee}, title = {A study on cross-language knowledge integration in Mandarin {LVCSR}}, booktitle = {8th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2012, Kowloon Tong, China, December 5-8, 2012}, pages = {315--319}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCSLP.2012.6423528}, doi = {10.1109/ISCSLP.2012.6423528}, timestamp = {Wed, 18 Sep 2024 12:50:55 +0200}, biburl = {https://dblp.org/rec/conf/iscslp/ChiangSWCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/ShihLHL12, author = {Huang{-}Chia Shih and Hong{-}Wei Lee and Chung{-}Lin Huang and Yu{-}Che Liu}, title = {Collaborative real-time scheduling for multiple objects tracking in {PTZ} camera network}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {166--171}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473474}, doi = {10.1109/ISPACS.2012.6473474}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/ShihLHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/TsengLCLCHW12, author = {Yung{-}Chang Tseng and Tsung{-}Hsing Lin and Chiao{-}Hsuan Chuang and Tung{-}Lin Lee and Liang{-}Bi Chen and Chih{-}Lin Hung and Chao{-}Wen Wu}, title = {Low cost embedded chairman/delegate units design for digital conference system}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {740--744}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473589}, doi = {10.1109/ISPACS.2012.6473589}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/TsengLCLCHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/WangWLLCL12, author = {Min{-}Liang Wang and Jing{-}Ren Wu and Kai{-}Che Liu and Pei{-}Yuan Lee and Yung{-}Yang Chiang and Huei{-}Yung Lin}, title = {Innovative 3D augmented reality techniques for spinal surgery applications}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2012, Tamsui, New Taipei City, Taiwan, November 4-7, 2012}, pages = {16--20}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISPACS.2012.6473445}, doi = {10.1109/ISPACS.2012.6473445}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/WangWLLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LeeLHCLL12, author = {Chieh{-}Jui Lee and Shih{-}Ying Liu and Chuan{-}Chia Huang and Hung{-}Ming Chen and Chang{-}Tzu Lin and Chia{-}Hsin Lee}, editor = {Keith A. Bowman and Kamesh V. Gadepally and Pallab Chatterjee and Mark M. Budnik and Lalitha Immaneni}, title = {Hierarchical power network synthesis for multiple power domain designs}, booktitle = {Thirteenth International Symposium on Quality Electronic Design, {ISQED} 2012, Santa Clara, CA, USA, March 19-21, 2012}, pages = {477--482}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISQED.2012.6187536}, doi = {10.1109/ISQED.2012.6187536}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/LeeLHCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12, author = {Yuan{-}Hung Chung and Min Chen and Wei{-}Kai Hong and Jie{-}Wei Lai and Sheng{-}Jau Wong and Chien{-}Wei Kuan and Hong{-}Lin Chu and Chihun Lee and Chih{-}Fan Liao and Hsuan{-}Yu Liu and Hong{-}Kai Hsu and Li{-}Chun Ko and Kuo{-}Hao Chen and Chao{-}Hsin Lu and Tsung{-}Ming Chen and YuLi Hsueh and Chunwei Chang and Yi{-}Hsien Cho and Chih{-}Hsien Shen and Yuan Sun and Eng{-}Chuan Low and Xudong Jiang and Deyong Hu and Weimin Shu and Jhy{-}Rong Chen and Jui{-}Lin Hsu and Chia{-}Jui Hsu and Jing{-}Hong Conan Zhan and Osama Shana'a and Guang{-}Kaai Dehng and George Chien}, title = {A 4-in-1 (WiFi/BT/FM/GPS) connectivity SoC with enhanced co-existence performance in 65nm {CMOS}}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {172--174}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176964}, doi = {10.1109/ISSCC.2012.6176964}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungCHLWKCLLLHKCLCHCCSSLJHSCHHZSDC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/LeeHT12, author = {Lung{-}Jen Lee and Chia{-}Cheng He and Wang{-}Dauh Tseng}, title = {Deterministic {ATPG} for Low Capture Power Testing}, booktitle = {13th International Workshop on Microprocessor Test and Verification, {MTV} 2012, Austin, TX, USA, December 10-13, 2012}, pages = {24--29}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MTV.2012.14}, doi = {10.1109/MTV.2012.14}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/LeeHT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChangLHCYPSL12, author = {Kuo{-}Wei Chang and Chia{-}Tung Lee and Punde Tushar Harishchandra and Hung{-}Po Chen and Ting{-}Ru Yueh and Srinivasu Valagerahally Puttaswamy and Shilpa Sivashankar and Cheng{-}Hsien Liu}, title = {3D biomimetic chip integrated with microvascular system for studying the liver specific functions}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {218--221}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196760}, doi = {10.1109/NEMS.2012.6196760}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChangLHCYPSL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/HungCHTYLL12, author = {Lien{-}Yu Hung and Fong{-}Yu Cheng and Chih{-}Chia Huang and Yi{-}Che Tsai and Chen{-}Sheng Yeh and Huan{-}Yao Lei and Gwo{-}Bin Lee}, title = {Microfluidic system for rapid detection of influenza infection by utilizing magnetic MnFe2O4 nanoparticle-based immunoassay}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {200--203}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196756}, doi = {10.1109/NEMS.2012.6196756}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/HungCHTYLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LeeHCWT12, author = {Tung{-}Yuan Lee and Tsung{-}Cheng Ho and Chia{-}Jung Chang and Pen{-}Cheng Wang and Fan{-}Gang Tseng}, title = {Proton exchange membranes based on aryl epoxy resin for fuel cells operated at elevated temperatures}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {453--456}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196816}, doi = {10.1109/NEMS.2012.6196816}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LeeHCWT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ChangLCC12, author = {Tsue{-}Rung Chang and Pei{-}Yi Lee and Chia{-}Cheng Cheng and Polun Chang}, editor = {Kaija Saranto and Charlotte A. Weaver and Polun Chang}, title = {Developing and Evaluating a Workflow-based Cancer Case Management Information System}, booktitle = {Nursing Informatics 2014 - East Meets West eSMART+ - Proceedings of the 12th International Congress on Nursing Informatics, Taipei, Taiwan, June 21-25, 2014}, series = {Studies in Health Technology and Informatics}, volume = {201}, publisher = {{IOS} Press}, year = {2012}, url = {http://knowledge.amia.org/amia-55142-cni2012a-1.641359/t-005-1.642724/f-001-1.642725/a-146-1.643322/a-147-1.643319}, timestamp = {Wed, 29 Mar 2017 16:45:22 +0200}, biburl = {https://dblp.org/rec/conf/ni/ChangLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/LinCLCTHW12, author = {Tsung{-}Hsing Lin and Chiao{-}Hsuan Chuang and Tung{-}Lin Lee and Liang{-}Bi Chen and Yung{-}Chang Tseng and Chih{-}Lin Hung and Chao{-}Wen Wu}, title = {Development of a GUI-based mobile control console for digital conference systems}, booktitle = {The 6th International Conference on Soft Computing and Intelligent Systems (SCIS), and The 13th International Symposium on Advanced Intelligence Systems (ISIS), Kobe, Japan, November 20-24, 2012}, pages = {902--905}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SCIS-ISIS.2012.6505040}, doi = {10.1109/SCIS-ISIS.2012.6505040}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/LinCLCTHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ChanCCCL12, author = {Chia{-}Long Chan and Hsin{-}Han Chiang and Yen{-}Lin Chen and Geng{-}Yen Chen and Tsu{-}Tian Lee}, title = {Development of hand-cleaning service-oriented autonomous navigation robot}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2012, Seoul, Korea (South), October 14-17, 2012}, pages = {3227--3232}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICSMC.2012.6378288}, doi = {10.1109/ICSMC.2012.6378288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ChanCCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LinYHLCCHLLSWLH12, author = {Yung{-}Wei Lin and Hao{-}I Yang and Mao{-}Chih Hsia and Yi{-}Wei Lin and Chien{-}Hen Chen and Ching{-}Te Chuang and Wei Hwang and Nan{-}Chun Lien and Kuen{-}Di Lee and Wei{-}Chiang Shih and Ya{-}Ping Wu and Wen{-}Ta Lee and Chih{-}Chiang Hsu}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {A 55nm 0.5V 128Kb cross-point 8T {SRAM} with data-aware dynamic supply Write-assist}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {218--223}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398351}, doi = {10.1109/SOCC.2012.6398351}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LinYHLCCHLLSWLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/ChouCLSTWWY12, author = {Cheng{-}Wei Chou and Ping{-}Chiang Chou and Chang{-}Shing Lee and David Lupien Saint{-}Pierre and Olivier Teytaud and Mei{-}Hui Wang and Li{-}Wen Wu and Shi{-}Jim Yen}, title = {Strategic Choices: Small Budgets and Simple Regret}, booktitle = {Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2012, Tainan, Taiwan, November 16-18, 2012}, pages = {182--187}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TAAI.2012.35}, doi = {10.1109/TAAI.2012.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/taai/ChouCLSTWWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/LeeHCCC12, author = {Chia{-}Yen Lee and Chiun{-}Sheng Huang and Yeun{-}Chung Chang and Yi{-}Hong Chou and Chung{-}Ming Chen}, editor = {Gabriela Csurka and Jos{\'{e}} Braz}, title = {Gibbs-weighted K-means Segmentation Approach with Intensity Inhomogeneity Correction}, booktitle = {{VISAPP} 2012 - Proceedings of the International Conference on Computer Vision Theory and Applications, Volume 1, Rome, Italy, 24-26 February, 2012}, pages = {381--384}, publisher = {SciTePress}, year = {2012}, timestamp = {Fri, 25 May 2012 14:48:14 +0200}, biburl = {https://dblp.org/rec/conf/visapp/LeeHCCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/FuHLCL12, author = {Hsing{-}Ping Fu and Ju{-}Hung Hsiao and Po{-}Chun Liu and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A low cost DPA-resistant 8-bit {AES} core based on ring oscillators}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212665}, doi = {10.1109/VLSI-DAT.2012.6212665}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/FuHLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/HoL12, author = {Chia{-}Chi Ho and Tai{-}Cheng Lee}, title = {A 10-bit 200-MS/s reconfigurable pipelined {A/D} converter}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212593}, doi = {10.1109/VLSI-DAT.2012.6212593}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/HoL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LinTYLWCJHLLS12, author = {Yi{-}Wei Lin and Ming{-}Chien Tsai and Hao{-}I Yang and Geng{-}Cing Lin and Shao{-}Cheng Wang and Ching{-}Te Chuang and Shyh{-}Jye Jou and Wei Hwang and Nan{-}Chun Lien and Kuen{-}Di Lee and Wei{-}Chiang Shih}, title = {An all-digital Read Stability and Write Margin characterization scheme for {CMOS} 6T {SRAM} array}, booktitle = {Proceedings of Technical Program of 2012 {VLSI} Design, Automation and Test, {VLSI-DAT} 2012, Hsinchu, Taiwan, April 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSI-DAT.2012.6212589}, doi = {10.1109/VLSI-DAT.2012.6212589}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LinTYLWCJHLLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeYHCKCLC12, author = {Robin Lee and Jung{-}Ping Yang and Chia{-}En Huang and Chih{-}Chieh Chiu and Wei{-}Shuo Kao and Hong{-}Chen Cheng and Hong{-}Jen Liao and Jonathan Chang}, title = {A 28nm high-k metal-gate {SRAM} with Asynchronous Cross-Couple Read Assist (AC\({}^{\mbox{2}}\)RA) circuitry achieving 3x reduction on speed variation for single ended arrays}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {64--65}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243791}, doi = {10.1109/VLSIC.2012.6243791}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeYHCKCLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HuangL12, author = {Chia{-}Cheng Huang and Ju{-}Hong Lee}, title = {Novel Robust Adaptive Beamforming}, booktitle = {Proceedings of the 75th {IEEE} Vehicular Technology Conference, {VTC} Spring 2012, Yokohama, Japan, May 6-9, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VETECS.2012.6240110}, doi = {10.1109/VETECS.2012.6240110}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HuangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenL12, author = {Hsin{-}Yeh Chen and Chia{-}han Lee}, title = {Analysis of the number of hops in wired-wireless heterogeneous networks}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {1806--1810}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCNC.2012.6214078}, doi = {10.1109/WCNC.2012.6214078}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KuoCHHLY12, author = {Tsu{-}Hao Kuo and Po{-}Hsuan Chen and Wei{-}Chih Hung and Chih{-}Yu Huang and Chia{-}han Lee and Ping{-}Cheng Yeh}, title = {Dynamic source-channel rate-distortion control under time-varying complexity constraint for wireless video transmission}, booktitle = {2012 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2012, Paris, France, April 1-4, 2012}, pages = {2566--2570}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WCNC.2012.6214231}, doi = {10.1109/WCNC.2012.6214231}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KuoCHHLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiamis/LeeSC12, author = {Chia{-}Hsiang Lee and Yu{-}Chi Su and Liang{-}Gee Chen}, title = {An intelligent depth-based obstacle detection system for visually-impaired aid applications}, booktitle = {13th International Workshop on Image Analysis for Multimedia Interactive Services, {WIAMIS} 2012, Dublin, Ireland, May 23-25, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/WIAMIS.2012.6226753}, doi = {10.1109/WIAMIS.2012.6226753}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/wiamis/LeeSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/ChuangL11, author = {Chen{-}Chia Chuang and Zne{-}Jung Lee}, title = {Hybrid robust support vector machines for regression with outliers}, journal = {Appl. Soft Comput.}, volume = {11}, number = {1}, pages = {64--72}, year = {2011}, url = {https://doi.org/10.1016/j.asoc.2009.10.017}, doi = {10.1016/J.ASOC.2009.10.017}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/ChuangL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/ChenTLK11, author = {Nian{-}Shing Chen and Daniel Chia{-}En Teng and Cheng{-}Han Lee and Kinshuk}, title = {Augmenting paper-based reading activity with direct access to digital materials and scaffolded questioning}, journal = {Comput. Educ.}, volume = {57}, number = {2}, pages = {1705--1715}, year = {2011}, url = {https://doi.org/10.1016/j.compedu.2011.03.013}, doi = {10.1016/J.COMPEDU.2011.03.013}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ce/ChenTLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/ChenCCL11, author = {Kai{-}Ying Chen and Long{-}Sheng Chen and Mu{-}Chen Chen and Chia{-}Lung Lee}, title = {Using {SVM} based method for equipment fault detection in a thermal power plant}, journal = {Comput. Ind.}, volume = {62}, number = {1}, pages = {42--50}, year = {2011}, url = {https://doi.org/10.1016/j.compind.2010.05.013}, doi = {10.1016/J.COMPIND.2010.05.013}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cii/ChenCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/HarnLLC11, author = {Lein Harn and Chia{-}Yin Lee and Changlu Lin and Chin{-}Chen Chang}, title = {Fully Deniable Message Authentication Protocols Preserving Confidentiality}, journal = {Comput. J.}, volume = {54}, number = {10}, pages = {1688--1699}, year = {2011}, url = {https://doi.org/10.1093/comjnl/bxr081}, doi = {10.1093/COMJNL/BXR081}, timestamp = {Tue, 23 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cj/HarnLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeCT11, author = {Chao{-}Hui Lee and Jessie Chia{-}Yu Chen and Vincent S. Tseng}, title = {A novel data mining mechanism considering bio-signal and environmental data with applications on asthma monitoring}, journal = {Comput. Methods Programs Biomed.}, volume = {101}, number = {1}, pages = {44--61}, year = {2011}, url = {https://doi.org/10.1016/j.cmpb.2010.04.016}, doi = {10.1016/J.CMPB.2010.04.016}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LeeCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/LeeCCW11, author = {Wen{-}Chiung Lee and Shiuan{-}Kang Chen and Cheng{-}Wei Chen and Chin{-}Chia Wu}, title = {A two-machine flowshop problem with two agents}, journal = {Comput. Oper. Res.}, volume = {38}, number = {1}, pages = {98--104}, year = {2011}, url = {https://doi.org/10.1016/j.cor.2010.04.002}, doi = {10.1016/J.COR.2010.04.002}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/LeeCCW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dss/TsaiSFLLC11, author = {Wen{-}Hsien Tsai and Michael J. Shaw and Yi{-}Wen Fan and Jau{-}Yang Liu and Kuen{-}Chang Lee and Hui{-}Chiao Chen}, title = {An empirical investigation of the impacts of internal/external facilitators on the project success of {ERP:} {A} structural equation model}, journal = {Decis. Support Syst.}, volume = {50}, number = {2}, pages = {480--490}, year = {2011}, url = {https://doi.org/10.1016/j.dss.2010.11.005}, doi = {10.1016/J.DSS.2010.11.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dss/TsaiSFLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/SheuCCCLLCCCT11, author = {Shyh{-}Shyuan Sheu and Kuo{-}Hsing Cheng and Meng{-}Fan Chang and Pei{-}Chia Chiang and Wen{-}Pin Lin and Heng{-}Yuan Lee and Pang{-}Shiu Chen and Yu{-}Sheng Chen and Frederick T. Chen and Ming{-}Jinn Tsai}, title = {Fast-Write Resistive {RAM} {(RRAM)} for Embedded Applications}, journal = {{IEEE} Des. Test Comput.}, volume = {28}, number = {1}, pages = {64--71}, year = {2011}, url = {https://doi.org/10.1109/MDT.2010.96}, doi = {10.1109/MDT.2010.96}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/SheuCCCLLCCCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeHCC11, author = {Wen{-}Shiung Lee and Alex YiHou Huang and Yong{-}Yang Chang and Chiao{-}Ming Cheng}, title = {Analysis of decision making factors for equity investment by {DEMATEL} and Analytic Network Process}, journal = {Expert Syst. Appl.}, volume = {38}, number = {7}, pages = {8375--8383}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.01.027}, doi = {10.1016/J.ESWA.2011.01.027}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LeeHCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeK11a, author = {Cheng{-}Ming Lee and Chia{-}Nan Ko}, title = {Short-term load forecasting using lifting scheme and {ARIMA} models}, journal = {Expert Syst. Appl.}, volume = {38}, number = {5}, pages = {5902--5911}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.11.033}, doi = {10.1016/J.ESWA.2010.11.033}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeK11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeLCC11, author = {Chia{-}Hoang Lee and Chien{-}Liang Liu and Yi{-}An Chen and Ying{-}Sheng Chen}, title = {Painting in the air with Wii Remote}, journal = {Expert Syst. Appl.}, volume = {38}, number = {12}, pages = {14668--14678}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.05.016}, doi = {10.1016/J.ESWA.2011.05.016}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LeeLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiuLYC11, author = {Chien{-}Liang Liu and Chia{-}Hoang Lee and Ssu{-}Han Yu and Chih{-}Wei Chen}, title = {Computer assisted writing system}, journal = {Expert Syst. Appl.}, volume = {38}, number = {1}, pages = {804--811}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2010.07.038}, doi = {10.1016/J.ESWA.2010.07.038}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LiuLYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeWHC11, author = {Chia{-}Yin Lee and Zhi{-}Hui Wang and Lein Harn and Chin{-}Chen Chang}, title = {Secure Key Transfer Protocol Based on Secret Sharing for Group Communications}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {94-D}, number = {11}, pages = {2069--2076}, year = {2011}, url = {https://doi.org/10.1587/transinf.E94.D.2069}, doi = {10.1587/TRANSINF.E94.D.2069}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/LeeWHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaacs/LeeFL11, author = {Chiou{-}Yng Lee and Chia{-}Chen Fan and Erl{-}Huei Lu}, title = {Combined circuit architecture for computing normal basis and Montgomery multiplications over GF(2\({}^{\mbox{m}}\))}, journal = {Int. J. Auton. Adapt. Commun. Syst.}, volume = {4}, number = {3}, pages = {291--306}, year = {2011}, url = {https://doi.org/10.1504/IJAACS.2011.040988}, doi = {10.1504/IJAACS.2011.040988}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaacs/LeeFL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsst/ChenHCTW11, author = {Yi{-}Fen Chen and Chang{-}Lung Hsieh and Wen{-}Yu Chen and Chia{-}Wen Tsai and Lee{-}Wei Wei}, title = {Analyzing the Strategy of Sustainable Competitive Advantage in Taiwan's Photovoltaic Industry}, journal = {Int. J. Decis. Support Syst. Technol.}, volume = {3}, number = {3}, pages = {42--57}, year = {2011}, url = {https://doi.org/10.4018/jdsst.2011070103}, doi = {10.4018/JDSST.2011070103}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsst/ChenHCTW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijebm/LeeTC11, author = {Chih{-}Cheng Lee and Gwo{-}Hshiung Tzeng and Chi Chiang}, title = {Determining Service Quality Measurement Key Indicators in a Travel Website Using a Fuzzy Analytic Hierarchy Process}, journal = {Int. J. Electron. Bus. Manag.}, volume = {9}, number = {4}, pages = {322--333}, year = {2011}, url = {http://ijebm.ie.nthu.edu.tw/IJEBM\_Web/IJEBM\_static/Paper-V9\_N4/A04.pdf}, timestamp = {Mon, 28 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijebm/LeeTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/ShenLCC11, author = {Chien{-}wen Shen and Heng{-}Chi Lee and Ching{-}Chih Chou and Chiao{-}Chun Cheng}, title = {Data Mining the Data Processing Technologies for Inventory Management}, journal = {J. Comput.}, volume = {6}, number = {4}, pages = {784--791}, year = {2011}, url = {http://www.jcomputers.us/index.php?m=content\&c=index\&a=show\&catid=140\&id=2415}, doi = {10.4304/JCP.6.4.784-791}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/ShenLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/WuHL11, author = {Bo{-}Sheng Wu and Chen{-}Chiung Hsieh and Chia{-}Chen Lee}, title = {A Distance Computer Vision Assisted Yoga Learning System}, journal = {J. Comput.}, volume = {6}, number = {11}, pages = {2382--2388}, year = {2011}, url = {https://doi.org/10.4304/jcp.6.11.2382-2388}, doi = {10.4304/JCP.6.11.2382-2388}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcp/WuHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ChienYWL11, author = {Hung{-}Yu Chien and Chia{-}Chuan Yang and Tzong{-}Chen Wu and Chin{-}Feng Lee}, title = {Two RFID-based Solutions to Enhance Inpatient Medication Safety}, journal = {J. Medical Syst.}, volume = {35}, number = {3}, pages = {369--375}, year = {2011}, url = {https://doi.org/10.1007/s10916-009-9373-7}, doi = {10.1007/S10916-009-9373-7}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ChienYWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/DuLLLWCC11, author = {Yi{-}Chun Du and You{-}Yun Lee and Yun{-}Yuan Lu and Chia{-}Hung Lin and Ming{-}Jei Wu and Chung{-}Lin Chen and Tainsong Chen}, title = {Development of a Telecare System Based on ZigBee Mesh Network for Monitoring Blood Pressure of Patients with Hemodialysis in Health Care Centers}, journal = {J. Medical Syst.}, volume = {35}, number = {5}, pages = {877--883}, year = {2011}, url = {https://doi.org/10.1007/s10916-010-9513-0}, doi = {10.1007/S10916-010-9513-0}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/DuLLLWCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HsuLWLHCTCLCCWHTH11, author = {Sheng{-}Da Hsu and Feng{-}Mao Lin and Wei{-}Yun Wu and Chao Liang and Wei{-}Chih Huang and Wen{-}Ling Chan and Wen{-}Ting Tsai and Goun{-}Zhou Chen and Chia{-}Jung Lee and Chih{-}Min Chiu and Chia{-}Hung Chien and Ming{-}Chia Wu and Chi{-}Ying F. Huang and Ann{-}Ping Tsou and Hsien{-}Da Huang}, title = {miRTarBase: a database curates experimentally validated microRNA-target interactions}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {163--169}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq1107}, doi = {10.1093/NAR/GKQ1107}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HsuLWLHCTCLCCWHTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenLCHLYC11, author = {Yen{-}Lin Chen and Wen{-}Yew Liang and Chuan{-}Yen Chiang and Tung{-}Ju Hsieh and Da{-}Cheng Lee and Shyan{-}Ming Yuan and Yang{-}Lang Chang}, title = {Vision-Based Finger Detection, Tracking, and Event Identification Techniques for Multi-Touch Sensing and Display Systems}, journal = {Sensors}, volume = {11}, number = {7}, pages = {6868--6892}, year = {2011}, url = {https://doi.org/10.3390/s110706868}, doi = {10.3390/S110706868}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChenLCHLYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LeeYCYL11, author = {Po{-}Lei Lee and Chia{-}Lung Yeh and John Yung{-}Sung Cheng and Chia{-}Yen Yang and Gong{-}Yau Lan}, title = {An SSVEP-Based {BCI} Using High Duty-Cycle Visual Flicker}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {12}, pages = {3350--3359}, year = {2011}, url = {https://doi.org/10.1109/TBME.2011.2162586}, doi = {10.1109/TBME.2011.2162586}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LeeYCYL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LinYHCL11, author = {Yi{-}Min Lin and Chi{-}Heng Yang and Chih{-}Hsiang Hsu and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A MPCN-Based Parallel Architecture in {BCH} Decoders for nand Flash Memory Devices}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {58-II}, number = {10}, pages = {682--686}, year = {2011}, url = {https://doi.org/10.1109/TCSII.2011.2161704}, doi = {10.1109/TCSII.2011.2161704}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LinYHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/LeeLCWTH11, author = {Ping{-}Han Lee and Yen{-}Liang Lin and Shen{-}Chi Chen and Chia{-}Hsiang Wu and Cheng{-}Chih Tsai and Yi{-}Ping Hung}, title = {Viewpoint-Independent Object Detection Based on Two-Dimensional Contours and Three-Dimensional Sizes}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {12}, number = {4}, pages = {1599--1608}, year = {2011}, url = {https://doi.org/10.1109/TITS.2011.2166260}, doi = {10.1109/TITS.2011.2166260}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/LeeLCWTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acg/ChouCDLSTTWWWY11, author = {Cheng{-}Wei Chou and Ping{-}Chiang Chou and Hassen Doghmen and Chang{-}Shing Lee and Tsan{-}Cheng Su and Fabien Teytaud and Olivier Teytaud and Hui{-}Min Wang and Mei{-}Hui Wang and Li{-}Wen Wu and Shi{-}Jim Yen}, editor = {H. Jaap van den Herik and Aske Plaat}, title = {Towards a Solution of 7x7 Go with Meta-MCTS}, booktitle = {Advances in Computer Games - 13th International Conference, {ACG} 2011, Tilburg, The Netherlands, November 20-22, 2011, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7168}, pages = {84--95}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-31866-5\_8}, doi = {10.1007/978-3-642-31866-5\_8}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/acg/ChouCDLSTTWWWY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/OhHPCCLMALDSWJRSVPRYTSFCD11, author = {Sangmin Oh and Anthony Hoogs and A. G. Amitha Perera and Naresh P. Cuntoor and Chia{-}Chih Chen and Jong Taek Lee and Saurajit Mukherjee and J. K. Aggarwal and Hyungtae Lee and Larry S. Davis and Eran Swears and Xiaoyang Wang and Qiang Ji and Kishore K. Reddy and Mubarak Shah and Carl Vondrick and Hamed Pirsiavash and Deva Ramanan and Jenny Yuen and Antonio Torralba and Bi Song and Anesco Fong and Amit K. Roy{-}Chowdhury and Mita Desai}, title = {{AVSS} 2011 demo session: {A} large-scale benchmark dataset for event recognition in surveillance video}, booktitle = {8th {IEEE} International Conference on Advanced Video and Signal-Based Surveillance, {AVSS} 2011, Klagenfurt, Austria, August 30 - September 2, 2011}, pages = {527--528}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/AVSS.2011.6027400}, doi = {10.1109/AVSS.2011.6027400}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avss/OhHPCCLMALDSWJRSVPRYTSFCD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/LeeWCH11, author = {Yaw{-}Chern Lee and Hui{-}Min Wang and Hui{-}Ya Chiang and Sheng{-}Chieh Huang}, title = {An Observation on Circulatory System of Vegetative State Patient with Music Therapy}, booktitle = {11th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2011, Taichung, Taiwan, October 24-26, 2011}, pages = {129--132}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/BIBE.2011.28}, doi = {10.1109/BIBE.2011.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/LeeWCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/YuTLCTCTCLH11, author = {Meng{-}Chieh Yu and Cheng{-}Chih Tsai and Shih{-}Ta Liu and Hao{-}Tien Chiang and Ying{-}Chieh Tseng and Wei{-}Ting Chen and Wan{-}Wei Teo and Mike Y. Chen and Ming{-}Sui Lee and Yi{-}Ping Hung}, editor = {Vicente Traver and Ana L. N. Fred and Joaquim Filipe and Hugo Gamboa}, title = {I-m-Walk - Interactive Multimedia Walking-aware System}, booktitle = {{HEALTHINF} 2011 - Proceedings of the International Conference on Health Informatics, Rome, Italy, 26-29 January, 2011}, pages = {17--26}, publisher = {SciTePress}, year = {2011}, timestamp = {Tue, 18 Oct 2022 21:16:17 +0200}, biburl = {https://dblp.org/rec/conf/biostec/YuTLCTCTCLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/YangSICYLCLHHTWTYLWCCCCTCCCLLYSTLJDM11, author = {S. H. Yang and J. Y. Sheu and M. K. Ieong and M. H. Chiang and T. Yamamoto and J. J. Liaw and S. S. Chang and Y. M. Lin and T. L. Hsu and J. R. Hwang and J. K. Ting and C. H. Wu and K. C. Ting and F. C. Yang and C. M. Liu and I. L. Wu and Y. M. Chen and S. J. Chent and K. S. Chen and J. Y. Cheng and M. H. Tsai and W. Chang and R. Chen and C. C. Chen and T. L. Lee and C. K. Lin and S. C. Yang and Y. M. Sheu and J. T. Tzeng and L. C. Lu and S. M. Jang and Carlos H. Diaz and Yuh{-}Jier Mii}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {28nm metal-gate high-K {CMOS} SoC technology for high-performance mobile applications}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055355}, doi = {10.1109/CICC.2011.6055355}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/YangSICYLCLHHTWTYLWCCCCTCCCLLYSTLJDM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeCA11, author = {Jong Taek Lee and Chia{-}Chih Chen and Jake K. Aggarwal}, title = {Recognizing human-vehicle interactions from aerial video without training}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2011, Colorado Springs, CO, USA, 20-25 June, 2011}, pages = {53--60}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CVPRW.2011.5981794}, doi = {10.1109/CVPRW.2011.5981794}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LeeCA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/OhHPCCLMALDSWJRSVPRYTSFRD11, author = {Sangmin Oh and Anthony Hoogs and A. G. Amitha Perera and Naresh P. Cuntoor and Chia{-}Chih Chen and Jong Taek Lee and Saurajit Mukherjee and J. K. Aggarwal and Hyungtae Lee and Larry S. Davis and Eran Swears and Xiaoyang Wang and Qiang Ji and Kishore K. Reddy and Mubarak Shah and Carl Vondrick and Hamed Pirsiavash and Deva Ramanan and Jenny Yuen and Antonio Torralba and Bi Song and Anesco Fong and Amit K. Roy{-}Chowdhury and Mita Desai}, title = {A large-scale benchmark dataset for event recognition in surveillance video}, booktitle = {The 24th {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2011, Colorado Springs, CO, USA, 20-25 June 2011}, pages = {3153--3160}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CVPR.2011.5995586}, doi = {10.1109/CVPR.2011.5995586}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/OhHPCCLMALDSWJRSVPRYTSFRD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenLH11, author = {Chia{-}I Chen and Bau{-}Cheng Lee and Juinn{-}Dar Huang}, title = {Architectural exploration of 3D FPGAs towards a better balance between area and delay}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {587--590}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763290}, doi = {10.1109/DATE.2011.5763290}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChenLH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeLSZWTHCH11, author = {Yaw{-}Chern Lee and Chun{-}Yang Lei and Yi{-}Sen Shih and Wen{-}Chih Zhang and Hui{-}Min Wang and Cheng{-}Lung Tseng and Mark C. Hou and Hui{-}Ya Chiang and Sheng{-}Chieh Huang}, title = {{HRV} response of vegetative state patient with music therapy}, booktitle = {33rd Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2011, Boston, MA, USA, August 30 - Sept. 3, 2011}, pages = {1701--1704}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IEMBS.2011.6090488}, doi = {10.1109/IEMBS.2011.6090488}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeLSZWTHCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/HsuLCL11, author = {Chih{-}Hsiang Hsu and Yi{-}Min Lin and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 2.56 Gb/s soft {RS} (255, 239) decoder chip for optical communication systems}, booktitle = {Proceedings of the 37th European Solid-State Circuits Conference, {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011}, pages = {79--82}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ESSCIRC.2011.6044919}, doi = {10.1109/ESSCIRC.2011.6044919}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/HsuLCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LiuHCL11, author = {Po{-}Chun Liu and Ju{-}Hung Hsiao and Hsie{-}Chia Chang and Chen{-}Yi Lee}, title = {A 2.97 Gb/s DPA-resistant {AES} engine with self-generated random sequence}, booktitle = {Proceedings of the 37th European Solid-State Circuits Conference, {ESSCIRC} 2011, Helsinki, Finland, Sept. 12-16, 2011}, pages = {71--74}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ESSCIRC.2011.6044917}, doi = {10.1109/ESSCIRC.2011.6044917}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LiuHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KoFLL11, author = {Chia{-}Nan Ko and Yu{-}Yi Fu and Guan{-}Yu Liu and Cheng{-}Ming Lee}, title = {Identification of time-delay chaotic system with outliers: Fuzzy neural networks using hybrid learning algorithm}, booktitle = {{FUZZ-IEEE} 2011, {IEEE} International Conference on Fuzzy Systems, Taipei, Taiwan, 27-30 June, 2011, Proceedings}, pages = {2827--2832}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FUZZY.2011.6007456}, doi = {10.1109/FUZZY.2011.6007456}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KoFLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/TanIJWKC11, author = {Shing Chiang Tan and Zuwairie Ibrahim and Wen Jau Lee and Junzo Watada and Marzuki Khalid and Lim Chun Chew}, title = {Learning with imbalanced datasets using fuzzy ARTMAP-based neural network models}, booktitle = {{FUZZ-IEEE} 2011, {IEEE} International Conference on Fuzzy Systems, Taipei, Taiwan, 27-30 June, 2011, Proceedings}, pages = {1084--1089}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FUZZY.2011.6007330}, doi = {10.1109/FUZZY.2011.6007330}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/TanIJWKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChengLL11, author = {Jen{-}Po Cheng and Chia{-}han Lee and Tzu{-}Ming Lin}, title = {Prioritized Random Access with dynamic access barring for {RAN} overload in 3GPP {LTE-A} networks}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {368--372}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOMW.2011.6162473}, doi = {10.1109/GLOCOMW.2011.6162473}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChengLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChenCSL11, author = {Wei{-}Chia Chen and Yun{-}Maw Cheng and Frode Eika Sandnes and Chao{-}Lung Lee}, editor = {Julie A. Jacko}, title = {Finding Suitable Candidates: The Design of a Mobile Volunteering Matching System}, booktitle = {Human-Computer Interaction. Towards Mobile and Intelligent Interaction Environments - 14th International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6763}, pages = {21--29}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21616-9\_3}, doi = {10.1007/978-3-642-21616-9\_3}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ChenCSL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/ChenCLHLYC11, author = {Yen{-}Lin Chen and Chuan{-}Yen Chiang and Wen{-}Yew Liang and Tung{-}Ju Hsieh and Da{-}Cheng Lee and Shyan{-}Ming Yuan and Yang{-}Lang Chang}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Developing Ubiquitous Multi-touch Sensing and Displaying Systems with Vision-Based Finger Detection and Event Identification Techniques}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {898--903}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.129}, doi = {10.1109/HPCC.2011.129}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/ChenCLHLYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/LinLCC11, author = {Tzong{-}Yen Lin and Cheng{-}Yu Lee and Chia{-}Jung Chen and Rong{-}Guey Chang}, editor = {Yang Xiang and Alfredo Cuzzocrea and Michael Hobbs and Wanlei Zhou}, title = {Compiler Support for Concurrency Synchronization}, booktitle = {Algorithms and Architectures for Parallel Processing - 11th International Conference, ICA3PP, Melbourne, Australia, October 24-26, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7016}, pages = {93--105}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24650-0\_9}, doi = {10.1007/978-3-642-24650-0\_9}, timestamp = {Fri, 22 Apr 2022 17:07:03 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/LinLCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/TengCL11, author = {Daniel Chia{-}En Teng and Nian{-}Shing Chen and Cheng{-}Han Lee}, title = {Enhancing English Reading Comprehension by Integrating Direct Access to Digital Materials and Scaffolded Questionings in Paper Prints}, booktitle = {{ICALT} 2011, 11th {IEEE} International Conference on Advanced Learning Technologies, Athens, Georgia, USA, 6-8 July 2011}, pages = {244--248}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICALT.2011.77}, doi = {10.1109/ICALT.2011.77}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/TengCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChenCLCL11, author = {Yun{-}Nung Chen and Chia{-}Ping Chen and Hung{-}yi Lee and Chun{-}an Chan and Lin{-}Shan Lee}, title = {Improved spoken term detection with graph-based re-ranking in feature space}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2011, May 22-27, 2011, Prague Congress Center, Prague, Czech Republic}, pages = {5644--5647}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICASSP.2011.5947640}, doi = {10.1109/ICASSP.2011.5947640}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChenCLCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeTCHL11, author = {Hung{-}yi Lee and Tsung{-}wei Tu and Chia{-}Ping Chen and Chao{-}Yu Huang and Lin{-}Shan Lee}, title = {Improved spoken term detection using support vector machines based on lattice context consistency}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2011, May 22-27, 2011, Prague Congress Center, Prague, Czech Republic}, pages = {5648--5651}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICASSP.2011.5947641}, doi = {10.1109/ICASSP.2011.5947641}, timestamp = {Thu, 28 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeTCHL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/LeeSLYC11, author = {Chia{-}Hang Lee and Wen{-}Hsiang Shaw and Hsin{-}I Liao and Su{-}Ling Yeh and Homer H. Chen}, editor = {Haohong Wang and Jin Li and George N. Rouskas and Xiaobo Zhou}, title = {Local Dimming of Liquid Crystal Display Using Visual Attention Prediction Model}, booktitle = {Proceedings of 20th International Conference on Computer Communications and Networks, {ICCCN} 2011, Maui, Hawaii, USA, July 31 - August 4, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICCCN.2011.6006058}, doi = {10.1109/ICCCN.2011.6006058}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/icccn/LeeSLYC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChaoYCLW11, author = {Yu{-}Wei Chao and Yi{-}Ren Yeh and Yu{-}Wen Chen and Yuh{-}Jye Lee and Yu{-}Chiang Frank Wang}, editor = {Beno{\^{\i}}t Macq and Peter Schelkens}, title = {Locality-constrained group sparse representation for robust face recognition}, booktitle = {18th {IEEE} International Conference on Image Processing, {ICIP} 2011, Brussels, Belgium, September 11-14, 2011}, pages = {761--764}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICIP.2011.6116666}, doi = {10.1109/ICIP.2011.6116666}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChaoYCLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/LeeCCWY11, author = {Chien{-}Nan Lee and Yiu{-}Tong Chu and Ling Cheng and Chia{-}Chen Wu and Chan{-}Yueh Yang}, title = {Usage of smart mobile device at the telemedicine}, booktitle = {International Conference on Machine Learning and Cybernetics, {ICMLC} 2011, Guilin, China, July 10-13, 2011, Proceedings}, pages = {582--587}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICMLC.2011.6016800}, doi = {10.1109/ICMLC.2011.6016800}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icmlc/LeeCCWY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ChangLLC11, author = {Chin{-}Chen Chang and Hai{-}Duong Le and Chia{-}Yin Lee and Ching{-}Hsiang Chang}, editor = {Xiamu Niu and Mingchu Li and Y{\^{o}}iti Suzuki and Jeng{-}Shyang Pan and Lakhmi C. Jain}, title = {A Robust and Efficient Smart Card Oriented Remote User Authentication Protocol}, booktitle = {Seventh International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2011, Dalian, China, October 14-16, 2011}, pages = {252--255}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IIHMSP.2011.51}, doi = {10.1109/IIHMSP.2011.51}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/ChangLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.