default search action
Search dblp for Publications
export results for "Hae-Jong Kim"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/ChoiK24b, author = {Jiwoo Choi and Jong{-}Han Kim}, title = {Powered Descent Guidance via First-Order Optimization With Expansive Projection}, journal = {{IEEE} Access}, volume = {12}, pages = {46232--46240}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3381620}, doi = {10.1109/ACCESS.2024.3381620}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiK24b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GwonWSK24, author = {Min{-}Seong Gwon and Jong{-}Ha Woo and K. Krishna Sahithi and Sang{-}Ho Kim}, title = {Continuous Intention Prediction of Lifting Motions Using EMG-Based {CNN-LSTM}}, journal = {{IEEE} Access}, volume = {12}, pages = {42453--42464}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3378249}, doi = {10.1109/ACCESS.2024.3378249}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GwonWSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanHK24, author = {Dong{-}Keun Han and Jeong{-}Won Ha and Jong{-}Ok Kim}, title = {Spatio-Spectral Deep Color Constancy With Multi-Band {NIR}}, journal = {{IEEE} Access}, volume = {12}, pages = {105651--105661}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3434574}, doi = {10.1109/ACCESS.2024.3434574}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanLYK24, author = {Sang Hyuck Han and Seong{-}Ju Lim and Jong{-}Won Yu and Young{-}Dam Kim}, title = {Antenna Pattern Measurement for Mobile Terminals via Magnetic Infinitesimal Dipole Based Source Reconstruction}, journal = {{IEEE} Access}, volume = {12}, pages = {78982--78987}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3408326}, doi = {10.1109/ACCESS.2024.3408326}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanLYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimP24a, author = {Deok Ha Kim and Jong Hyeon Park}, title = {Reduced Model Predictive Control Toward Highly Dynamic Quadruped Locomotion}, journal = {{IEEE} Access}, volume = {12}, pages = {20003--20018}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3360479}, doi = {10.1109/ACCESS.2024.3360479}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimP24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwakJLKSYLS24, author = {Sangkyung Kwak and Jongheon Jeong and Hankook Lee and Woohyuck Kim and Dongho Seo and Woojin Yun and Wonjin Lee and Jinwoo Shin}, title = {Few-Shot Anomaly Detection via Personalization}, journal = {{IEEE} Access}, volume = {12}, pages = {11035--11051}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3355021}, doi = {10.1109/ACCESS.2024.3355021}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KwakJLKSYLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeHLKLKHJHPLK24, author = {Jinhak Lee and Ho Bin Hwang and Seungjae Lee and Jayon Kim and Jeyeon Lee and Sanghag Kim and Jung Hee Ha and Yoojin Jang and Sejin Hwang and Hoon{-}Ki Park and Jongshill Lee and In Young Kim}, title = {Analysis of Acute Stress Reactivity and Recovery in Autonomic Nervous System Considering Individual Characteristics of Stress Using {HRV} and {EDA}}, journal = {{IEEE} Access}, volume = {12}, pages = {115400--115410}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3437671}, doi = {10.1109/ACCESS.2024.3437671}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeHLKLKHJHPLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLLKK24, author = {Hee Beom Lee and Ho{-}Jong Lee and Doo{-}Hyun Lee and Kyung{-}Jin Kim and Gi{-}Woo Kim}, title = {New Road Hazard Classification Enabled by Rack Force Estimation of Electric Power Steering Systems}, journal = {{IEEE} Access}, volume = {12}, pages = {122155--122167}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3452643}, doi = {10.1109/ACCESS.2024.3452643}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkYJK24, author = {Hae{-}Chan Park and Jong{-}Uk Yang and Jin{-}Su Jang and Rae{-}Young Kim}, title = {Transformer Design Technique Based on the Magnetic Equivalent Model of High-Frequency Isolated {LLC} Converter With High Accuracy and Reduced Design Time}, journal = {{IEEE} Access}, volume = {12}, pages = {3948--3959}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3346322}, doi = {10.1109/ACCESS.2023.3346322}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkYJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SeoLKLHCL24, author = {Jae Woo Seo and Jong Sung Lee and Hyunwoo Kim and Joonghwan Lee and Seongwon Han and Jungil Cho and Choong{-}Hoon Lee}, title = {On-Device Smishing Classifier Resistant to Text Evasion Attack}, journal = {{IEEE} Access}, volume = {12}, pages = {4762--4779}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3349577}, doi = {10.1109/ACCESS.2024.3349577}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SeoLKLHCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZangKK24, author = {Hannie Zang and Ho Kim and Jongwon Kim}, title = {Blockchain-Based Decentralized Storage Design for Data Confidence Over Cloud-Native Edge Infrastructure}, journal = {{IEEE} Access}, volume = {12}, pages = {50083--50099}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3383010}, doi = {10.1109/ACCESS.2024.3383010}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZangKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KimKCYYJ24, author = {Han{-}Sol Kim and Naveen Kumar and Jong{-}Jin Choi and Woon{-}Ha Yoon and Sam Nyung Yi and Jongmoon Jang}, title = {Self-Powered Smart Proximity-Detection System Based on a Hybrid Magneto-Mechano-Electric Generator}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {1}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300474}, doi = {10.1002/AISY.202300474}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/KimKCYYJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KoKHLOKIKKL24, author = {JongHyun Ko and Dongseok Kwon and Joon Hwang and Kyu{-}Ho Lee and Seongbin Oh and Jeonghyun Kim and Jiseong Im and Ryun{-}Han Koo and Jae{-}Joon Kim and Jong{-}Ho Lee}, title = {SNNSim: Investigation and Optimization of Large-Scale Analog Spiking Neural Networks Based on Flash Memory Devices}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {4}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300456}, doi = {10.1002/AISY.202300456}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/KoKHLOKIKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/JungLK24, author = {Geunho Jung and Jongha Lee and Semin Kim}, title = {Spectrum-based deep learning framework for dermatological pigment analysis and simulation}, journal = {Comput. Biol. Medicine}, volume = {178}, pages = {108741}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108741}, doi = {10.1016/J.COMPBIOMED.2024.108741}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/JungLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LeeSLBJCPCKYKCLYZSK24, author = {Jong Hyeon Lee and Jae Woo Shim and Min Hyuk Lim and Changhoon Baek and Byoungjun Jeon and Minwoo Cho and Sungwoo Park and Dong Hyun Choi and Byeong Soo Kim and Dan Yoon and Young Gyun Kim and Seung Yeon Cho and Kyung{-}Min Lee and Myoung{-}Souk Yeo and Hangman Zo and Sang Do Shin and Sungwan Kim}, title = {Towards optimal design of patient isolation units in emergency rooms to prevent airborne virus transmission: From computational fluid dynamics to data-driven modeling}, journal = {Comput. Biol. Medicine}, volume = {173}, pages = {108309}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108309}, doi = {10.1016/J.COMPBIOMED.2024.108309}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LeeSLBJCPCKYKCLYZSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KangKR24, author = {Hankyul Kang and Namkug Kim and Jongbin Ryu}, title = {Attentional decoder networks for chest X-ray image recognition on high-resolution features}, journal = {Comput. Methods Programs Biomed.}, volume = {251}, pages = {108198}, year = {2024}, url = {https://doi.org/10.1016/j.cmpb.2024.108198}, doi = {10.1016/J.CMPB.2024.108198}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KangKR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KhanIJQJKKK24, author = {Murad{-}Ali Khan and Naeem Iqbal and Harun Jamil and Faiza Qayyum and Jong{-}Hyun Jang and Salabat Khan and Jae{-}Chul Kim and DoHyeun Kim}, title = {Enhanced abnormal data detection hybrid strategy based on heuristic and stochastic approaches for efficient patients rehabilitation}, journal = {Future Gener. Comput. Syst.}, volume = {154}, pages = {101--122}, year = {2024}, url = {https://doi.org/10.1016/j.future.2023.11.036}, doi = {10.1016/J.FUTURE.2023.11.036}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/KhanIJQJKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24, author = {Gunhwan Ko and Jae Ho Lee and Young Mi Sim and Wangho Song and Byung{-}Ha Yoon and Iksu Byeon and Bang Hyuck Lee and Sangok Kim and Jinhyuk Choi and Insoo Jang and Hyerin Kim and Jin Ok Yang and Kiwon Jang and Sora Kim and Jong{-}Hwan Kim and Jongbum Jeon and Jaeeun Jung and Seungwoo Hwang and Ji{-}Hwan Park and Pan{-}Gyu Kim and Seon{-}Young Kim and Byungwook Lee}, title = {KoNA: Korean Nucleotide Archive as {A} New Data Repository for Nucleotide Sequence Data}, journal = {Genom. Proteom. Bioinform.}, volume = {22}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/gpbjnl/qzae017}, doi = {10.1093/GPBJNL/QZAE017}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/KimBPHJLK24, author = {Soohyun Kim and Jongbeom Baek and Jihye Park and Eunjae Ha and Homin Jung and Taeyoung Lee and Seungryong Kim}, title = {InstaFormer++: Multi-Domain Instance-Aware Image-to-Image Translation with Transformer}, journal = {Int. J. Comput. Vis.}, volume = {132}, number = {4}, pages = {1167--1186}, year = {2024}, url = {https://doi.org/10.1007/s11263-023-01866-y}, doi = {10.1007/S11263-023-01866-Y}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/KimBPHJLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iswa/KwonKHBL24, author = {Hyeokjin Kwon and Seonggyu Kim and Jihye Ha and Eun Jung Baek and Jong{-}Min Lee}, title = {Visual representation learning using graph-based higher-order heuristic distillation for cell detection in blood smear images}, journal = {Intell. Syst. Appl.}, volume = {22}, pages = {200345}, year = {2024}, url = {https://doi.org/10.1016/j.iswa.2024.200345}, doi = {10.1016/J.ISWA.2024.200345}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iswa/KwonKHBL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/MaoWZCJKLWN24, author = {Jiashun Mao and Jianmin Wang and Amir Zeb and Kwang{-}Hwi Cho and Haiyan Jin and Jongwan Kim and Onju Lee and Yunyun Wang and Kyoung Tai No}, title = {Transformer-Based Molecular Generative Model for Antiviral Drug Design}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {7}, pages = {2733--2745}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c00536}, doi = {10.1021/ACS.JCIM.3C00536}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/MaoWZCJKLWN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HanRKKPY24, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {MetaVRain: {A} Mobile Neural 3-D Rendering Processor With Bundle-Frame-Familiarity-Based NeRF Acceleration and Hybrid {DNN} Computing}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {65--78}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3291871}, doi = {10.1109/JSSC.2023.3291871}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HanRKKPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLLALKMJKSKRVPSKJPCWB24, author = {Jungho Lee and Joseph G. Letner and Jongyup Lim and Gabriele Atzeni and Jiawei Liao and Abhilasha Kamboj and Bhavika Mani and Seokhyeon Jeong and Yejoong Kim and Yi Sun and Beomseo Koo and Julianna M. Richie and Elena Della Valle and Paras R. Patel and Dennis Sylvester and Hun{-}Seok Kim and Taekwang Jang and Jamie Phillips and Cynthia A. Chestek and James D. Weiland and David T. Blaauw}, title = {A Sub-mm\({}^{\mbox{3}}\) Wireless Neural Stimulator {IC} for Visual Cortical Prosthesis With Optical Power Harvesting and 7.5-kb/s Data Telemetry}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1110--1122}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3349179}, doi = {10.1109/JSSC.2023.3349179}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLLALKMJKSKRVPSKJPCWB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/KimAKLKH24, author = {Dong{-}Min Kim and JeongHyeon Ahn and Seung{-}Wook Kim and Jongmin Lee and Myungho Kim and JungHyun Han}, title = {Real-time reconstruction of pipes using {RGB-D} cameras}, journal = {Comput. Animat. Virtual Worlds}, volume = {35}, number = {1}, year = {2024}, url = {https://doi.org/10.1002/cav.2197}, doi = {10.1002/CAV.2197}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/KimAKLKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimLSRKYKPJYCK24, author = {Young Gyun Kim and Jong Hyeon Lee and Jae Woo Shim and Wounsuk Rhee and Byeong Soo Kim and Dan Yoon and Min Jung Kim and Ji Won Park and Chang Wook Jeong and Han{-}Kwang Yang and Minwoo Cho and Sungwan Kim}, title = {A multimodal virtual vision platform as a next-generation vision system for a surgical robot}, journal = {Medical Biol. Eng. Comput.}, volume = {62}, number = {5}, pages = {1535--1548}, year = {2024}, url = {https://doi.org/10.1007/s11517-024-03030-1}, doi = {10.1007/S11517-024-03030-1}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimLSRKYKPJYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/HanRKKPY24, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {A Low-Power Artificial-Intelligence-Based 3-D Rendering Processor With Hybrid Deep Neural Network Computing}, journal = {{IEEE} Micro}, volume = {44}, number = {1}, pages = {17--27}, year = {2024}, url = {https://doi.org/10.1109/MM.2023.3328965}, doi = {10.1109/MM.2023.3328965}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/HanRKKPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeHYK24, author = {Kang{-}Kyu Lee and Jeong{-}Won Ha and Jun{-}Sang Yoo and Jong{-}Ok Kim}, title = {Deep intrinsic image decomposition under colored {AC} light sources}, journal = {Multim. Tools Appl.}, volume = {83}, number = {5}, pages = {14775--14795}, year = {2024}, url = {https://doi.org/10.1007/s11042-023-15758-3}, doi = {10.1007/S11042-023-15758-3}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/LeeHYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimHOSKPJKK24, author = {Jun Sung Kim and Ji Won Han and Dae Jong Oh and Seung Wan Suh and Min Jeong Kwon and Jieun Park and Sungman Jo and Jae Hyoung Kim and Ki Woong Kim}, title = {Effects of sleep quality on diurnal variation of brain volume in older adults: {A} retrospective cross-sectional study}, journal = {NeuroImage}, volume = {288}, pages = {120533}, year = {2024}, url = {https://doi.org/10.1016/j.neuroimage.2024.120533}, doi = {10.1016/J.NEUROIMAGE.2024.120533}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/KimHOSKPJKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/ParkKSKPKYKUCJLP24, author = {Hanjin Park and Oh{-}Seok Kwon and Jaemin Shim and Daehoon Kim and Je{-}Wook Park and Yun{-}Gi Kim and Hee Tae Yu and Tae{-}Hoon Kim and Jae{-}Sun Uhm and Jong{-}Il Choi and Boyoung Joung and Moon{-}Hyoung Lee and Hui{-}Nam Pak}, title = {Artificial intelligence estimated electrocardiographic age as a recurrence predictor after atrial fibrillation catheter ablation}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-024-01234-1}, doi = {10.1038/S41746-024-01234-1}, timestamp = {Mon, 16 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/ParkKSKPKYKUCJLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/MoonKEHKWKKGCYSHPMKKKLY24, author = {Heechan Moon and Shin Han Kang and Jeongsik Eom and Myun Joong Hwang and Youngmin Kim and Jungha Wang and Beomjun Kim and Taehyung Kim and Taekwon Ga and Jongeun Choi and Wonsang You and Jiyou Shin and Jongsoo Han and Kyeongbeen Park and Hyungpil Moon and Seok{-}Cheol Kee and Hak{-}Jin Kim and Yong{-}Hyun Kim and Kibeom Lee and Jaeseung Yu}, title = {Autonomous Robot Racing Competitions: Truly Multivehicle Autonomous Racing Competitions [Competitions]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {31}, number = {1}, pages = {123--132}, year = {2024}, url = {https://doi.org/10.1109/MRA.2024.3354524}, doi = {10.1109/MRA.2024.3354524}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/MoonKEHKWKKGCYSHPMKKKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKJPLBLPK24, author = {Jinuk Kim and Jin Hwi Kim and Wonjin Jang and JongCheol Pyo and Hyuk Lee and Seohyun Byeon and Hankyu Lee and Yongeun Park and Seongjoon Kim}, title = {Enhancing Machine Learning Performance in Estimating {CDOM} Absorption Coefficient via Data Resampling}, journal = {Remote. Sens.}, volume = {16}, number = {13}, pages = {2313}, year = {2024}, url = {https://doi.org/10.3390/rs16132313}, doi = {10.3390/RS16132313}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKJPLBLPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/KimLKBKJY24, author = {Yong Chae Kim and Jinwook Lee and Taehun Kim and Jonghwa Baek and Jin Uk Ko and Joon Ha Jung and Byeng D. Youn}, title = {Gradient Alignment based Partial Domain Adaptation {(GAPDA)} using a domain knowledge filter for fault diagnosis of bearing}, journal = {Reliab. Eng. Syst. Saf.}, volume = {250}, pages = {110293}, year = {2024}, url = {https://doi.org/10.1016/j.ress.2024.110293}, doi = {10.1016/J.RESS.2024.110293}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/KimLKBKJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/LeeHKSPPPSLKBRKCS24, author = {Jae{-}Young Lee and Seongji Han and Munyu Kim and Yong{-}Sin Seo and Jongwoo Park and Dong Il Park and Chanhun Park and Hyunuk Seo and Joonho Lee and Hwi{-}Su Kim and Jeongae Bak and Hugo Rodrigue and Jin{-}Gyun Kim and Joono Cheong and Sung{-}Hyuk Song}, title = {Variable-stiffness-morphing wheel inspired by the surface tension of a liquid droplet}, journal = {Sci. Robotics}, volume = {9}, number = {93}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adl2067}, doi = {10.1126/SCIROBOTICS.ADL2067}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/LeeHKSPPPSLKBRKCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiHSJK24, author = {Jin{-}Young Choi and Eunju Ha and Minji Son and Jean{-}Hong Jeon and Jong{-}Wook Kim}, title = {Human Joint Angle Estimation Using Deep Learning-Based Three-Dimensional Human Pose Estimation for Application in a Real Environment}, journal = {Sensors}, volume = {24}, number = {12}, pages = {3823}, year = {2024}, url = {https://doi.org/10.3390/s24123823}, doi = {10.3390/S24123823}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiHSJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HwangKYMCYSH24, author = {Soree Hwang and Jongman Kim and Sumin Yang and Hyuk{-}June Moon and Kyung{-}Hee Cho and Inchan Youn and Joon{-}Kyung Sung and Sungmin Han}, title = {Machine Learning Based Abnormal Gait Classification with {IMU} Considering Joint Impairment}, journal = {Sensors}, volume = {24}, number = {17}, pages = {5571}, year = {2024}, url = {https://doi.org/10.3390/s24175571}, doi = {10.3390/S24175571}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HwangKYMCYSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeonJBPKCCY24, author = {Kwang{-}Woo Jeon and Eui{-}Jung Jung and Jong{-}Ho Bae and Sung{-}Ho Park and Jung{-}Jun Kim and Goobong Chung and Hyun{-}Joon Chung and Hak Yi}, title = {Development of an In-Pipe Inspection Robot for Large-Diameter Water Pipes}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3470}, year = {2024}, url = {https://doi.org/10.3390/s24113470}, doi = {10.3390/S24113470}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeonJBPKCCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimMLJ24, author = {Gil Su Kim and Hak Hyun Moon and Hee Su Lee and Jong Seob Jeong}, title = {Compound Acoustic Radiation Force Impulse Imaging of Bovine Eye by Using Phase-Inverted Ultrasound Transducer}, journal = {Sensors}, volume = {24}, number = {9}, pages = {2700}, year = {2024}, url = {https://doi.org/10.3390/s24092700}, doi = {10.3390/S24092700}, timestamp = {Tue, 11 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimMLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24, author = {Duccio Abbaneo and Shakeel Ahmad and Raffaele Albanese and Andrey Alexandrov and Fabio Alicante and Konstantin Androsov and Anna Anokhina and Takashi Asada and Chayanit Asawatangtrakuldee and Marco A. Ayala Torres and Carlo Battilana and Aurelio Bay and Alcide Bertocco and Christopher Betancourt and Daniel Bick and Riddhi Biswas and Alberto Blanco Castro and Vincenzo Boccia and Mariyan Bogomilov and Daniele Bonacorsi and Walter Bonivento and Paula Bordalo and Alexey Boyarsky and Salvatore Buontempo and Mario Campanelli and TizianoCamporesi and Vincenzo Canale and Andrea Castro and Daniele Centanni and Francesco Cerutti and Mikhail Chernyavskiy and Ki Young Choi and Serhii Cholak and Federico Cindolo and Matei Climescu and Andrew Conaboy and Gaetano Marco Dallavalle and Daniele Davino and Paul de Bryas and Giovanni De Lellis and Massimiliano De Magistris and Albert De Roeck and Alvaro De R{\'{u}}jula and Marilisa De Serio and Dario De Simone and Antonia Di Crescenzo and Donato Di Ferdinando and Roberto Don{\`{a}} and Onur Durhan and Fabrizio Fabbri and Filips Fedotovs and Martina Ferrillo and Massimiliano Ferro{-}Luzzi and Rosa Anna Fini and Antimo Fiorillo and Raffaele Fresa and Wolfgang Funk and Francisca M. Garay Walls and Artem Golovatiuk and Andrey Golutvin and Elena Graverini and Ali M. Guler and Vasilisa Guliaeva and Guido J. Haefeli and Caren Hagner and Juan C. Helo Herrera and Eric van Herwijnen and Paolo Iengo and Simona Ilieva and Angelo Infantino and Antonio Iuliano and Richard Jacobsson and Cagin Kamiscioglu and Anni M. Kauniskangas and Emil Khalikov and Sung Hyun Kim and Yeong Gyun Kim and Guennadii Klioutchnikov and Masahiro Komatsu and Nina Konovalova and Sergey Kuleshov and Lukasz Krzempek and Heiko M. Lacker and Oliver Lantwin and Federico Lasagni Manghi and Adele Lauria and Kang Young Lee and Kyong Sei Lee and Sergio Lo Meo and Vincenzo Paolo Loschiavo and Stefano Marcellini and Annarita Margiotta and Anna Mascellani and Filippo Mei and Andrea Miano and A. Mikulenko and Maria Cristina Montesi and Francesco Luigi Navarria and Waraporn Nuntiyakul and Satoru Ogawa and Natalia Okateva and Maksym Ovchynnikov and Giulia Paggi and Byungdo Park and Alessandra Pastore and Andrea Perrotta and Dmitriy Podgrudkov and Natalia Polukhina and Andrea Prota and Antonio Quercia and S{\'{e}}rgio Ramos and Anupama Reghunath and Tatiana Roganova and Federico Ronchetti and Tiziano Rovelli and Oleg Ruchayskiy and Thomas Ruf and Marta Sabate Gilarte and Zhakypbek Sadykov and Mikhail Samoilov and Valentino Scalera and Walter Schmidt{-}Parzefall and Olivier Schneider and Givi Sekhniaidze and Nicola Serra and Mikhail Shaposhnikov and Vladimir Shevchenko and Tatiana Shchedrina and Lesya Shchutska and Hiroshi Shibuya and Saverio Simone and Gian P. Siroli and Gabriele Sirri and Guilherme Soares and Jong Yoon Sohn and Orlando J. Soto Sandoval and Maurizio Spurio and Nikolai Starkov and Jan Steggemann and Inar Timiryasov and Valeri Tioukov and Francesco Tramontano and Carina Trippl and Eduard Ursov and Andrey Ustyuzhanin and Galina Vankova{-}Kirilova and Gerardo Vasquez and Vassil Verguilov and Nuno Viegas Guerreiro Leonardo and Cristovao Vilela and Ciro Visone and Rainer Wanke and Eda Yaman and Zhibin Yang and Ceren Yazici and Chun Sil Yoon and Ettore Zaffaroni and Jilberto Zamora{-}Sa{\'{a}}}, title = {Results and Perspectives from the First Two Years of Neutrino Physics at the {LHC} by the SND@LHC Experiment}, journal = {Symmetry}, volume = {16}, number = {6}, pages = {702}, year = {2024}, url = {https://doi.org/10.3390/sym16060702}, doi = {10.3390/SYM16060702}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/AbbaneoAAAAAAAATBBBBBBCBBBBBBB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/ParkKLKPKH24, author = {Joongun Park and Seunghyo Kang and Sanghyeon Lee and Taehoon Kim and Jongse Park and Youngjin Kwon and Jaehyuk Huh}, title = {Hardware-hardened Sandbox Enclaves for Trusted Serverless Computing}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {13:1--13:25}, year = {2024}, url = {https://doi.org/10.1145/3632954}, doi = {10.1145/3632954}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/ParkKLKPKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ParkCCSKSKK24, author = {Seungwoo Park and Yoonjae Choi and Jonghyuck Choi and Jincheol Sim and Youngwook Kwon and Changmin Sim and Seongcheol Kim and Chulwoo Kim}, title = {A 0.45 pJ/b 24 Gb/s {NRZ} Receiver Data-Path Using Half-Baud-Rate Duobinary Sampling}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {9}, pages = {4096--4100}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2024.3378282}, doi = {10.1109/TCSII.2024.3378282}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ParkCCSKSKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/SeoLPPHKKRBS24, author = {Yong{-}Sin Seo and Jae{-}Young Lee and Chanhun Park and Jongwoo Park and Byung{-}Kil Han and Je{-}Sung Koh and Uikyum Kim and Hugo Rodrigue and Jeongae Bak and Sung{-}Hyuk Song}, title = {Highly Shape-Adaptable Honeycomb Gripper Using Orthotropic Surface Tension}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {3}, pages = {2662--2671}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3265032}, doi = {10.1109/TIE.2023.3265032}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/SeoLPPHKKRBS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LimLLSKNKICGLH24, author = {Hyoyoung Lim and Jinsung Lee and Jongyun Lee and Sandesh Dhawaskar Sathyanarayana and Junseon Kim and Anh Nguyen and Kwang Taik Kim and Youngbin Im and Mung Chiang and Dirk Grunwald and Kyunghan Lee and Sangtae Ha}, title = {An Empirical Study of 5G: Effect of Edge on Transport Protocol and Application Performance}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {23}, number = {4}, pages = {3172--3186}, year = {2024}, url = {https://doi.org/10.1109/TMC.2023.3274708}, doi = {10.1109/TMC.2023.3274708}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/LimLLSKNKICGLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/Kim0L0HL24, author = {Donguk Kim and Jongsung Lee and Keun Soo Lim and Jun Heo and Tae Jun Ham and Jae W. Lee}, title = {An {LSM} Tree Augmented with B\({}^{\mbox{+}}\) Tree on Nonvolatile Memory}, journal = {{ACM} Trans. Storage}, volume = {20}, number = {1}, pages = {4:1--4:24}, year = {2024}, url = {https://doi.org/10.1145/3633475}, doi = {10.1145/3633475}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tos/Kim0L0HL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ZhangCAK24, author = {Haoliang Zhang and Samuel Cheng and Christian El Amm and Jonghoon Kim}, title = {Efficient Pooling Operator for 3D Morphable Models}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {30}, number = {7}, pages = {4225--4233}, year = {2024}, url = {https://doi.org/10.1109/TVCG.2023.3255820}, doi = {10.1109/TVCG.2023.3255820}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/ZhangCAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimLHC24, author = {Seungtaek Kim and Jonghyup Lee and Kyoungseok Han and Seibum B. Choi}, title = {Vehicle Path Tracking Control Using Pure Pursuit With MPC-Based Look-Ahead Distance Optimization}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {1}, pages = {53--66}, year = {2024}, url = {https://doi.org/10.1109/TVT.2023.3304427}, doi = {10.1109/TVT.2023.3304427}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimLHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/JonJRK24, author = {Ju{-}Hung Jon and Chol Jong and Kwuang{-}Sik Ryu and Won Kim}, title = {Enhanced uplink handover scheme for improvement of energy efficiency and QoS in {LTE-A/5G} HetNet with ultra-dense small cells}, journal = {Wirel. Networks}, volume = {30}, number = {3}, pages = {1321--1338}, year = {2024}, url = {https://doi.org/10.1007/s11276-023-03547-7}, doi = {10.1007/S11276-023-03547-7}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/JonJRK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/KweonKKICBOLMYB24, author = {Sunjun Kweon and Junu Kim and Jiyoun Kim and Sujeong Im and Eunbyeol Cho and Seongsu Bae and Jungwoo Oh and Gyubok Lee and Jong Hak Moon and Seng Chan You and Seungjin Baek and Chang Hoon Han and Yoon Bin Jung and Yohan Jo and Edward Choi}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Publicly Shareable Clinical Large Language Model Built on Synthetic Clinical Notes}, booktitle = {Findings of the Association for Computational Linguistics, {ACL} 2024, Bangkok, Thailand and virtual meeting, August 11-16, 2024}, pages = {5148--5168}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.findings-acl.305}, doi = {10.18653/V1/2024.FINDINGS-ACL.305}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/KweonKKICBOLMYB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/ChaeKKLLHMKRL24, author = {Jinyeong Chae and Donghwa Kim and Kwanseok Kim and Doyeon Lee and Sangho Lee and Seongsu Ha and Jonghwan Mun and Wooyoung Kang and Byungseok Roh and Joonseok Lee}, editor = {Sanjoy Dasgupta and Stephan Mandt and Yingzhen Li}, title = {Towards a Complete Benchmark on Video Moment Localization}, booktitle = {International Conference on Artificial Intelligence and Statistics, 2-4 May 2024, Palau de Congressos, Valencia, Spain}, series = {Proceedings of Machine Learning Research}, volume = {238}, pages = {4168--4176}, publisher = {{PMLR}}, year = {2024}, url = {https://proceedings.mlr.press/v238/chae24a.html}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/ChaeKKLLHMKRL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ParkCJK24, author = {Gyubin Park and Jiwoo Choi and Da Hoon Jeong and Jong{-}Han Kim}, title = {Optimal Impact Angle Guidance via First-Order Optimization Under Nonconvex Constraints}, booktitle = {American Control Conference, {ACC} 2024, Toronto, ON, Canada, July 10-12, 2024}, pages = {778--784}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ACC60939.2024.10644186}, doi = {10.23919/ACC60939.2024.10644186}, timestamp = {Sat, 21 Sep 2024 12:19:37 +0200}, biburl = {https://dblp.org/rec/conf/amcc/ParkCJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/GhodratiKXMKAWK24, author = {Soroush Ghodrati and Sean Kinzer and Hanyang Xu and Rohan Mahapatra and Yoonsung Kim and Byung Hoon Ahn and Dong Kai Wang and Lavanya Karthikeyan and Amir Yazdanbakhsh and Jongse Park and Nam Sung Kim and Hadi Esmaeilzadeh}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {Tandem Processor: Grappling with Emerging Operators in Neural Networks}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {1165--1182}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620665.3640365}, doi = {10.1145/3620665.3640365}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/GhodratiKXMKAWK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/HeoLCCLHK0P24, author = {Guseul Heo and Sangyeop Lee and Jaehong Cho and Hyunmin Choi and Sanghyeon Lee and Hyungkyu Ham and Gwangsun Kim and Divya Mahajan and Jongse Park}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {NeuPIMs: {NPU-PIM} Heterogeneous Acceleration for Batched {LLM} Inferencing}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {722--737}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620666.3651380}, doi = {10.1145/3620666.3651380}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/HeoLCCLHK0P24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SeoNHKKPKP0SWCK24, author = {Minseok Seo and Xuan Truong Nguyen and Seok Joong Hwang and Yongkee Kwon and Guhyun Kim and Chanwook Park and Ilkon Kim and Jaehan Park and Jeongbin Kim and Woojae Shin and Jongsoon Won and Haerang Choi and Kyuyoung Kim and Daehan Kwon and Chunseok Jeong and Sangheon Lee and Yongseok Choi and Wooseok Byun and Seungcheol Baek and Hyuk{-}Jae Lee and John Kim}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {{IANUS:} Integrated Accelerator based on {NPU-PIM} Unified Memory System}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {545--560}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620666.3651324}, doi = {10.1145/3620666.3651324}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SeoNHKKPKP0SWCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KangUWKHHKKL24, author = {Minil Kang and Minseong Um and Jongun Won and Jaehyeon Kang and Sangjun Hong and Narae Han and Sangwook Kim and Sangbum Kim and Hyung{-}Min Lee}, title = {An Analog Neuromorphic On-Chip Training System with {IGZO} TFT-Based 6T1C 367-State Synaptic Memory Achieving 0.99-R\({}^{\mbox{2}}\) Linearity and 104-Times Enhanced Retention Time}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10528975}, doi = {10.1109/CICC60959.2024.10528975}, timestamp = {Mon, 03 Jun 2024 20:37:04 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KangUWKHHKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/KimJJN24, author = {Haven Kim and Jongmin Jung and Dasaem Jeong and Juhan Nam}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {K-pop Lyric Translation: Dataset, Analysis, and Neural-Modelling}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {9974--9987}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.872}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/KimJJN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WooLSHGKLKS24, author = {Seungmin Woo and Hyunsoo Lee and Yunjeong Shin and MinSeok Han and Yunjeong Go and Jongbeom Kim and Hyundong Lee and Hyunwoo Kim and Taigon Song}, title = {Reinforcement Learning-Based Optimization of Back-Side Power Delivery Networks in {VLSI} Design for {IR} -Drop Reduction}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2024, Valencia, Spain, March 25-27, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10546599}, timestamp = {Mon, 17 Jun 2024 14:45:14 +0200}, biburl = {https://dblp.org/rec/conf/date/WooLSHGKLKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimL24b, author = {Jongyoo Kim and Sanghoon Lee}, title = {Robust 3D Hand Tracking with Multi-View Videos}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457125}, doi = {10.1109/ICEIC61013.2024.10457125}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimL24b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/RyuKHBSLC24, author = {Kunhee Ryu and Jinsung Kim and Jongtaek Han and Jonghak Bae and Bogyeong Suh and Jaehyun Lim and Jongeun Choi}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {An Online Guide System for Improving Driving Skills on the Race Track: Visual Feedback Approach}, booktitle = {{HCI} International 2024 Posters - 26th International Conference on Human-Computer Interaction, {HCII} 2024, Washington, DC, USA, June 29 - July 4, 2024, Proceedings, Part {V}}, series = {Communications in Computer and Information Science}, volume = {2118}, pages = {275--282}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-61963-2\_27}, doi = {10.1007/978-3-031-61963-2\_27}, timestamp = {Sun, 04 Aug 2024 19:37:09 +0200}, biburl = {https://dblp.org/rec/conf/hci/RyuKHBSLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/KimFJPHPLSH24, author = {Hyunjung Kim and Max Fischer and Jongik Jeon and Seunghwa Pyo and Kentaro Honma and Miles Pennington and Hailong Liu and Keisuke Shimono and Toshihiro Hiraoka}, editor = {Dan Grollman and Elizabeth Broadbent and Wendy Ju and Harold Soh and Tom Williams}, title = {Design Exploration of Robotic In-car Accessories for Semi-autonomous Vehicles}, booktitle = {Companion of the 2024 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2024, Boulder, CO, USA, March 11-15, 2024}, pages = {603--607}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3610978.3640596}, doi = {10.1145/3610978.3640596}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/KimFJPHPLSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/KimKCK24, author = {Sangmin Kim and Dahyun Kang and JongSuk Choi and Sonya S. Kwak}, editor = {Dan Grollman and Elizabeth Broadbent and Wendy Ju and Harold Soh and Tom Williams}, title = {RainbowBot: Robotic Tableware for Children's Dietary Habits}, booktitle = {Companion of the 2024 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2024, Boulder, CO, USA, March 11-15, 2024}, pages = {80--82}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3610978.3641084}, doi = {10.1145/3610978.3641084}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/KimKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoLKOJ24, author = {Hansam Cho and Jonghyun Lee and Seoung Bum Kim and Tae{-}Hyun Oh and Yonghyun Jeong}, title = {Noise Map Guidance: Inversion with Spatial Context for Real Image Editing}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=mhgm0IXtHw}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoLKOJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimNMP0S0S24, author = {Jaehyung Kim and Jaehyun Nam and Sangwoo Mo and Jongjin Park and Sang{-}Woo Lee and Minjoon Seo and Jung{-}Woo Ha and Jinwoo Shin}, title = {SuRe: Summarizing Retrievals using Answer Candidates for Open-domain {QA} of LLMs}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=w4DW6qkRmt}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimNMP0S0S24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeCYKJ24, author = {Jonghyun Lee and Hansam Cho and Young Joon Yoo and Seoung Bum Kim and Yonghyun Jeong}, title = {Compose and Conquer: Diffusion-Based 3D Depth Aware Composable Image Synthesis}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=p4eG8rCa0b}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeCYKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeG0NK24, author = {Haanvid Lee and Tri Wahyu Guntara and Jongmin Lee and Yung{-}Kyun Noh and Kee{-}Eung Kim}, title = {Kernel Metric Learning for In-Sample Off-Policy Evaluation of Deterministic {RL} Policies}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=plebgsdiiV}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LeeG0NK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/HanKMK24, author = {Jongwon Han and Minhyun Kim and Jung Mo Moon and Jeongho Kwak}, title = {Dynamic Network Slicing Control Framework in AI-Native Hierarchical Open-RAN Architecture}, booktitle = {International Conference on Information Networking, {ICOIN} 2024, Ho Chi Minh City, Vietnam, January 17-19, 2024}, pages = {7--10}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICOIN59985.2024.10572118}, doi = {10.1109/ICOIN59985.2024.10572118}, timestamp = {Mon, 22 Jul 2024 15:48:05 +0200}, biburl = {https://dblp.org/rec/conf/icoin/HanKMK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KangKKLBHC24, author = {Honggu Kang and Seonghyeon Ko and Ju{-}Chan Kim and Duc Tai Le and Junghyun Bum and Jong Chul Han and Hyunseung Choo}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Visual Field Prediction for Fundus Image with Generative {AI}}, booktitle = {18th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2024, Kuala Lumpur, Malaysia, January 3-5, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMCOM60618.2024.10418344}, doi = {10.1109/IMCOM60618.2024.10418344}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/KangKKLBHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeKKKPL24, author = {Hyungkwon Lee and Chulgyu Francis Kim and Min{-}Seop Kim and Young{-}Ho Kim and Han{-}Kyoung Park and Jong{-}Seok Lee}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Fault Detection of Air Defense Radar Systems Using Machine Learning}, booktitle = {18th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2024, Kuala Lumpur, Malaysia, January 3-5, 2024}, pages = {1--7}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMCOM60618.2024.10418314}, doi = {10.1109/IMCOM60618.2024.10418314}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/LeeKKKPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimHJKPO24, author = {Hyun{-}Ok Kim and Jong{-}Sung Ha and Seungtaek Jeong and Yeji Kim and Sumin Park and Han Oh}, title = {High Resolution Land Application Approach Using Micosatellite Costellation(NEONSAT) in Korea}, booktitle = {{IGARSS} 2024 - 2024 {IEEE} International Geoscience and Remote Sensing Symposium, Athens, Greece, July 7-12, 2024}, pages = {7168--7170}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IGARSS53475.2024.10642948}, doi = {10.1109/IGARSS53475.2024.10642948}, timestamp = {Thu, 26 Sep 2024 12:36:11 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KimHJKPO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/DasFRSPATCCKWLKKHYDK24, author = {Dipjyoti Das and Lance Fernandes and Prasanna Venkatesan Ravindran and Taeyoung Song and Chinsung Park and Nashrah Afroze and Mengkun Tian and Hang Chen and Winston Chem and Kijoon Kim and Jongho Woo and Suhwan Lim and Kwangsoo Kim and Wanki Kim and Daewon Ha and Shimeng Yu and Suman Datta and Asif Islam Khan}, title = {Design Framework for Ferroelectric Gate Stack Engineering of Vertical {NAND} Structures for Efficient {TLC} and {QLC} Operation}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536982}, doi = {10.1109/IMW59701.2024.10536982}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/DasFRSPATCCKWLKKHYDK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KimPSKLLKHKKCRCLKYC24, author = {Jihun Kim and Sangsu Park and Hongju Suh and Youngjae Kwon and Seonghun Lee and Yubin Lee and Kayoung Kim and Eungu Han and Jongil Kim and Kyu Sung Kim and Hyejung Choi and Seungwook Ryu and Su Jin Chae and Seho Lee and Soo Gil Kim and Jaeyun Yi and Seonyong Cha}, title = {Realistic Noise-aware Training as a Component of the Holistic ACiM Development Platform}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536981}, doi = {10.1109/IMW59701.2024.10536981}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KimPSKLLKHKKCRCLKYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ParkHKLHL24, author = {Shinik Park and Sanghyun Han and Junseon Kim and Jongyun Lee and Sangtae Ha and Kyunghan Lee}, title = {Exstream: {A} Delay-minimized Streaming System with Explicit Frame Queueing Delay Measurement}, booktitle = {{IEEE} {INFOCOM} 2024 - {IEEE} Conference on Computer Communications, Vancouver, BC, Canada, May 20-23, 2024}, pages = {1571--1580}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/INFOCOM52122.2024.10621103}, doi = {10.1109/INFOCOM52122.2024.10621103}, timestamp = {Tue, 20 Aug 2024 13:54:36 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ParkHKLHL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimOHKOLSYP24, author = {Yoonsung Kim and Changhun Oh and Jinwoo Hwang and Wonung Kim and Seongryong Oh and Yubin Lee and Hardik Sharma and Amir Yazdanbakhsh and Jongse Park}, title = {{DACAPO:} Accelerating Continuous Learning in Autonomous Systems for Video Analytics}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {1246--1261}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00093}, doi = {10.1109/ISCA59077.2024.00093}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimOHKOLSYP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/AberraAKKNYJSH24, author = {Aida Aberra and Muhammad Abrar Akram and Soon{-}Jae Kweon and Jongmin Kim and Kim{-}Hoang Nguyen and Gichan Yun and Minkyu Je and Yong{-}Ak Song and Sohmyung Ha}, title = {A Hybrid High-voltage Regulating Charge Pump for Electrokinetic Concentration}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558227}, doi = {10.1109/ISCAS58744.2024.10558227}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/AberraAKKNYJSH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24, author = {Jeongyeol Bae and Sangsung Lee and Joonggeun Lee and Ikkyun Jo and Heesoo Kim and Kyunghyun Yoon and Taejong Kim and Jiyoung Lee and Myunghun Lee and Jaeseung Lee and Jongmin Jeong and Sungjun Lee and Taewan Kim and Sungjoo Kim and Gwangsik Cho and Duksoo Kim and Sangyun Lee and Pilsung Jang and Euibong Yang and Jeongmin Song and Gwangchun Park and Se{-}Eun Choi and Juhee Son and Won Ko and Jonghyun Kim and Seong Ho Park and Sangho Lee and Yoonki Lee and Euiyoung Park and Pillseong Kang and Taeyeon Kim and Hyojin Lee and Byungki Han and Joonhee Lee and Jongsoo Lee and Sangmin Yoo}, title = {4.3 {A} 43mm\({}^{\mbox{2}}\) Fully Integrated Legacy Cellular and 5G {FR1} {RF} Transceiver with 24RX/3TX Supporting Inter-Band 7CA/5CA 4{\texttimes}4 {MIMO} with 1K-QAM}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {80--82}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454281}, doi = {10.1109/ISSCC49657.2024.10454281}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSCPHKJKKLK24, author = {Ik{-}Hwan Kim and Jeong{-}Il Seo and Young{-}Hwan Choo and Seungchan Park and Jae{-}Yeol Han and Woosik Kim and Sung{-}Youb Jung and Taehyuk Ko and Dongsu Kim and Jongwoo Lee and Sungung Kwak}, title = {31.3 {A} 950ns 0.5-to-5.5V 5G {NR} {RF} {PA} Supply Modulator with Floating Capacitor Control for Symbol Power Tracking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {500--502}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454517}, doi = {10.1109/ISSCC49657.2024.10454517}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimSCPHKJKKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJLSYPWJRHKKKKKLLSLKPLBKLY24, author = {Jongsoo Lee and Jaehyuk Jang and Wooseok Lee and Bosung Suh and Heeyong Yoo and Beomyu Park and Jeongkyun Woo and Jaeeun Jang and Inhyo Ryu and Honggul Han and Jaeyoung Kim and Byoungjoong Kang and Minchul Kang and Hojung Kang and John Kang and Minseob Lee and Danbi Lee and Hyeonuk Son and Suhyeon Lee and Soyeon Kim and Hongjong Park and Sangsung Lee and Jeongyeol Bae and Huijung Kim and Joonhee Lee and Sangmin Yoo}, title = {4.2 {A} Tri-Band Dual-Concurrent Wi-Fi 802.11be Transceiver Achieving -46dB {TX/RX} {EVM} Floor at 7.1GHz for a 4K-QAM 320MHz Signal}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {78--80}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454333}, doi = {10.1109/ISSCC49657.2024.10454333}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJLSYPWJRHKKKKKLLSLKPLBKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKNJKLK24, author = {Dongha Lee and Seki Kim and Takahiro Nomiyama and Dong{-}Hoon Jung and Dongsu Kim and Jongwoo Lee and Sungung Kwak}, title = {14.6 {A} 10A Computational Digital {LDO} Achieving 263A/mm\({}^{\mbox{2}}\) Current Density with Distributed Power-Gating Switches and Time-Based Fast-Transient Controller for Mobile SoC Application in 3nm {GAAFET}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {264--266}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454432}, doi = {10.1109/ISSCC49657.2024.10454432}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKNJKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/JeoungHHK24, author = {Haeseong Jeoung and Minkyu Han and Soo{-}Jong Hyeon and Jinsung Kim}, title = {Distance to Empty Prediction of Electric Vehicles with Personalization of Driving Behavior}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2024, Jeju Island, Republic of Korea, June 2-5, 2024}, pages = {3017--3022}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IV55156.2024.10588566}, doi = {10.1109/IV55156.2024.10588566}, timestamp = {Wed, 31 Jul 2024 16:00:27 +0200}, biburl = {https://dblp.org/rec/conf/ivs/JeoungHHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/NaKSHK0JLKLJ24, author = {Yuseung Na and Soyeong Kim and Jiwon Seok and Jinsu Ha and Jeonghun Kang and Junhee Lee and Jaeyoung Jo and Jonghyun Lee and Hyunwook Kang and Jaehwan Lee and Kichun Jo}, title = {AutoKU: An Autonomous Driving System Design for the World's First Mass-Produced Vehicle in Multi-Vehicle Racing Environment}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2024, Jeju Island, Republic of Korea, June 2-5, 2024}, pages = {1373--1380}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IV55156.2024.10588679}, doi = {10.1109/IV55156.2024.10588679}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ivs/NaKSHK0JLKLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LeeKS24, author = {Jongha Lee and Sunwoo Kim and Kijung Shin}, editor = {Ricardo Baeza{-}Yates and Francesco Bonchi}, title = {{SLADE:} Detecting Dynamic Anomalies in Edge Streams without Labels via Self-Supervised Learning}, booktitle = {Proceedings of the 30th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2024, Barcelona, Spain, August 25-29, 2024}, pages = {1506--1517}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3637528.3671845}, doi = {10.1145/3637528.3671845}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/LeeKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LeeKKHC24, author = {Young{-}Jun Lee and Byungsoo Ko and Han{-}Gyu Kim and Jonghwan Hyeon and Ho{-}Jin Choi}, editor = {Kevin Duh and Helena G{\'{o}}mez{-}Adorno and Steven Bethard}, title = {DialogCC: An Automated Pipeline for Creating High-Quality Multi-Modal Dialogue Dataset}, booktitle = {Proceedings of the 2024 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies (Volume 1: Long Papers), {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {1938--1963}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.naacl-long.108}, doi = {10.18653/V1/2024.NAACL-LONG.108}, timestamp = {Thu, 29 Aug 2024 17:13:57 +0200}, biburl = {https://dblp.org/rec/conf/naacl/LeeKKHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimLYSHYKPL24, author = {Dae{-}Ub Kim and Jyung Chan Lee and Sanghwa Yoo and Jongtae Song and Kyeong{-}Eun Han and JiWook Youn and Bup{-}Joong Kim and Chanho Park and Joon Ki Lee}, title = {Optically Networked Heterogeneous Data-centric Computing System with Silicon Photonics Transceivers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526573}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimLYSHYKPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ZhangZHWLHZWCWX24, author = {Yuqi Zhang and Tianyi Zhang and Wenwen Hao and Shuyang Wang and Na Liu and Xing He and Yang Zhang and Weixin Wang and Yongguang Cheng and Huan Wang and Jie Xu and Feng Wang and Bo Jiang and Yongwong Gwon and Jongsung Na and Zoe Kim and Geunrok Oh}, editor = {Saurabh Bagchi and Yiying Zhang}, title = {{MSFRD:} Mutation Similarity based {SSD} Failure Rating and Diagnosis for Complex and Volatile Production Environments}, booktitle = {Proceedings of the 2024 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2024, Santa Clara, CA, USA, July 10-12, 2024}, pages = {869--884}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/atc24/presentation/zhang-yuqi}, timestamp = {Tue, 16 Jul 2024 16:40:36 +0200}, biburl = {https://dblp.org/rec/conf/usenix/ZhangZHWLHZWCWX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/ChoKDL024, author = {Kyuwon Cho and Jongyoon Kim and Kha Dinh Duy and Hajeong Lim and Hojoon Lee}, editor = {Davide Balzarotti and Wenyuan Xu}, title = {RustSan: Retrofitting AddressSanitizer for Efficient Sanitization of Rust}, booktitle = {33rd {USENIX} Security Symposium, {USENIX} Security 2024, Philadelphia, PA, USA, August 14-16, 2024}, publisher = {{USENIX} Association}, year = {2024}, url = {https://www.usenix.org/conference/usenixsecurity24/presentation/cho-kyuwon}, timestamp = {Mon, 22 Jul 2024 17:10:49 +0200}, biburl = {https://dblp.org/rec/conf/uss/ChoKDL024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/0008HKATL24, author = {Hang Hu and Marco Hernandez and Yang G. Kim and Kazi J. Ahmed and Kazuya Tsukamoto and Myung J. Lee}, title = {DDPG-Based Wireless Resource Allocation for Time-Constrained Applications}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2024, Dubai, United Arab Emirates, April 21-24, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WCNC57260.2024.10570841}, doi = {10.1109/WCNC57260.2024.10570841}, timestamp = {Mon, 15 Jul 2024 15:18:26 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/0008HKATL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/JangKKLPAK24, author = {Beakcheol Jang and Gun Il Kim and Youngha Kim and Yo Han Lee and Chang{-}Reung Park and Jae{-}Pyoung Ahn and Jong Wook Kim}, title = {Long-Term {COVID-19} Outbreak Prediction using Time Difference Data}, publisher = {{IEEE} DataPort}, year = {2024}, month = jul, howpublished = {\url{https://doi.org/10.21227/5w4q-kp44}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/5w4q-kp44}, doi = {10.21227/5W4Q-KP44}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/JangKKLPAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-09048, author = {Jonghyun Lee and Hansam Cho and Youngjoon Yoo and Seoung Bum Kim and Yonghyun Jeong}, title = {Compose and Conquer: Diffusion-Based 3D Depth Aware Composable Image Synthesis}, journal = {CoRR}, volume = {abs/2401.09048}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.09048}, doi = {10.48550/ARXIV.2401.09048}, eprinttype = {arXiv}, eprint = {2401.09048}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-09048.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-14698, author = {Debarati Das and Karin de Langis and Anna Martin{-}Boyle and Jaehyung Kim and Minhwa Lee and Zae Myung Kim and Shirley Anugrah Hayati and Risako Owan and Bin Hu and Ritik Parkar and Ryan Koo and Jong Inn Park and Aahan Tyagi and Libby Ferland and Sanjali Roy and Vincent Liu and Dongyeop Kang}, title = {Under the Surface: Tracking the Artifactuality of LLM-Generated Data}, journal = {CoRR}, volume = {abs/2401.14698}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.14698}, doi = {10.48550/ARXIV.2401.14698}, eprinttype = {arXiv}, eprint = {2401.14698}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-14698.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-04287, author = {Yeaju Kim and Jung{-}Gu Choi and Bora Kim and Yongwan Park and Jihyun Cha and Jongkwan Choi and Sanghoon Han}, title = {Association between Prefrontal fNIRS signals during Cognitive tasks and College scholastic ability test {(CSAT)} scores: Analysis using a quantum annealing approach}, journal = {CoRR}, volume = {abs/2402.04287}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.04287}, doi = {10.48550/ARXIV.2402.04287}, eprinttype = {arXiv}, eprint = {2402.04287}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-04287.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-04625, author = {Hansam Cho and Jonghyun Lee and Seoung Bum Kim and Tae{-}Hyun Oh and Yonghyun Jeong}, title = {Noise Map Guidance: Inversion with Spatial Context for Real Image Editing}, journal = {CoRR}, volume = {abs/2402.04625}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.04625}, doi = {10.48550/ARXIV.2402.04625}, eprinttype = {arXiv}, eprint = {2402.04625}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-04625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11933, author = {Jongha Lee and Sunwoo Kim and Kijung Shin}, title = {{SLADE:} Detecting Dynamic Anomalies in Edge Streams without Labels via Self-Supervised Learning}, journal = {CoRR}, volume = {abs/2402.11933}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11933}, doi = {10.48550/ARXIV.2402.11933}, eprinttype = {arXiv}, eprint = {2402.11933}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11933.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-00579, author = {Guseul Heo and Sangyeop Lee and Jaehong Cho and Hyunmin Choi and Sanghyeon Lee and Hyungkyu Ham and Gwangsun Kim and Divya Mahajan and Jongse Park}, title = {NeuPIMs: {NPU-PIM} Heterogeneous Acceleration for Batched {LLM} Inferencing}, journal = {CoRR}, volume = {abs/2403.00579}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.00579}, doi = {10.48550/ARXIV.2403.00579}, eprinttype = {arXiv}, eprint = {2403.00579}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-00579.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04981, author = {Zijian Zhao and Sola Woo and Khandker Akif Aabrar and Sharadindu Gopal Kirtania and Zhouhang Jiang and Shan Deng and Yi Xiao and Halid Mulaosmanovic and Stefan D{\"{u}}nkel and Dominik Kleimaier and Steven Soss and Sven Beyer and Rajiv V. Joshi and Scott Meninger and Mohamed Mohamed and Kijoon Kim and Jongho Woo and Suhwan Lim and Kwangsoo Kim and Wanki Kim and Daewon Ha and Vijaykrishnan Narayanan and Suman Datta and Shimeng Yu and Kai Ni}, title = {Paving the Way for Pass Disturb Free Vertical {NAND} Storage via {A} Dedicated and String-Compatible Pass Gate}, journal = {CoRR}, volume = {abs/2403.04981}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04981}, doi = {10.48550/ARXIV.2403.04981}, eprinttype = {arXiv}, eprint = {2403.04981}, timestamp = {Fri, 13 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04981.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14353, author = {Yoonsung Kim and Changhun Oh and Jinwoo Hwang and Wonung Kim and Seongryong Oh and Yubin Lee and Hardik Sharma and Amir Yazdanbakhsh and Jongse Park}, title = {DaCapo: Accelerating Continuous Learning in Autonomous Systems for Video Analytics}, journal = {CoRR}, volume = {abs/2403.14353}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14353}, doi = {10.48550/ARXIV.2403.14353}, eprinttype = {arXiv}, eprint = {2403.14353}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14353.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01628, author = {Minhyuk Seo and Hyunseo Koh and Wonje Jeung and Minjae Lee and San Kim and Hankook Lee and Sungjun Cho and Sungik Choi and Hyunwoo Kim and Jonghyun Choi}, title = {Learning Equi-angular Representations for Online Continual Learning}, journal = {CoRR}, volume = {abs/2404.01628}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01628}, doi = {10.48550/ARXIV.2404.01628}, eprinttype = {arXiv}, eprint = {2404.01628}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01628.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13081, author = {Jaehyung Kim and Jaehyun Nam and Sangwoo Mo and Jongjin Park and Sang{-}Woo Lee and Minjoon Seo and Jung{-}Woo Ha and Jinwoo Shin}, title = {SuRe: Summarizing Retrievals using Answer Candidates for Open-domain {QA} of LLMs}, journal = {CoRR}, volume = {abs/2404.13081}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13081}, doi = {10.48550/ARXIV.2404.13081}, eprinttype = {arXiv}, eprint = {2404.13081}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13081.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18792, author = {Haanvid Lee and Tri Wahyu Guntara and Jongmin Lee and Yung{-}Kyun Noh and Kee{-}Eung Kim}, title = {Kernel Metric Learning for In-Sample Off-Policy Evaluation of Deterministic {RL} Policies}, journal = {CoRR}, volume = {abs/2405.18792}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18792}, doi = {10.48550/ARXIV.2405.18792}, eprinttype = {arXiv}, eprint = {2405.18792}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18792.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-04639, author = {Jongyun Shin and Seunjin Han and Jangho Kim}, title = {Cooperative Meta-Learning with Gradient Augmentation}, journal = {CoRR}, volume = {abs/2406.04639}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.04639}, doi = {10.48550/ARXIV.2406.04639}, eprinttype = {arXiv}, eprint = {2406.04639}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-04639.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-08051, author = {Hyungkyu Ham and Wonhyuk Yang and Yunseon Shin and Okkyun Woo and Guseul Heo and Sangyeop Lee and Jongse Park and Gwangsun Kim}, title = {ONNXim: {A} Fast, Cycle-level Multi-core {NPU} Simulator}, journal = {CoRR}, volume = {abs/2406.08051}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.08051}, doi = {10.48550/ARXIV.2406.08051}, eprinttype = {arXiv}, eprint = {2406.08051}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-08051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-14585, author = {Hyunjun Ma and Jin{-}soo Kim and Jong{-}Ho Choe and Q.{-}Han Park}, title = {Deep-learning-assisted reconfigurable metasurface antenna for real-time holographic beam steering}, journal = {CoRR}, volume = {abs/2406.14585}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.14585}, doi = {10.48550/ARXIV.2406.14585}, eprinttype = {arXiv}, eprint = {2406.14585}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-14585.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-09184, author = {Jong Myoung Kim and Young{-}Jun Lee and Yong{-}jin Han and Sangkeun Jung and Ho{-}Jin Choi}, title = {Does Incomplete Syntax Influence Korean Language Model? Focusing on Word Order and Case Markers}, journal = {CoRR}, volume = {abs/2407.09184}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.09184}, doi = {10.48550/ARXIV.2407.09184}, eprinttype = {arXiv}, eprint = {2407.09184}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-09184.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-20542, author = {Wencan Cheng and Eunji Kim and Jong Hwan Ko}, title = {HandDAGT: {A} Denoising Adaptive Graph Transformer for 3D Hand Pose Estimation}, journal = {CoRR}, volume = {abs/2407.20542}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.20542}, doi = {10.48550/ARXIV.2407.20542}, eprinttype = {arXiv}, eprint = {2407.20542}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-20542.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-11318, author = {Hyeongmin Lee and Jin{-}Young Kim and Kyungjune Baek and Jihwan Kim and Hyojun Go and Seongsu Ha and Seokjin Han and Jiho Jang and Raehyuk Jung and Daewoo Kim and GeunOh Kim and JongMok Kim and Jongseok Kim and Junwan Kim and Soonwoo Kwon and Jangwon Lee and Seungjoon Park and Minjoon Seo and Jay Suh and Jaehyuk Yi and Aiden Seung Joon Lee}, title = {{TWLV-I:} Analysis and Insights from Holistic Evaluation on Video Foundation Models}, journal = {CoRR}, volume = {abs/2408.11318}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.11318}, doi = {10.48550/ARXIV.2408.11318}, eprinttype = {arXiv}, eprint = {2408.11318}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-11318.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhnYKLL23, author = {Jongmin Ahn and Han{-}Kyeol Yeo and Ji{-}Yeon Kim and Dong{-}Hee Lee and Dong{-}Kuk Lim}, title = {Evolved Quasi-3D Analysis Method for Analysis Cost Reduction of Axial Flux Permanent Magnet Motor}, journal = {{IEEE} Access}, volume = {11}, pages = {141241--141250}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3342177}, doi = {10.1109/ACCESS.2023.3342177}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhnYKLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKWH23, author = {Keong{-}Hun Choi and Jin Woo Kim and Yao Wang and Jong{-}Eun Ha}, title = {Improved Image Classification With Token Fusion}, journal = {{IEEE} Access}, volume = {11}, pages = {67460--67467}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3291597}, doi = {10.1109/ACCESS.2023.3291597}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaBLSKK23, author = {Jonghyeon Ha and Minji Bang and Gyeongyeop Lee and Minki Suh and Chong{-}Eun Kim and Jungsik Kim}, title = {Impact of Displacement Defect Owing to Cosmic Rays on Three-Nanometer-Node Nanosheet {FET} 6T Static Random Access Memory}, journal = {{IEEE} Access}, volume = {11}, pages = {97682--97688}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3312016}, doi = {10.1109/ACCESS.2023.3312016}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HaBLSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaKBLSSKK23, author = {Jonghyeon Ha and Sun{-}Jin Kim and Minji Bang and Gyeongyeop Lee and Minki Suh and Minseob Shim and Chong{-}Eun Kim and Jungsik Kim}, title = {Prediction of Statistical Distribution on Nanosheet {FET} by Geometrical Variability Using Various Machine Learning Models}, journal = {{IEEE} Access}, volume = {11}, pages = {125217--125225}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3330773}, doi = {10.1109/ACCESS.2023.3330773}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HaKBLSSKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaLK23, author = {Jeong{-}Won Ha and Kang{-}Kyu Lee and Jong{-}Ok Kim}, title = {Joint Deep Estimation of Intrinsic and Dichromatic Image Decomposition}, journal = {{IEEE} Access}, volume = {11}, pages = {41770--41782}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3271114}, doi = {10.1109/ACCESS.2023.3271114}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HaLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HaLYK23, author = {Jeong{-}Won Ha and Kang{-}Kyu Lee and Jun{-}Sang Yoo and Jong{-}Ok Kim}, title = {Deep Highlight Removal Using Temporal Dark Prior in High-Speed Domain}, journal = {{IEEE} Access}, volume = {11}, pages = {20136--20149}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3249779}, doi = {10.1109/ACCESS.2023.3249779}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HaLYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanJK23, author = {Guk Han and Jongoh Jeong and Jong{-}Hwan Kim}, title = {Adaptive Bayesian Optimization for Fast Exploration Under Safety Constraints}, journal = {{IEEE} Access}, volume = {11}, pages = {42949--42969}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3271134}, doi = {10.1109/ACCESS.2023.3271134}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HarerimanaKKJ23, author = {Gaspard Harerimana and Gun Il Kim and Jong Wook Kim and Beakcheol Jang}, title = {{HSGA:} {A} Hybrid {LSTM-CNN} Self-Guided Attention to Predict the Future Diagnosis From Discharge Narratives}, journal = {{IEEE} Access}, volume = {11}, pages = {106334--106346}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3320179}, doi = {10.1109/ACCESS.2023.3320179}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HarerimanaKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangGJPKNJLKPN23, author = {Sanghoon Kang and Yunfei Gao and Jaeho Jeong and Seong{-}Joon Park and Jae{-}Won Kim and Jong{-}Seon No and Ha Hyeon Jeon and Jeong Wook Lee and Sunghwan Kim and Hosung Park and Albert No}, title = {Generative Adversarial Networks for {DNA} Storage Channel Simulator}, journal = {{IEEE} Access}, volume = {11}, pages = {3781--3793}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3235201}, doi = {10.1109/ACCESS.2023.3235201}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KangGJPKNJLKPN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH23a, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Automatic Extrinsic Calibration of a Camera and a 2D LiDAR With Point-Line Correspondences}, journal = {{IEEE} Access}, volume = {11}, pages = {76904--76912}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3298055}, doi = {10.1109/ACCESS.2023.3298055}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimH23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH23b, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {{MSF-NET:} Foreground Objects Detection With Fusion of Motion and Semantic Features}, journal = {{IEEE} Access}, volume = {11}, pages = {145551--145565}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3345842}, doi = {10.1109/ACCESS.2023.3345842}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimH23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLY23, author = {Seong{-}Jin Kim and Sol Kim and Ji{-}Hoon Lee and Jong{-}Won Yu}, title = {A Compact Broadband Stepped Bow-Tie Antenna for Ambient {RF} Energy Harvesting}, journal = {{IEEE} Access}, volume = {11}, pages = {60365--60373}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3286535}, doi = {10.1109/ACCESS.2023.3286535}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKPHKP23, author = {Jiyoung Kim and Jin{-}Gyun Kim and Jongwoo Park and Byung{-}Kil Han and Sanghyun Kim and Dong Il Park}, title = {Dual-Arm Path-Planning Algorithm for Wiring Harness Assembly Using Redundantly Actuated Robotic Systems}, journal = {{IEEE} Access}, volume = {11}, pages = {98427--98435}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3306793}, doi = {10.1109/ACCESS.2023.3306793}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKPHKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimL23b, author = {Jong{-}Hyun Kim and Jung Lee}, title = {Efficient and Stable Generation of High-Resolution Hair and Fur With ConvNet Using Adaptive Strand Geometry Images}, journal = {{IEEE} Access}, volume = {11}, pages = {81101--81112}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3301133}, doi = {10.1109/ACCESS.2023.3301133}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimL23b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLK23a, author = {Hyeongjin Kim and Jong{-}Ha Lee and ByoungChul Ko}, title = {Facial Expression Recognition in the Wild Using Face Graph and Attention}, journal = {{IEEE} Access}, volume = {11}, pages = {59774--59787}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3286547}, doi = {10.1109/ACCESS.2023.3286547}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLK23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LarasatiPWPK23, author = {Harashta Tatimma Larasati and Dedy Septono Catur Putranto and Rini Wisnu Wardhani and Jonguk Park and Howon Kim}, title = {Depth Optimization of FLT-Based Quantum Inversion Circuit}, journal = {{IEEE} Access}, volume = {11}, pages = {54910--54927}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3280632}, doi = {10.1109/ACCESS.2023.3280632}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LarasatiPWPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCKPK23, author = {Seung{-}Won Lee and Jin{-}Wook Choe and Ik{-}Su Kwon and Byung{-}Bae Park and Hae{-}Jong Kim}, title = {Precision and Performance Evaluation of Accelerated Aging System for {DC} {TP} Power Cables}, journal = {{IEEE} Access}, volume = {11}, pages = {43424--43434}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3269799}, doi = {10.1109/ACCESS.2023.3269799}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeCKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeRKMJ23, author = {Ga Yeong Lee and Gyu Li Ra and Gil Su Kim and Hak Hyun Moon and Jong Seob Jeong}, title = {Probability Mass Function-Based Adaptive Median Filtering for Acoustic Radiation Force Impulse Imaging: {A} Feasibility Study}, journal = {{IEEE} Access}, volume = {11}, pages = {142077--142086}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3342710}, doi = {10.1109/ACCESS.2023.3342710}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeRKMJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShimKPCK23, author = {Hanjoon Shim and O.{-}Jong Kim and Minhuck Park and Minkyu Choi and Changdon Kee}, title = {Development of Hardware-in-the-Loop Simulation for CubeSat Platform: Focusing on Magnetometer and Magnetorquer}, journal = {{IEEE} Access}, volume = {11}, pages = {73164--73179}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3294565}, doi = {10.1109/ACCESS.2023.3294565}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShimKPCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooSKCKSK23, author = {Jong{-}Ha Woo and K. Krishna Sahithi and Seong{-}Taek Kim and Geun{-}Ryeong Choi and Beom{-}Su Kim and Jong{-}Gyu Shin and Sang{-}Ho Kim}, title = {Machine Learning Based Recognition of Elements in Lower-Limb Movement Sequence for Proactive Control of Exoskeletons to Assist Lifting}, journal = {{IEEE} Access}, volume = {11}, pages = {127107--127118}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3332116}, doi = {10.1109/ACCESS.2023.3332116}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WooSKCKSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ShinMBKKKKKL23, author = {Wonjun Shin and Kyung Kyu Min and Jong{-}Ho Bae and Jaehyeon Kim and Ryun{-}Han Koo and Dongseok Kwon and Jae{-}Joon Kim and Daewoong Kwon and Jong{-}Ho Lee}, title = {1/\emph{f} Noise in Synaptic Ferroelectric Tunnel Junction: Impact on Convolutional Neural Network}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {6}, year = {2023}, url = {https://doi.org/10.1002/aisy.202200377}, doi = {10.1002/AISY.202200377}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/ShinMBKKKKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/KimYLY23, author = {Semin Kim and Huisu Yoon and Jongha Lee and Sangwook Yoo}, title = {Facial wrinkle segmentation using weighted deep supervision and semi-automatic labeling}, journal = {Artif. Intell. Medicine}, volume = {145}, pages = {102679}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102679}, doi = {10.1016/J.ARTMED.2023.102679}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/KimYLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/ShimCPLHK23, author = {Miseon Shim and Ga{-}Young Choi and Nam{-}Jong Paik and Chaiyoung Lim and Han{-}Jeong Hwang and Won{-}Seok Kim}, title = {Altered Functional Networks of Alpha and Low-Beta Bands During Upper Limb Movement and Association with Motor Impairment in Chronic Stroke}, journal = {Brain Connect.}, volume = {13}, number = {8}, pages = {487--497}, year = {2023}, url = {https://doi.org/10.1089/brain.2021.0070}, doi = {10.1089/BRAIN.2021.0070}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/brain/ShimCPLHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/YooYKKPJ23, author = {Hakje Yoo and Yunjin Yum and Yoojoong Kim and Jong{-}Ho Kim and Hyun{-}Joon Park and Hyung Joon Joo}, title = {Restoration of missing or low-quality 12-lead {ECG} signals using ensemble deep-learning model with optimal combination}, journal = {Biomed. Signal Process. Control.}, volume = {83}, pages = {104690}, year = {2023}, url = {https://doi.org/10.1016/j.bspc.2023.104690}, doi = {10.1016/J.BSPC.2023.104690}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/YooYKKPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/ParambiOKSHAMAAPKM23, author = {Della Grace Thomas Parambi and Jong Min Oh and Sunil Kumar and Sachithra Thazhathuveedu Sudevan and Omnia Magdy Hendawy and Mohamed A. Abdelgawad and Arafa Musa and Mohammad M. Al{-}Sanea and Iqrar Ahmad and Harun Patel and Hoon Kim and Bijo Mathew}, title = {Halogenated class of oximes as a new class of monoamine oxidase-B inhibitors for the treatment of Parkinson's disease: Synthesis, biochemistry, and molecular dynamics study}, journal = {Comput. Biol. Chem.}, volume = {105}, pages = {107899}, year = {2023}, url = {https://doi.org/10.1016/j.compbiolchem.2023.107899}, doi = {10.1016/J.COMPBIOLCHEM.2023.107899}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/ParambiOKSHAMAAPKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/EbrahimiLWKP23, author = {Mohammad Kazem Vakilzadeh Ebrahimi and Hansaem Lee and Jongho Won and Seonghwan Kim and Simon S. Park}, title = {Estimation of soil texture by fusion of near-infrared spectroscopy and image data based on convolutional neural network}, journal = {Comput. Electron. Agric.}, volume = {212}, pages = {108117}, year = {2023}, url = {https://doi.org/10.1016/j.compag.2023.108117}, doi = {10.1016/J.COMPAG.2023.108117}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/EbrahimiLWKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/JeonKMKLCHCA23, author = {Hong{-}Bae Jeon and Soo{-}Min Kim and Hyung{-}Joo Moon and Do{-}Hoon Kwon and Joon{-}Woo Lee and Jong{-}Moon Chung and Sang{-}Kook Han and Chan{-}Byoung Chae and Mohamed{-}Slim Alouini}, title = {Free-Space Optical Communications for 6G Wireless Networks: Challenges, Opportunities, and Prototype Validation}, journal = {{IEEE} Commun. Mag.}, volume = {61}, number = {4}, pages = {116--121}, year = {2023}, url = {https://doi.org/10.1109/MCOM.001.2200220}, doi = {10.1109/MCOM.001.2200220}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/JeonKMKLCHCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/LeeKL23, author = {Hyun{-}Jong Lee and Dong{-}Hoon Kim and Jae{-}Han Lim}, title = {Wi-Fi frame detection via spiking neural networks with memristive synapses}, journal = {Comput. Commun.}, volume = {208}, pages = {256--270}, year = {2023}, url = {https://doi.org/10.1016/j.comcom.2023.06.006}, doi = {10.1016/J.COMCOM.2023.06.006}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/LeeKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csysl/HanSJRKGKRS23, author = {Jihun Han and Daliang Shen and Jongryeol Jeong and Miriam Di Russo and Namdoo Kim and Julien Jean Grave and Dominik Karbowski and Aymeric Rousseau and Kevin Stutenberg}, title = {Energy Impact of Connecting Multiple Signalized Intersections to Energy-Efficient Driving: Simulation and Experimental Results}, journal = {{IEEE} Control. Syst. Lett.}, volume = {7}, pages = {1297--1302}, year = {2023}, url = {https://doi.org/10.1109/LCSYS.2023.3234808}, doi = {10.1109/LCSYS.2023.3234808}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csysl/HanSJRKGKRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChoKLHNM23, author = {Sunghyun Cho and Minsu Kim and Jaewon Lee and Areum Han and Jonggeol Na and Il Moon}, title = {Multi-objective optimization of explosive waste treatment process considering environment via Bayesian active learning}, journal = {Eng. Appl. Artif. Intell.}, volume = {117}, number = {Part}, pages = {105463}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2022.105463}, doi = {10.1016/J.ENGAPPAI.2022.105463}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ChoKLHNM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/HongACLHMK23, author = {Seokyoung Hong and Nahyeon An and Hyungtae Cho and Jongkoo Lim and In{-}Su Han and Il Moon and Junghwan Kim}, title = {A Dynamic Soft Sensor Based on Hybrid Neural Networks to Improve Early Off-spec Detection}, journal = {Eng. Comput.}, volume = {39}, number = {4}, pages = {3011--3021}, year = {2023}, url = {https://doi.org/10.1007/s00366-022-01694-7}, doi = {10.1007/S00366-022-01694-7}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ewc/HongACLHMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/HongACLHMK23a, author = {Seokyoung Hong and Nahyeon An and Hyungtae Cho and Jongkoo Lim and In{-}Su Han and Il Moon and Junghwan Kim}, title = {Correction to: {A} dynamic soft sensor based on hybrid neural networks to improve early off-spec detection}, journal = {Eng. Comput.}, volume = {39}, number = {5}, pages = {3155}, year = {2023}, url = {https://doi.org/10.1007/s00366-022-01731-5}, doi = {10.1007/S00366-022-01731-5}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ewc/HongACLHMK23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hisas/YooMKJ23, author = {Hakje Yoo and Jose Moon and Jong{-}Ho Kim and Hyung Joon Joo}, title = {Design and technical validation to generate a synthetic 12-lead electrocardiogram dataset to promote artificial intelligence research}, journal = {Health Inf. Sci. Syst.}, volume = {11}, number = {1}, pages = {41}, year = {2023}, url = {https://doi.org/10.1007/s13755-023-00241-y}, doi = {10.1007/S13755-023-00241-Y}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hisas/YooMKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimLCSSLLHKPJKL23, author = {Jemin Kim and Changyoon Lee and Sungchul Choi and Da{-}In Sung and Jeonga Seo and Yun Na Lee and Joo Hee Lee and Eun Jin Han and Ah Young Kim and Hyun Suk Park and Hye Jeong Jung and Jong Hoon Kim and Ju Hee Lee}, title = {Augmented Decision-Making in wound Care: Evaluating the clinical utility of a Deep-Learning model for pressure injury staging}, journal = {Int. J. Medical Informatics}, volume = {180}, pages = {105266}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105266}, doi = {10.1016/J.IJMEDINF.2023.105266}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimLCSSLLHKPJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/KimHJ23, author = {Jong Min Kim and Jeongsoo Han and Shiyu Jiang}, title = {The impact of comment history disclosure on online comment posting behaviors}, journal = {Inf. Technol. People}, volume = {36}, number = {7}, pages = {2847--2868}, year = {2023}, url = {https://doi.org/10.1108/ITP-09-2021-0692}, doi = {10.1108/ITP-09-2021-0692}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itp/KimHJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/ParkYKHY23, author = {Jongmin Park and Jinoh Yoo and Taehyung Kim and Jong Moon Ha and Byeng D. Youn}, title = {Multi-head de-noising autoencoder-based multi-task model for fault diagnosis of rolling element bearings under various speed conditions}, journal = {J. Comput. Des. Eng.}, volume = {10}, number = {4}, pages = {1804--1820}, year = {2023}, url = {https://doi.org/10.1093/jcde/qwad076}, doi = {10.1093/JCDE/QWAD076}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/ParkYKHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LimLKKLPHHK23, author = {Byungju Lim and Ju{-}Hyung Lee and Jae{-}Hong Kwon and Ki{-}Hun Kim and Jong{-}Man Lee and Hyun Park and Young{-}Seok Ha and Young{-}Jin Han and Young{-}Chai Ko}, title = {Joint association and resource allocation for multi-hop integrated access and backhaul {(IAB)} network}, journal = {J. Commun. Networks}, volume = {25}, number = {4}, pages = {440--455}, year = {2023}, url = {https://doi.org/10.23919/jcn.2022.000041}, doi = {10.23919/JCN.2022.000041}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LimLKKLPHHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyuokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Suhyeon Lee and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28-nm Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {12}, pages = {3408--3420}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3317433}, doi = {10.1109/JSSC.2023.3317433}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KimSLKLKKJCBCNKHSLKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonPCSCPKCJK23, author = {Youngwook Kwon and Hyunsu Park and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Seungwoo Park and Kyeong{-}Min Kim and Changkyu Choi and Hae{-}Kang Jung and Chulwoo Kim}, title = {A 33-Gb/s/Pin 1.09-pJ/Bit Single-Ended {PAM-3} Transceiver With Ground-Referenced Signaling and Time-Domain Decision Technique for Multi-Chip Module Memory Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {8}, pages = {2314--2325}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3250706}, doi = {10.1109/JSSC.2023.3250706}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KwonPCSCPKCJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YuhLLOHAJADBTAR23, author = {Jonghak Yuh and Yen{-}Lung Jason Li and Heguang Li and Yoshihiro Oyama and Cynthia Hsu and Pradeep Anantula and Gwang Yeong Stanley Jeong and Anirudh Amarnath and Siddhesh Darne and Sneha Bhatia and Tianyu Tang and Aditya Arya and Naman Rastogi and Naoki Ookuma and Hiroyuki Mizukoshi and Alex Yap and Demin Wang and Steve Kim and Yonggang Wu and Min Peng and Jason Lu and Tommy Ip and Seema Malhotra and Taekeun Han and Masatoshi Okumura and Jiwen Liu and Jeongduk John Sohn and Hardwell Chibvongodze and Muralikrishna Balaga and Akihiro Matsuda and Chen Chen and Indra K. V and V. S. N. K. Chaitanya G. and Venky Ramachandra and Yosuke Kato and Ravi Kumar and Huijuan Wang and Farookh Moogat and In{-}Soo Yoon and Kazushige Kanda and Takahiro Shimizu and Noboru Shibata and Kosuke Yanagidaira and Takuyo Kodama and Ryo Fukuda and Yasuhiro Hirashima and Mitsuhiro Abe}, title = {A 1-Tb 4-b/cell 4-Plane 162-Layer 3-D Flash Memory With 2.4-Gb/s {IO} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {316--328}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193326}, doi = {10.1109/JSSC.2022.3193326}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YuhLLOHAJADBTAR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/ShimKBCKOLHL23, author = {Ga Yang Shim and Eun Hye Kim and Yun Jeong Baek and Won Kee Chang and Bo Ram Kim and Joo Han Oh and Jong In Lee and Ji Hye Hwang and Jae{-}Young Lim}, title = {A randomized controlled trial of postoperative rehabilitation using digital healthcare system after rotator cuff repair}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00842-7}, doi = {10.1038/S41746-023-00842-7}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npjdm/ShimKBCKOLHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ChoeKHLP23, author = {Jonghun Choe and Joon{-}Ha Kim and Seungwoo Hong and Jinoh Lee and Hae{-}Won Park}, title = {Seamless Reaction Strategy for Bipedal Locomotion Exploiting Real-Time Nonlinear Model Predictive Control}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {8}, pages = {5031--5038}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3291273}, doi = {10.1109/LRA.2023.3291273}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ChoeKHLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoLLKKJL23, author = {So{-}Hyun Cho and Su{-}Min Lee and Na{-}Young Lee and ByoungChul Ko and Hojeong Kim and Dae{-}Jin Jang and Jong{-}Ha Lee}, title = {High-Resolution Tactile-Sensation Diagnostic Imaging System for Thyroid Cancer}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3451}, year = {2023}, url = {https://doi.org/10.3390/s23073451}, doi = {10.3390/S23073451}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoLLKKJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHPC23, author = {Hanjin Kim and Chang{-}Uk Hyun and Hyeong{-}Dong Park and Jongmun Cha}, title = {Image Mapping Accuracy Evaluation Using {UAV} with Standalone, Differential (RTK), and {PPP} {GNSS} Positioning Techniques in an Abandoned Mine Site}, journal = {Sensors}, volume = {23}, number = {13}, pages = {5858}, year = {2023}, url = {https://doi.org/10.3390/s23135858}, doi = {10.3390/S23135858}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimHPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPKK23, author = {Haeyoung Kim and Harashta Tatimma Larasati and Jonguk Park and Howon Kim and Donghyun Kwon}, title = {{DEMIX:} Domain-Enforced Memory Isolation for Embedded System}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3568}, year = {2023}, url = {https://doi.org/10.3390/s23073568}, doi = {10.3390/S23073568}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLPKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimMKIKKL23, author = {Samyoung Kim and Kyuengbo Min and Yeongdae Kim and Shigeyuki Igarashi and Daeyoung Kim and Hyeonseok Kim and Jongho Lee}, title = {Analysis of Differences in Single-Joint Movement of Dominant and Non-Dominant Hands for Human-like Robotic Control}, journal = {Sensors}, volume = {23}, number = {23}, pages = {9443}, year = {2023}, url = {https://doi.org/10.3390/s23239443}, doi = {10.3390/S23239443}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimMKIKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCLLKSCKM23, author = {Hoyoung Lee and Sungho Cho and Jongguk Lim and Ahyeong Lee and Giyoung Kim and Doo{-}Jin Song and Seung{-}Woo Chun and Min{-}Jee Kim and Changyeun Mo}, title = {Performance Comparison of Tungsten-Halogen Light and Phosphor-Converted {NIR} {LED} in Soluble Solid Content Estimation of Apple}, journal = {Sensors}, volume = {23}, number = {4}, pages = {1961}, year = {2023}, url = {https://doi.org/10.3390/s23041961}, doi = {10.3390/S23041961}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCLLKSCKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLNKJ23, author = {Soomok Lee and Sanghyun Lee and Jongmin Noh and Jinyoung Kim and Harim Jeong}, title = {Special Traffic Event Detection: Framework, Dataset Generation, and Deep Neural Network Perspectives}, journal = {Sensors}, volume = {23}, number = {19}, pages = {8129}, year = {2023}, url = {https://doi.org/10.3390/s23198129}, doi = {10.3390/S23198129}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLNKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeMKTK23, author = {Jongha Lee and Gwiyeong Moon and Sukhyeon Ka and Kar{-}Ann Toh and Donghyun Kim}, title = {Deep Learning Approach for the Localization and Analysis of Surface Plasmon Scattering}, journal = {Sensors}, volume = {23}, number = {19}, pages = {8100}, year = {2023}, url = {https://doi.org/10.3390/s23198100}, doi = {10.3390/S23198100}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeMKTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKK23, author = {Jongeun Park and Hansol Kim and Moon Gi Kang}, title = {Kernel Estimation Using Total Variation Guided {GAN} for Image Super-Resolution}, journal = {Sensors}, volume = {23}, number = {7}, pages = {3734}, year = {2023}, url = {https://doi.org/10.3390/s23073734}, doi = {10.3390/S23073734}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/BahkKY23, author = {Joo{-}Mi Bahk and Sun{-}Hak Kim and Jong{-}Yun Yoon}, title = {System Modeling and Simulation for Investigating Dynamic Characteristics of Geared Symmetric System Based on Linear Analysis}, journal = {Symmetry}, volume = {15}, number = {10}, pages = {1904}, year = {2023}, url = {https://doi.org/10.3390/sym15101904}, doi = {10.3390/SYM15101904}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/BahkKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeJJJPLKWKCWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Adam Y. Wang and Youngin Kim and Kyung{-}Sik Choi and Jing Wang and Hua Wang}, title = {A Multi-Functional {CMOS} Biosensor Array With On-Chip DEP-Assisted Sensing for Rapid Low-Concentration Analyte Detection and Close-Loop Particle Manipulation With No External Electrodes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {6}, pages = {1214--1226}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3343068}, doi = {10.1109/TBCAS.2023.3343068}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeJJJPLKWKCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LeeKPK23, author = {Hayoung Lee and Jihye Kim and Jongho Park and Sungho Kang}, title = {{STRAIT:} Self-Test and Self-Recovery for {AI} Accelerator}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {9}, pages = {3092--3104}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3236875}, doi = {10.1109/TCAD.2023.3236875}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/LeeKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimHLN23, author = {Dana Kim and Jong{-}Phil Hong and Jiwon Lee and Jae{-}Won Nam}, title = {High-Speed Light Detection Sensor for Hardware Security in Standard {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {10}, pages = {3917--3921}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3289407}, doi = {10.1109/TCSII.2023.3289407}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimHLN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimOKLK23, author = {Jongho Kim and Young H. Oh and Hyeonsik Kim and Jae W. Lee and Jintae Kim}, title = {A 4-bit 4.5-ns-Latency Pseudo-ReRAM Computing-In-Memory Macro With Self Error-Correcting DTC-Based {WL} Drivers and 6-bit CDAC-Less Column ADCs Having Ultra-Narrow Pitch}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {9}, pages = {3228--3232}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3273290}, doi = {10.1109/TCSII.2023.3273290}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimOKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/ParkKH23, author = {Jong{-}Hyeok Park and Beomsu Kim and Soohee Han}, title = {Reinforcement Learning With Model-Based Assistance for Shape Control in Sendzimir Rolling Mills}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {31}, number = {4}, pages = {1867--1874}, year = {2023}, url = {https://doi.org/10.1109/TCST.2022.3227502}, doi = {10.1109/TCST.2022.3227502}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/ParkKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/HaPPKY23, author = {Young{-}Mok Ha and Eunji Pak and Jongkil Park and Taeho Kim and Ji Won Yoon}, title = {Clock Offset Estimation for Systems With Asymmetric Packet Delays}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {31}, number = {4}, pages = {1838--1853}, year = {2023}, url = {https://doi.org/10.1109/TNET.2022.3229407}, doi = {10.1109/TNET.2022.3229407}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/HaPPKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimYHC23, author = {Haeri Kim and Wonsuk Yoo and Seoncheol Ha and Jong{-}Moon Chung}, title = {In-Vehicle Network Average Response Time Analysis for {CAN-FD} and Automotive Ethernet}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {6}, pages = {6916--6932}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3236593}, doi = {10.1109/TVT.2023.3236593}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimYHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HuangCK0LY023, author = {Yangyu Huang and Xi Chen and Jongyoo Kim and Hao Yang and Chong Li and Jiaolong Yang and Dong Chen}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {FreeEnricher: Enriching Face Landmarks without Additional Cost}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {962--970}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i1.25176}, doi = {10.1609/AAAI.V37I1.25176}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HuangCK0LY023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0KLHM23, author = {Hayeon Lee and Rui Hou and Jongpil Kim and Davis Liang and Sung Ju Hwang and Alexander Min}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {A Study on Knowledge Distillation from Weak Teacher for Scaling Up Pre-trained Language Models}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {11239--11246}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.714}, doi = {10.18653/V1/2023.FINDINGS-ACL.714}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/Lee0KLHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apwcs2/LeeLK23, author = {Won{-}Jae Lee and Jongtae Lee and Jae{-}Hyun Kim}, title = {Performance Evaluation of Multi-Attribute Conditional Handover in {LEO} Satellite Networks}, booktitle = {{VTS} Asia Pacific Wireless Communications Symposium, {APWCS} 2023, Tainan city, Taiwan, August 23-25, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APWCS60142.2023.10234055}, doi = {10.1109/APWCS60142.2023.10234055}, timestamp = {Wed, 13 Sep 2023 08:43:30 +0200}, biburl = {https://dblp.org/rec/conf/apwcs2/LeeLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ChoiKLHJHP23, author = {Jonghang Choi and Subin Kim and Yongjun Lee and Sanghyun Heo and Keum{-}Dong Jung and Young{-}Ha Hwang and Jun{-}Eun Park}, title = {A 1.08ms Ultrafast Scanning Capacitive Touch-Screen Sensor Interface with Charge-Interpolated Common-Mode Compensation and Host-Based Adaptive Median Filtering}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10347943}, doi = {10.1109/A-SSCC58667.2023.10347943}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/ChoiKLHJHP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/SanusiUK23, author = {Hamed Sanusi and Zheni Utic and Jongyeop Kim}, title = {Improving Network Intrusion Detection Using Supervised Learning for Feature Selection}, booktitle = {8th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2023, Hochimin City, Vietnam, December 14-16, 2023}, pages = {42--48}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BCD57833.2023.10466354}, doi = {10.1109/BCD57833.2023.10466354}, timestamp = {Tue, 02 Apr 2024 21:06:06 +0200}, biburl = {https://dblp.org/rec/conf/bcd/SanusiUK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/JangKJO23, author = {Haeun Jang and Sanghee Kim and Jongseok Jeon and Joohee Oh}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Voice of Employee: Impact of Online Reviews on Company and Job Seeker Matching Performance}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {6172--6174}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386598}, doi = {10.1109/BIGDATA59044.2023.10386598}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/JangKJO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/WangKWLHGJPH23, author = {Jun Wang and Seok Joo Kim and Wenxuan Wu and Jongha Lee and Henry Hinton and Rona S. Gertner and Han Sae Jung and Hongkun Park and Donhee Ham}, title = {A Cyto-silicon Hybrid System Interfacing a {CMOS} Electrode Array with Heart and Brain Cells with On-chip Closed-loop Modulation}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2023, Toronto, ON, Canada, October 19-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BioCAS58349.2023.10389071}, doi = {10.1109/BIOCAS58349.2023.10389071}, timestamp = {Thu, 08 Feb 2024 15:34:19 +0100}, biburl = {https://dblp.org/rec/conf/biocas/WangKWLHGJPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/KimLJYLY23, author = {Semin Kim and Chanhyuk Lee and Geunho Jung and Huisu Yoon and Jongha Lee and Sangwook Yoo}, editor = {Jo{\~{a}}o Rafael Almeida and Myra Spiliopoulou and Jos{\'{e}} Alberto Ben{\'{\i}}tez{-}Andrades and Giuseppe Placidi and Alejandro Rodr{\'{\i}}guez Gonz{\'{a}}lez and Rosa Sicilia and Bridget Kane}, title = {Facial Acne Segmentation based on Deep Learning with Center Point Loss}, booktitle = {36th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2023, L'Aquila, Italy, June 22-24, 2023}, pages = {678--683}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CBMS58004.2023.00299}, doi = {10.1109/CBMS58004.2023.00299}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cbms/KimLJYLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SarkerWKKC23, author = {Arijet Sarker and Simeon Wuthier and Jinoh Kim and Jonghyun Kim and Sang{-}Yoon Chang}, title = {Version++: Cryptocurrency Blockchain Handshaking With Software Assurance}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {804--809}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10059985}, doi = {10.1109/CCNC51644.2023.10059985}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/SarkerWKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SarkerWKKC23a, author = {Arijet Sarker and Simeon Wuthier and Jinoh Kim and Jonghyun Kim and Sang{-}Yoon Chang}, title = {Version++ Protocol Demonstration for Cryptocurrency Blockchain Handshaking with Software Assurance}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {915--916}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10059971}, doi = {10.1109/CCNC51644.2023.10059971}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/SarkerWKKC23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/HanRKKPY23, author = {Donghyeon Han and Junha Ryu and Sangyeob Kim and Sangjin Kim and Jongjun Park and Hoi{-}Jun Yoo}, title = {A Low-power Neural 3D Rendering Processor with Bio-inspired Visual Perception Core and Hybrid {DNN} Acceleration}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2023, Tokyo, Japan, April 19-21, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/COOLCHIPS57690.2023.10122036}, doi = {10.1109/COOLCHIPS57690.2023.10122036}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/coolchips/HanRKKPY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/0002KKASYH23, author = {Jongin Lim and Youngdong Kim and Byungjai Kim and Chanho Ahn and Jinwoo Shin and Eunho Yang and Seungju Han}, title = {BiasAdv: Bias-Adversarial Augmentation for Model Debiasing}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {3832--3841}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00373}, doi = {10.1109/CVPR52729.2023.00373}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/0002KKASYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KimSPB23, author = {Jeongho Kim and Wooksu Shin and Hancheol Park and Jong{-}Won Baek}, title = {Addressing the Occlusion Problem in Multi-Camera People Tracking with Human Pose Estimation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {5463--5469}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00577}, doi = {10.1109/CVPRW59228.2023.00577}, timestamp = {Wed, 23 Aug 2023 16:23:26 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KimSPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeBK23, author = {Sangrok Lee and Jongseong Bae and Ha Young Kim}, title = {Decompose, Adjust, Compose: Effective Normalization by Playing with Frequency for Domain Generalization}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {11776--11785}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01133}, doi = {10.1109/CVPR52729.2023.01133}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LeePHKPK23, author = {Sanghoon Lee and Jongho Park and Minho Ha and Byungil Koh and Kyoung Park and Yeseong Kim}, title = {Sidekick: Near Data Processing for Clustering Enhanced by Automatic Memory Disaggregation}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247769}, doi = {10.1109/DAC56929.2023.10247769}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/LeePHKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SongYKKOK23, author = {Haena Song and Jongho Yoon and Dohun Kim and Eunji Kwon and Tae{-}Hyun Oh and Seokhyeong Kang}, title = {FPGA-Based Accelerator for Rank-Enhanced and Highly-Pruned Block-Circulant Neural Networks}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137111}, doi = {10.23919/DATE56975.2023.10137111}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/SongYKKOK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/HanHK23, author = {Dong{-}Keun Han and Jeong{-}Won Ha and Jong{-}Ok Kim}, title = {Material map generation using hyper-spectral {NIR} images}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049950}, doi = {10.1109/ICEIC57457.2023.10049950}, timestamp = {Tue, 21 Mar 2023 16:00:01 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/HanHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeYSKLTSK23, author = {Hongki Lee and Hajun Yoo and Gwang Myeong Seo and Kyungnam Kang and Seung Ah Lee and Kar{-}Ann Toh and Jong Hwan Sung and Donghyun Kim}, title = {Nanospeckle Illumination Microscopy of Extracellular Vesicles on Chip}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049929}, doi = {10.1109/ICEIC57457.2023.10049929}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeYSKLTSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KoLKHK23, author = {Kyungdeuk Ko and Bokyeung Lee and Donghyeon Kim and Jonghwan Hong and Hanseok Ko}, title = {Open Set Bioacoustic Signal Classification based on Class Anchor Clustering with Closed Set Unknown Bioacoustic Signals}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340108}, doi = {10.1109/EMBC40787.2023.10340108}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/KoLKHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/Lee0KLZHM23, author = {Hayeon Lee and Rui Hou and Jongpil Kim and Davis Liang and Hongbo Zhang and Sung Ju Hwang and Alexander Min}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Co-training and Co-distillation for Quality Improvement and Compression of Language Models}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {7458--7467}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.500}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.500}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/Lee0KLZHM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/NikishovHLKK23, author = {Artem Nikishov and Jonghee Han and Minhyoung Lee and Moorim Kim and Dinara Kayumova}, title = {Smartwatch Based Visceral Fat Area Estimation}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {6469--6474}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GLOBECOM54140.2023.10437960}, doi = {10.1109/GLOBECOM54140.2023.10437960}, timestamp = {Sun, 10 Mar 2024 10:39:12 +0100}, biburl = {https://dblp.org/rec/conf/globecom/NikishovHLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonKKSWJCASYKKKPPSYLPLLKKJKLC23, author = {Yongkee Kwon and Guhyun Kim and Nahsung Kim and Woojae Shin and Jongsoon Won and Hyunha Joo and Haerang Choi and Byeongju An and Gyeongcheol Shin and Dayeon Yun and Jeongbin Kim and Changhyun Kim and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyeongdeok Lee and Seungyeong Park and Wonjun Lee and Seongju Lee and Kyuyoung Kim and Daehan Kwon and Chunseok Jeong and John Kim and Euicheol Lim and Junhyun Chun}, title = {Memory-Centric Computing with {SK} Hynix's Domain-Specific Memory}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--26}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254717}, doi = {10.1109/HCS59251.2023.10254717}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KwonKKSWJCASYKKKPPSYLPLLKKJKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/ZhangPCK23, author = {Xiangqun Zhang and Shuyi Pei and Jongmoo Choi and Bryan S. Kim}, editor = {Ali Anwar and Ningfang Mi and Vasily Tarasov and Yiying Zhang}, title = {Excessive SSD-Internal Parallelism Considered Harmful}, booktitle = {Proceedings of the 15th {ACM/USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2023, Boston, MA, USA, 9 July 2023}, pages = {65--72}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3599691.3603412}, doi = {10.1145/3599691.3603412}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotstorage/ZhangPCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/AhnKB0H23, author = {Chanho Ahn and Kikyung Kim and Ji{-}Won Baek and Jongin Lim and Seungju Han}, title = {Sample-wise Label Confidence Incorporation for Learning with Noisy Labels}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {1823--1832}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00175}, doi = {10.1109/ICCV51070.2023.00175}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/AhnKB0H23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/BaekKCLKK23, author = {Jong{-}Hyeon Baek and Daehyun Kim and Su{-}Min Choi and Hyo{-}Jun Lee and Hanul Kim and Yeong Jun Koh}, title = {Luminance-aware Color Transform for Multiple Exposure Correction}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {6133--6142}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00566}, doi = {10.1109/ICCV51070.2023.00566}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/BaekKCLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkKMCCC23, author = {Yohan Park and Yongjin Kim and Jonghyeok Mun and Jongsun Choi and Jaeyoung Choi and Yongyun Cho}, title = {Exaggerated Advertisement Inspection System for Judging the Suitability of Advertisements in Social Media Environment}, booktitle = {International Conference on Information Networking, {ICOIN} 2023, Bangkok, Thailand, January 11-14, 2023}, pages = {778--781}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICOIN56518.2023.10048933}, doi = {10.1109/ICOIN56518.2023.10048933}, timestamp = {Fri, 24 Feb 2023 15:04:55 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkKMCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/KimSO23, author = {Jongwook Kim and Sunbeom So and Hakjoo Oh}, title = {Diver: Oracle-Guided {SMT} Solver Testing with Unrestricted Random Mutations}, booktitle = {45th {IEEE/ACM} International Conference on Software Engineering, {ICSE} 2023, Melbourne, Australia, May 14-20, 2023}, pages = {2224--2236}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSE48619.2023.00187}, doi = {10.1109/ICSE48619.2023.00187}, timestamp = {Wed, 19 Jul 2023 10:09:12 +0200}, biburl = {https://dblp.org/rec/conf/icse/KimSO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeLK23, author = {Jongtae Lee and Wonjae Lee and Jae{-}Hyun Kim}, title = {Performance Evaluation of Location-based Conditional Handover Scheme using {LEO} Satellites}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1642--1644}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393667}, doi = {10.1109/ICTC58733.2023.10393667}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ZangK23, author = {Hannie Zang and Jongwon Kim}, title = {A Comprehensive Study on Blockchain-based Cloud-Native Storage for Data Confidence}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {106--108}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10200136}, doi = {10.1109/ICUFN57995.2023.10200136}, timestamp = {Thu, 17 Aug 2023 15:10:39 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ZangK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KimDKJ23, author = {Minho Kim and Youngsoo Do and Jonghun Kim and Jaewook Jeon}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Asynchronous traffic handling in time-sensitive in-vehicle network}, booktitle = {17th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2023, Seoul, Korea, Republic of, January 3-5, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IMCOM56909.2023.10035567}, doi = {10.1109/IMCOM56909.2023.10035567}, timestamp = {Fri, 17 Feb 2023 18:27:18 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/KimDKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/SunKKJL23, author = {Haolan Sun and Dong{-}Su Kim and Jong{-}Yun Kim and Yun{-}Jin Jeong and Dong{-}Weon Lee}, title = {Advancing Sensitivity in Measuring Cardiomyocyte Contraction Force Through Single-Crystal Silicon Strain Sensors}, booktitle = {2023 {IEEE} SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SENSORS56945.2023.10325188}, doi = {10.1109/SENSORS56945.2023.10325188}, timestamp = {Thu, 14 Dec 2023 11:20:49 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/SunKKJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/MahmudKSK23, author = {Saifuddin Mahmud and Zina Kamel and Aditi Singh and Jong{-}Hoon Kim}, editor = {Bong Jun Choi and Dhananjay Singh and Uma Shanker Tiwary and Wan{-}Young Chung}, title = {RoboRecycle Buddy: Enhancing Early Childhood Green Education and Recycling Habits Through Playful Interaction with a Social Robot}, booktitle = {Intelligent Human Computer Interaction - 15th International Conference, {IHCI} 2023, Daegu, South Korea, November 8-10, 2023, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14531}, pages = {326--343}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-53827-8\_29}, doi = {10.1007/978-3-031-53827-8\_29}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ihci/MahmudKSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/0001JP00L23, author = {Wenjing Jin and Wonsuk Jang and Haneul Park and Jongsung Lee and Soosung Kim and Jae W. Lee}, editor = {Yan Solihin and Mark A. Heinrich}, title = {{DRAM} Translation Layer: Software-Transparent {DRAM} Power Savings for Disaggregated Memory}, booktitle = {Proceedings of the 50th Annual International Symposium on Computer Architecture, {ISCA} 2023, Orlando, FL, USA, June 17-21, 2023}, pages = {16:1--16:13}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579371.3589051}, doi = {10.1145/3579371.3589051}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/0001JP00L23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KangKLK23, author = {Beom Jin Kang and Nam Joon Kim and Jong Ho Lee and Hyun Kim}, title = {Hardware-friendly Activation Functions for HybridViT Models}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {147--148}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396294}, doi = {10.1109/ISOCC59558.2023.10396294}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KangKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HanKKJJOLGKKS23, author = {MinSeok Han and Jiwan Kim and Donggeon Kim and Hyunuk Jeong and Gilho Jung and Myeongwon Oh and Hyundong Lee and Yunjeong Go and HyunWoo Kim and Jongbeom Kim and Taigon Song}, title = {{HFGCN:} High-speed and Fully-optimized {GCN} Accelerator}, booktitle = {24th International Symposium on Quality Electronic Design, {ISQED} 2023, San Francisco, CA, USA, April 5-7, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISQED57927.2023.10129340}, doi = {10.1109/ISQED57927.2023.10129340}, timestamp = {Thu, 01 Jun 2023 22:29:52 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HanKKJJOLGKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ByunLSLBHBKJLKL23, author = {San{-}Ho Byun and Heejin Lee and Tae{-}Gyun Song and Jinchul Lee and Jongmin Baek and Gyeongmin Ha and Seunghoon Baek and Yeongmin Kim and Won{-}Gab Jung and Hyun{-}Wook Lim and Siwoo Kim and Jae{-}Youl Lee}, title = {A 45.8dB-SNR 120fps 100pF-Load Self-Capacitance Touch-Screen Controller with Enhanced In-Band Common Noise Immunity Using Noise Antenna Reference}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {386--387}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067374}, doi = {10.1109/ISSCC42615.2023.10067374}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ByunLSLBHBKJLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeongLKCKS23, author = {Yeon{-}Woo Jeong and Seung{-}Ju Lee and Jong{-}Hun Kim and Mun{-}Jung Cho and Hwa{-}Soo Kim and Se{-}Un Shin}, title = {30.1 {A} Scalable N-Step Equal Split {SSHI} Piezoelectric Energy Harvesting Circuit Achieving 1170{\%} Power Extraction Improvement and 22nA Quiescent Current with a {\textdollar}{\textbackslash}mathbf\{1{\textbackslash}mu\{H\}-\{to\}-10{\textbackslash}mu H\}{\textdollar} Low {Q} Inductor}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {438--439}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067389}, doi = {10.1109/ISSCC42615.2023.10067389}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JeongLKCKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23, author = {Woongrae Kim and Chulmoon Jung and Seong Nyuh Yoo and Duckhwa Hong and Jeongjin Hwang and Jungmin Yoon and Oh{-}Yong Jung and Joonwoo Choi and Sanga Hyun and Mankeun Kang and Sangho Lee and Dohong Kim and Sanghyun Ku and Donhyun Choi and Nogeun Joo and Sangwoo Yoon and Junseok Noh and Byeongyong Go and Cheolhoe Kim and Sunil Hwang and Mihyun Hwang and Seol{-}Min Yi and Hyungmin Kim and Sanghyuk Heo and Yeonsu Jang and Kyoungchul Jang and Shinho Chu and Yoonna Oh and Kwidong Kim and Junghyun Kim and Soohwan Kim and Jeongtae Hwang and Sangil Park and Junphyo Lee and In{-}Chul Jeong and Joohwan Cho and Jonghwan Kim}, title = {A 1.1V 16Gb {DDR5} {DRAM} with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {414--415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067805}, doi = {10.1109/ISSCC42615.2023.10067805}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23, author = {Wan Kim and Hyun{-}Gi Seok and Geunhaeng Lee and Sinyoung Kim and Jae{-}Keun Lee and Chanho Kim and Wonkang Kim and Wonjun Jung and Youngsea Cho and Seungyong Bae and Jongpil Cho and Hyeokju Na and Byoungjoong Kang and Honggul Han and Hyeonuk Son and Chiyoung Ahn and Hoon Kang and Sukjin Jung and Hyukjun Sung and Yeongdae Kim and Donghan Kim and Dongsu Kim and Ji{-}Seon Paek and Seunghyun Oh and Jongwoo Lee and Sungung Kwak and Joonsuk Kim}, title = {A Fully Integrated {IEEE} 802.15.4/4z-Compliant 6.5-to-8GHz {UWB} System-on-Chip {RF} Transceiver Supporting Precision Positioning in a {CMOS} 28nm Process}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {462--463}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067364}, doi = {10.1109/ISSCC42615.2023.10067364}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSLKLKKJCBCNKHSAKJSKKKPOLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJJJPLKKWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Youngin Kim and Jing Wang and Hua Wang}, title = {A {CMOS} Multi-Functional Biosensor Array for Rapid Low-Concentration Analyte Detection with On-Chip DEP-Assisted Active Enrichment and Manipulation with No External Electrodes}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {316--317}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067525}, doi = {10.1109/ISSCC42615.2023.10067525}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJJJPLKKWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metroxraine/JeongJKK23, author = {Hojun Jeong and Haemin Jung and Minyoung Kim and Jonghyun Kim}, title = {Virtual Hand Illusion-Based Motor Imagery Guidance System for Stroke Patients: {A} Pilot Study}, booktitle = {{IEEE} International Conference on Metrology for eXtended Reality, Artificial Intelligence and Neural Engineering, MetroXRAINE 2023, Milano, Italy, October 25-27, 2023}, pages = {1051--1056}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MetroXRAINE58569.2023.10405674}, doi = {10.1109/METROXRAINE58569.2023.10405674}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/metroxraine/JeongJKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeLCKFLWH23, author = {Kyungsu Lee and Haeyun Lee and Thiago Coutinho Cavalcanti and Sewoong Kim and Georges El Fakhri and Dong Hun Lee and Jonghye Woo and Jae Youn Hwang}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu E. Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Fine-Tuning Network in Federated Learning for Personalized Skin Diagnosis}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14222}, pages = {378--388}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43898-1\_37}, doi = {10.1007/978-3-031-43898-1\_37}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeLCKFLWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChoiHKCJS23, author = {Sungho Choi and Seungyul Han and Woojun Kim and Jongseong Chae and Whiyoung Jung and Youngchul Sung}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Domain Adaptive Imitation Learning with Visual Observation}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/899511e37a8e01e1bd6f6f1d377cc250-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ChoiHKCJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimKKBH23, author = {Jangwon Kim and Hangyeol Kim and Jiwook Kang and Jongchan Baek and Soohee Han}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Belief Projection-Based Reinforcement Learning for Environments with Delayed Feedback}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/0252a434b18962c94910c07cd9a7fecc-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimKKBH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/HanOLYKC23, author = {Yejin Han and Myunghoon Oh and Jaedong Lee and Seehwan Yoo and Bryan S. Kim and Jongmoo Choi}, title = {Achieving Performance Isolation in Docker Environments with {ZNS} SSDs}, booktitle = {12th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, pages = {25--31}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NVMSA58981.2023.00016}, doi = {10.1109/NVMSA58981.2023.00016}, timestamp = {Tue, 03 Oct 2023 19:13:28 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/HanOLYKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/KimCKJH23, author = {Seongsoo Kim and Lei Chen and Jongyeop Kim and Yiming Ji and Rami J. Haddad}, title = {A Comparative Study of Deep Learning Models for Hyper Parameter Classification on {UNSW-NB15}}, booktitle = {21st {IEEE/ACIS} International Conference on Software Engineering Research, Management and Applications, {SERA} 2023, Orlando, FL, USA, May 23-25, 2023}, pages = {218--228}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SERA57763.2023.10197694}, doi = {10.1109/SERA57763.2023.10197694}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/KimCKJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/TrawinskiWK23, author = {Ian Trawinski and Hayden Wimmer and Jongyeop Kim}, title = {Anomaly Detection in Intrusion Detection System using Amazon SageMaker}, booktitle = {21st {IEEE/ACIS} International Conference on Software Engineering Research, Management and Applications, {SERA} 2023, Orlando, FL, USA, May 23-25, 2023}, pages = {210--217}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SERA57763.2023.10197735}, doi = {10.1109/SERA57763.2023.10197735}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sera/TrawinskiWK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/UkeneWK23, author = {Daniel Ukene and Hayden Wimmer and Jongyeop Kim}, title = {Evaluating the Performance of Containerized Webservers against web servers on Virtual Machines using Bombardment and Siege}, booktitle = {21st {IEEE/ACIS} International Conference on Software Engineering Research, Management and Applications, {SERA} 2023, Orlando, FL, USA, May 23-25, 2023}, pages = {144--152}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SERA57763.2023.10197818}, doi = {10.1109/SERA57763.2023.10197818}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sera/UkeneWK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/BangKCKPLLJKJHK23, author = {Jun{-}Suk Bang and Dongsu Kim and Young{-}Hwan Choo and Ik{-}Hwan Kim and Seungchan Park and Jeongkwang Lee and Sang{-}Han Lee and Young{-}Ho Jung and Jae{-}Young Ko and Sung{-}Youb Jung and Jae{-}Yeol Han and Woosik Kim and Ji{-}Seon Paek and Jongwoo Lee}, title = {5G {NR} {RF} {PA} Supply Modulator Supporting 179ns 0.5-to-5.5V Symbol Power Tracking and Envelope Tracking}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185384}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185384}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/BangKCKPLLJKJHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkHEAAYKKCL23, author = {Eunsung Park and Won{-}Yong Ha and Doyoon Eom and Dae{-}Hwan Ahn and Hyuk An and Suhyun Yi and Kyung{-}Do Kim and Jongchae Kim and Woo{-}Young Choi and Myung{-}Jae Lee}, title = {Doping-Optimized Back-illuminated Single-Photon Avalanche Diode in Stacked 40 nm {CIS} Technology Achieving 60{\%} {PDP} at 905 nm}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185229}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185229}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkHEAAYKKCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkKLSKCBKASLA23, author = {Jiheon Park and Daeyun Kim and Hoyong Lee and Seung{-}Chul Shin and Myoungoh Ki and Bumsik Chung and Myunghan Bae and Myeonggyun Kye and Jonghan Ahn and Inho Song and Sunhwa Lee and Jaeil An and Il{-}Pyeong Hwang and Taemin An and Young{-}Gu Jin and Youngchan Kim and Youngsun Oh and Juhyun Ko and Haechang Lee and Joonseo Yim}, title = {An Indirect Time-of-Flight {CMOS} Image Sensor Achieving Sub-ms Motion Lagging and 60fps Depth Image from On-chip {ISP}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185418}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185418}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/ParkKLSKCBKASLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wmcsa/YunKR023, author = {Jonghyuk Yun and Gyuyeon Kim and Soundarya Ramesh and Jun Han}, title = {RampScope: Ramp-Level Localization of Shared Mobility Devices Using Sidewalk Ramps}, booktitle = {Proceedings of the 24th International Workshop on Mobile Computing Systems and Applications, HotMobile 2023, Newport Beach, California, February 22-23, 2023}, pages = {49--54}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3572864.3580334}, doi = {10.1145/3572864.3580334}, timestamp = {Tue, 14 Feb 2023 11:37:11 +0100}, biburl = {https://dblp.org/rec/conf/wmcsa/YunKR023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/paclic/2023, editor = {Chu{-}Ren Huang and Yasunari Harada and Jong{-}Bok Kim and Si Chen and Yu{-}Yin Hsu and Emmanuele Chersoni and Pranav A and Winnie Huiheng Zeng and Bo Peng and Yuxi Li and Junlin Li}, title = {Proceedings of the 37th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2023, The Hong Kong Polytechnic University, Hong Kong, SAR, China, 2-4 December 2023}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/volumes/2023.paclic-1/}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/paclic/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/SeoLPPHKKRBS23, author = {Yong{-}Sin Seo and Jae{-}Young Lee and Chanhun Park and Jongwoo Park and Byung{-}Kil Han and Je{-}Sung Koh and Uikyum Kim and Hugo Rodrigue and Jeongae Bak and Sung{-}Hyuk Song}, title = {Supplementary materials and video files}, publisher = {{IEEE} DataPort}, year = {2023}, month = feb, howpublished = {\url{https://doi.org/10.21227/xps8-wv71}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/xps8-wv71}, doi = {10.21227/XPS8-WV71}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/SeoLPPHKKRBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-02328, author = {Sangrok Lee and Jongseong Bae and Ha Young Kim}, title = {Decompose, Adjust, Compose: Effective Normalization by Playing with Frequency for Domain Generalization}, journal = {CoRR}, volume = {abs/2303.02328}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.02328}, doi = {10.48550/ARXIV.2303.02328}, eprinttype = {arXiv}, eprint = {2303.02328}, timestamp = {Tue, 14 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-02328.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-11833, author = {Hyunseung Kim and Haeyeon Choi and Dongju Kang and Won Bo Lee and Jonggeol Na}, title = {Materials Discovery with Extreme Properties via AI-Driven Combinatorial Chemistry}, journal = {CoRR}, volume = {abs/2303.11833}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.11833}, doi = {10.48550/ARXIV.2303.11833}, eprinttype = {arXiv}, eprint = {2303.11833}, timestamp = {Wed, 22 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-11833.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-03502, author = {Jaeho Jeong and Hosung Park and Heeyoul Kwak and Jong{-}Seon No and Ha Hyeon Jeon and Jeong Wook Lee and Jae{-}Won Kim}, title = {Iterative Soft Decoding Algorithm for {DNA} Storage Using Quality Score and Redecoding}, journal = {CoRR}, volume = {abs/2304.03502}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.03502}, doi = {10.48550/ARXIV.2304.03502}, eprinttype = {arXiv}, eprint = {2304.03502}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-03502.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13970, author = {Donghyuk Kim and Jae{-}Young Kim and Wontak Han and Jongsoon Won and Haerang Choi and Yongkee Kwon and Joo{-}Young Kim}, title = {Darwin: {A} DRAM-based Multi-level Processing-in-Memory Architecture for Data Analytics}, journal = {CoRR}, volume = {abs/2305.13970}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13970}, doi = {10.48550/ARXIV.2305.13970}, eprinttype = {arXiv}, eprint = {2305.13970}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13970.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-18239, author = {Hayeon Lee and Rui Hou and Jongpil Kim and Davis Liang and Sung Ju Hwang and Alexander Min}, title = {A Study on Knowledge Distillation from Weak Teacher for Scaling Up Pre-trained Language Models}, journal = {CoRR}, volume = {abs/2305.18239}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.18239}, doi = {10.48550/ARXIV.2305.18239}, eprinttype = {arXiv}, eprint = {2305.18239}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-18239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-07741, author = {Nico G{\"{u}}rtler and Felix Widmaier and Cansu Sancaktar and Sebastian Blaes and Pavel Kolev and Stefan Bauer and Manuel W{\"{u}}thrich and Markus Wulfmeier and Martin A. Riedmiller and Arthur Allshire and Qiang Wang and Robert McCarthy and Hangyeol Kim and Jongchan Baek and Wookyong Kwon and Shanliang Qian and Yasunori Toshimitsu and Mike Yan Michelis and Amirhossein Kazemipour and Arman Raayatsanati and Hehui Zheng and Barnabas Gavin Cangan and Bernhard Sch{\"{o}}lkopf and Georg Martius}, title = {Real Robot Challenge 2022: Learning Dexterous Manipulation from Offline Data in the Real World}, journal = {CoRR}, volume = {abs/2308.07741}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.07741}, doi = {10.48550/ARXIV.2308.07741}, eprinttype = {arXiv}, eprint = {2308.07741}, timestamp = {Fri, 01 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-07741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-00237, author = {Sunjun Kweon and Junu Kim and Jiyoun Kim and Sujeong Im and Eunbyeol Cho and Seongsu Bae and Jungwoo Oh and Gyubok Lee and Jong Hak Moon and Seng Chan You and Seungjin Baek and Chang Hoon Han and Yoon Bin Jung and Yohan Jo and Edward Choi}, title = {Publicly Shareable Clinical Large Language Model Built on Synthetic Clinical Notes}, journal = {CoRR}, volume = {abs/2309.00237}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.00237}, doi = {10.48550/ARXIV.2309.00237}, eprinttype = {arXiv}, eprint = {2309.00237}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-00237.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01961, author = {Taehoon Kim and Pyunghwan Ahn and Sangyun Kim and Sihaeng Lee and Mark Marsden and Alessandra Sala and Seung Hwan Kim and Bohyung Han and Kyoung Mu Lee and Honglak Lee and Kyounghoon Bae and Xiangyu Wu and Yi Gao and Hailiang Zhang and Yang Yang and Weili Guo and Jianfeng Lu and Youngtaek Oh and Jae{-}Won Cho and Dong{-}Jin Kim and In So Kweon and Junmo Kim and Woo{-}Young Kang and Won Young Jhoo and Byungseok Roh and Jonghwan Mun and Solgil Oh and Kenan Emir Ak and Gwang{-}Gook Lee and Yan Xu and Mingwei Shen and Kyomin Hwang and Wonsik Shin and Kamin Lee and Wonhark Park and Dongkwan Lee and Nojun Kwak and Yujin Wang and Yimu Wang and Tiancheng Gu and Xingchang Lv and Mingmao Sun}, title = {{NICE:} {CVPR} 2023 Challenge on Zero-shot Image Captioning}, journal = {CoRR}, volume = {abs/2309.01961}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01961}, doi = {10.48550/ARXIV.2309.01961}, eprinttype = {arXiv}, eprint = {2309.01961}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-06006, author = {Anthony Cioppa and Silvio Giancola and Vladimir Somers and Floriane Magera and Xin Zhou and Hassan Mkhallati and Adrien Deli{\`{e}}ge and Jan Held and Carlos Hinojosa and Amir M. Mansourian and Pierre Miralles and Olivier Barnich and Christophe De Vleeschouwer and Alexandre Alahi and Bernard Ghanem and Marc Van Droogenbroeck and Abdullah Kamal and Adrien Maglo and Albert Clap{\'{e}}s and Amr Abdelaziz and Artur Xarles and Astrid Orcesi and Atom Scott and Bin Liu and Byoungkwon Lim and Chen Chen and Fabian Deuser and Feng Yan and Fufu Yu and Gal Shitrit and Guanshuo Wang and Gyusik Choi and Hankyul Kim and Hao Guo and Hasby Fahrudin and Hidenari Koguchi and H{\aa}kan Ard{\"{o}} and Ibrahim Salah and Ido Yerushalmy and Iftikar Muhammad and Ikuma Uchida and Ishay Be'ery and Jaonary Rabarisoa and Jeongae Lee and Jiajun Fu and Jianqin Yin and Jinghang Xu and Jongho Nang and Julien Denize and Junjie Li and Junpei Zhang and Juntae Kim and Kamil Synowiec and et al.}, title = {SoccerNet 2023 Challenges Results}, journal = {CoRR}, volume = {abs/2309.06006}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.06006}, doi = {10.48550/ARXIV.2309.06006}, eprinttype = {arXiv}, eprint = {2309.06006}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-06006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-11093, author = {Haven Kim and Jongmin Jung and Dasaem Jeong and Juhan Nam}, title = {K-pop Lyric Translation: Dataset, Analysis, and Neural-Modelling}, journal = {CoRR}, volume = {abs/2309.11093}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.11093}, doi = {10.48550/ARXIV.2309.11093}, eprinttype = {arXiv}, eprint = {2309.11093}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-11093.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00397, author = {Jiwoo Choi and Jong{-}Han Kim}, title = {A First-Order Method with Expansive Projection for Optimal Powered Descent Guidance}, journal = {CoRR}, volume = {abs/2310.00397}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00397}, doi = {10.48550/ARXIV.2310.00397}, eprinttype = {arXiv}, eprint = {2310.00397}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00397.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00398, author = {Gyubin Park and Da Hoon Jeong and Jong{-}Han Kim}, title = {Optimal Impact Angle Guidance via First-Order Optimization Under Nonconvex Constraints}, journal = {CoRR}, volume = {abs/2310.00398}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00398}, doi = {10.48550/ARXIV.2310.00398}, eprinttype = {arXiv}, eprint = {2310.00398}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00398.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-12189, author = {Bosang Kim and Jonghyun Kim and Hyotae Lee and Lanying Jin and Jeongwon Ha and Dowoo Kwon and Jungpyo Kim and Wonhyeok Im and KyungMin Jin and Jungho Lee}, title = {Mesh Represented Recycle Learning for 3D Hand Pose and Mesh Estimation}, journal = {CoRR}, volume = {abs/2310.12189}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.12189}, doi = {10.48550/ARXIV.2310.12189}, eprinttype = {arXiv}, eprint = {2310.12189}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-12189.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-02849, author = {Hayeon Lee and Rui Hou and Jongpil Kim and Davis Liang and Hongbo Zhang and Sung Ju Hwang and Alexander Min}, title = {Co-training and Co-distillation for Quality Improvement and Compression of Language Models}, journal = {CoRR}, volume = {abs/2311.02849}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.02849}, doi = {10.48550/ARXIV.2311.02849}, eprinttype = {arXiv}, eprint = {2311.02849}, timestamp = {Wed, 08 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-02849.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-00548, author = {Sungho Choi and Seungyul Han and Woojun Kim and Jongseong Chae and Whiyoung Jung and Youngchul Sung}, title = {Domain Adaptive Imitation Learning with Visual Observation}, journal = {CoRR}, volume = {abs/2312.00548}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.00548}, doi = {10.48550/ARXIV.2312.00548}, eprinttype = {arXiv}, eprint = {2312.00548}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-00548.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01689, author = {Heejun Shin and Taehee Kim and Jongho Lee and Se Young Chun and Seungryung Cho and Dongmyung Shin}, title = {Fast and accurate sparse-view {CBCT} reconstruction using meta-learned neural attenuation field and hash-encoding regularization}, journal = {CoRR}, volume = {abs/2312.01689}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01689}, doi = {10.48550/ARXIV.2312.01689}, eprinttype = {arXiv}, eprint = {2312.01689}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01689.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhnKPHJ22, author = {Eunyeong Ahn and Sunjin Kim and Saerom Park and Jong{-}Uk Hou and Daehee Jang}, title = {Efficient Generation of Program Execution Hash}, journal = {{IEEE} Access}, volume = {10}, pages = {61707--61720}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3181283}, doi = {10.1109/ACCESS.2022.3181283}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AhnKPHJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BaeCK22, author = {Jongseong Bae and Byung Do Cheon and Ha Young Kim}, title = {Pro-Attention: Efficient Probability Distribution Matching-Based Attention Through Feature Space Conversion}, journal = {{IEEE} Access}, volume = {10}, pages = {131192--131201}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3229055}, doi = {10.1109/ACCESS.2022.3229055}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BaeCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKNKPCCH22, author = {Jung{-}Gu Choi and Inhwan Ko and Yoonjin Nah and Bora Kim and Yongwan Park and Jihyun Cha and Jongkwan Choi and Sanghoon Han}, title = {Machine Learning Approach for Classifying College Scholastic Ability Test Levels With Unsupervised Features From Prefrontal Functional Near-Infrared Spectroscopy Signals}, journal = {{IEEE} Access}, volume = {10}, pages = {50864--50877}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3173629}, doi = {10.1109/ACCESS.2022.3173629}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKNKPCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiPK22, author = {Jae{-}Woo Choi and Chan{-}Woo Park and Jong{-}Hwan Kim}, title = {{FMCW} Radar-Based Real-Time Hand Gesture Recognition System Capable of Out-of-Distribution Detection}, journal = {{IEEE} Access}, volume = {10}, pages = {87425--87434}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3200757}, doi = {10.1109/ACCESS.2022.3200757}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanSKCK22, author = {Jung{-}Woo Han and Dong{-}Joo Synn and Tae{-}Hyeong Kim and Hae{-}Chun Chung and Jong{-}Kook Kim}, title = {Feature Based Sampling: {A} Fast and Robust Sampling Method for Tasks Using 3D Point Cloud}, journal = {{IEEE} Access}, volume = {10}, pages = {58062--58070}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3178519}, doi = {10.1109/ACCESS.2022.3178519}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanSKCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HarerimanaKJ22, author = {Gaspard Harerimana and Jong Wook Kim and Beakcheol Jang}, title = {A Multi-Headed Transformer Approach for Predicting the Patient's Clinical Time-Series Variables From Charted Vital Signs}, journal = {{IEEE} Access}, volume = {10}, pages = {105993--106004}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3211334}, doi = {10.1109/ACCESS.2022.3211334}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HarerimanaKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HwangKPP22, author = {Sung Wook Hwang and Deok Ha Kim and Jaehwan Park and Jong Hyeon Park}, title = {Equilibrium Configuration Analysis and Equilibrium-Based Trajectory Generation Method for Under-Constrained Cable-Driven Parallel Robot}, journal = {{IEEE} Access}, volume = {10}, pages = {112134--112149}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3215720}, doi = {10.1109/ACCESS.2022.3215720}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HwangKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH22, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Weakly Supervised Foreground Object Detection Network Using Background Model Image}, journal = {{IEEE} Access}, volume = {10}, pages = {105726--105733}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3211987}, doi = {10.1109/ACCESS.2022.3211987}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH22a, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Foreground Object Detection in Visual Surveillance With Spatio-Temporal Fusion Network}, journal = {{IEEE} Access}, volume = {10}, pages = {122857--122869}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3224063}, doi = {10.1109/ACCESS.2022.3224063}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimH22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHJKH22, author = {Tae{-}Hyun Kim and Chang{-}Seung Ha and Sung{-}Roc Jang and Jong{-}Soo Kim and Seong{-}Tae Han}, title = {Suppression of a Long-Term Instability of a Commercial Magnetron With Low-Ripple {DC} Power Supply for Heater}, journal = {{IEEE} Access}, volume = {10}, pages = {32235--32243}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3158020}, doi = {10.1109/ACCESS.2022.3158020}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHJKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLMFY22, author = {Yeongjun Kim and Harim Lee and Maximilian Matth{\'{e}} and Gerhard P. Fettweis and Hyun Jong Yang}, title = {GFDM-Based Asynchronous Grant-Free Multiple-Access}, journal = {{IEEE} Access}, volume = {10}, pages = {31012--31030}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3160017}, doi = {10.1109/ACCESS.2022.3160017}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLMFY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKHLJ22, author = {Jong{-}Sung Lee and Suncheul Kim and Donghoon Han and Myoung{-}Gyu Lee and Young{-}Chang Joo}, title = {Stress Analysis of Tungsten Deposition in a 3D Trench Mold With Regard to Initial Nuclei Shape}, journal = {{IEEE} Access}, volume = {10}, pages = {100675--100681}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3207284}, doi = {10.1109/ACCESS.2022.3207284}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKHLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeNKKL22, author = {Sun{-}Gyu Lee and Yong{-}Hyun Nam and Yongjune Kim and Jongyeong Kim and Jeong{-}Hae Lee}, title = {A Wide-Angle and High-Efficiency Reconfigurable Reflectarray Antenna Based on a Miniaturized Radiating Element}, journal = {{IEEE} Access}, volume = {10}, pages = {103223--103229}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3204400}, doi = {10.1109/ACCESS.2022.3204400}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeNKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeWKRK22, author = {Seong{-}Eui Lee and Sung{-}Min Woo and Jong{-}Han Kim and Je{-}Ho Ryu and Jong{-}Ok Kim}, title = {Deep Region Adaptive Denoising for Texture Enhancement}, journal = {{IEEE} Access}, volume = {10}, pages = {122286--122301}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3222826}, doi = {10.1109/ACCESS.2022.3222826}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeWKRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeYKL22, author = {Cheolwon Lee and Sangwook Yoo and Semin Kim and Jongha Lee}, title = {Progressive Weighted Self-Training Ensemble for Multi-Type Skin Lesion Semantic Segmentation}, journal = {{IEEE} Access}, volume = {10}, pages = {132376--132383}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3222788}, doi = {10.1109/ACCESS.2022.3222788}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhKHKYH22, author = {Ju{-}Ik Oh and Jeong{-}Wook Kim and Sang Hyuck Han and Sol Kim and Jong{-}Won Yu and In{-}June Hwang}, title = {Pattern Reconfigurable Dual-Polarized Dipole Antenna With Staggered Parasitic Elements}, journal = {{IEEE} Access}, volume = {10}, pages = {93773--93784}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3202951}, doi = {10.1109/ACCESS.2022.3202951}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/OhKHKYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SonLPPHKLJKC22, author = {Hyunmok Son and Jae Woon Lim and Sangbae Park and Byeongjoo Park and Jinsub Han and Hong Bae Kim and Myung Chul Lee and Kyoung{-}Je Jang and Ghiseok Kim and Jong Hoon Chung}, title = {A Machine Learning Approach for the Classification of Falls and Activities of Daily Living in Agricultural Workers}, journal = {{IEEE} Access}, volume = {10}, pages = {77418--77431}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3190618}, doi = {10.1109/ACCESS.2022.3190618}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SonLPPHKLJKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/HwangSHCPKKKKL22, author = {Jae Hyuk Hwang and Jeehae Shin and Jiseok Han and Yong{-}Seok Choi and Sungmin Park and Yun Ho Kim and Yong Seok Kim and Hong Suk Kang and Dong{-}Gyun Kim and Jongchan Lee}, title = {Monolithically Assembled 3D Soft Transformable Robot}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {9}, year = {2022}, url = {https://doi.org/10.1002/aisy.202270043}, doi = {10.1002/AISY.202270043}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/HwangSHCPKKKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/HwangSHCPKKKKL22a, author = {Jae Hyuk Hwang and Jeehae Shin and Jiseok Han and Yong{-}Seok Choi and Sungmin Park and Yun Ho Kim and Yong Seok Kim and Hong Suk Kang and Dong{-}Gyun Kim and Jongchan Lee}, title = {Monolithically Assembled 3D Soft Transformable Robot}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {9}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200051}, doi = {10.1002/AISY.202200051}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/HwangSHCPKKKKL22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/LariHKK22, author = {Salman Lari and Sang Wook Han and Jong Uk Kim and Hyock Ju Kwon}, title = {Design of {HIFU} Treatment Plans Using Thermodynamic Equilibrium Algorithm}, journal = {Algorithms}, volume = {15}, number = {11}, pages = {399}, year = {2022}, url = {https://doi.org/10.3390/a15110399}, doi = {10.3390/A15110399}, timestamp = {Mon, 28 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithms/LariHKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/KimHK22, author = {Jong{-}Min Kim and Hope H. Han and Sangjin Kim}, title = {Forecasting Crude Oil Prices with Major S{\&}P 500 Stock Prices: Deep Learning, Gaussian Process, and Vine Copula}, journal = {Axioms}, volume = {11}, number = {8}, pages = {375}, year = {2022}, url = {https://doi.org/10.3390/axioms11080375}, doi = {10.3390/AXIOMS11080375}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/KimHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/KimKH22, author = {Jong{-}Min Kim and Jihun Kim and Il{-}Do Ha}, title = {Application of Deep Learning and Neural Network to Speeding Ticket and Insurance Claim Count Data}, journal = {Axioms}, volume = {11}, number = {6}, pages = {280}, year = {2022}, url = {https://doi.org/10.3390/axioms11060280}, doi = {10.3390/AXIOMS11060280}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/KimKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/SungKPK22, author = {Sang{-}Ha Sung and Jong{-}Min Kim and Byung{-}Kwon Park and Sangjin Kim}, title = {A Study on Cryptocurrency Log-Return Price Prediction Using Multivariate Time-Series Model}, journal = {Axioms}, volume = {11}, number = {9}, pages = {448}, year = {2022}, url = {https://doi.org/10.3390/axioms11090448}, doi = {10.3390/AXIOMS11090448}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/axioms/SungKPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ChoiLYCLMCCYK22, author = {Jaeyong Choi and Woochan Lee and Jung{-}Ki Yoon and Sun Mi Choi and Chang{-}Hoon Lee and Hyeong{-}Gon Moon and Sukki Cho and Jin{-}Haeng Chung and Han{-}Kwang Yang and Jong{-}Il Kim}, title = {Expression-based species deconvolution and realignment removes misalignment error in multispecies single-cell data}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {157}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-04676-0}, doi = {10.1186/S12859-022-04676-0}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ChoiLYCLMCCYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/JeongHKRDDHLGWWKBECD22, author = {Jong Cheol Jeong and Isaac Hands and Jill M. Kolesar and Mahadev Rao and Bront Davis and York H. Dobyns and Joseph Hurt{-}Mueller and Justin Levens and Jenny Gregory and John Williams and Lisa Witt and Eun Mi Kim and Carlee Burton and Amir A. Elbiheary and Mingguang Chang and Eric B. Durbin}, title = {Local data commons: the sleeping beauty in the community of data commons}, journal = {{BMC} Bioinform.}, volume = {23-S}, number = {12}, pages = {368}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-04922-5}, doi = {10.1186/S12859-022-04922-5}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/JeongHKRDDHLGWWKBECD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccds/JeonBKKK22, author = {Yongjin Jeon and Seungjun Baek and Hangi Kim and Giyoon Kim and Jongsung Kim}, title = {Differential uniformity and linearity of S-boxes by multiplicative complexity}, journal = {Cryptogr. Commun.}, volume = {14}, number = {4}, pages = {849--874}, year = {2022}, url = {https://doi.org/10.1007/s12095-021-00547-2}, doi = {10.1007/S12095-021-00547-2}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccds/JeonBKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/ChoiAHCLHMK22, author = {Yeongryeol Choi and Nahyeon An and Seokyoung Hong and Hyungtae Cho and Jongkoo Lim and In{-}Su Han and Il Moon and Junghwan Kim}, title = {Time-series clustering approach for training data selection of a data-driven predictive model: Application to an industrial bio 2, 3-butanediol distillation process}, journal = {Comput. Chem. Eng.}, volume = {161}, pages = {107758}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2022.107758}, doi = {10.1016/J.COMPCHEMENG.2022.107758}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/ChoiAHCLHMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijais/KimLY22, author = {Jongkyum Kim and Jee{-}Hae Lim and Kyunghee Yoon}, title = {How do the content, format, and tone of Twitter-based corporate disclosure vary depending on earnings performance?}, journal = {Int. J. Account. Inf. Syst.}, volume = {47}, pages = {100574}, year = {2022}, url = {https://doi.org/10.1016/j.accinf.2022.100574}, doi = {10.1016/J.ACCINF.2022.100574}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijais/KimLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsinnov/ChoiK22a, author = {Sun{-}O Choi and Jongbae Kim}, title = {Design and Implementation of IoT Platform Education System Based on Open Source Hardware}, journal = {Int. J. Softw. Innov.}, volume = {10}, number = {1}, pages = {1--10}, year = {2022}, url = {https://doi.org/10.4018/ijsi.304878}, doi = {10.4018/IJSI.304878}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsinnov/ChoiK22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HahnK22, author = {Changhee Hahn and Jongkil Kim}, title = {Verifiable Outsourced Decryption of Encrypted Data From Heterogeneous Trust Networks}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {22}, pages = {22559--22570}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3181684}, doi = {10.1109/JIOT.2022.3181684}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/HahnK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ImAKKLLLLKK22, author = {Healin Im and Ashwini K. Arya and Sunju Kang and Donghoon Kim and Jongheon Lee and Mingoo Lee and Sungho Lee and Han{-}Seung Lee and Sanghoek Kim and Sunkook Kim}, title = {Embedded Structural-Durability Health-Monitoring System Integrated With Multisensors and a Wideband Antenna}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {18}, pages = {17480--17487}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3156608}, doi = {10.1109/JIOT.2022.3156608}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ImAKKLLLLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/SongKKLOLJHLYCC22, author = {Sang Hun Song and Hwanik Kim and Jung Kwon Kim and Hakmin Lee and Jong Jin Oh and Sang{-}Chul Lee and Seong Jin Jeong and Sung Kyu Hong and Junghoon Lee and Sangjun Yoo and Min{-}Soo Choo and Min Chul Cho and Hwancheol Son and Hyeon Jeong and Jungyo Suh and Seok{-}Soo Byun}, title = {A smart, practical, deep learning-based clinical decision support tool for patients in the prostate-specific antigen gray zone: model development and validation}, journal = {J. Am. Medical Informatics Assoc.}, volume = {29}, number = {11}, pages = {1949--1957}, year = {2022}, url = {https://doi.org/10.1093/jamia/ocac141}, doi = {10.1093/JAMIA/OCAC141}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/SongKKLOLJHLYCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcb/LeeHWLKKPJ22, author = {Sunho Lee and Seokchol Hong and Jonathan Woo and Jae{-}hak Lee and Kyunghee Kim and Lucia Kim and Kunsoo Park and Jongsun Jung}, title = {RDscan: {A} New Method for Improving Germline and Somatic Variant Calling Based on Read Depth Distribution}, journal = {J. Comput. Biol.}, volume = {29}, number = {9}, pages = {987--1000}, year = {2022}, url = {https://doi.org/10.1089/cmb.2021.0269}, doi = {10.1089/CMB.2021.0269}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcb/LeeHWLKKPJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/KimKFP22, author = {Jong{-}Hwan Kim and Segi Kwon and Jirui Fu and Joon{-}Hyuk Park}, title = {Hair Follicle Classification and Hair Loss Severity Estimation Using Mask {R-CNN}}, journal = {J. Imaging}, volume = {8}, number = {10}, pages = {283}, year = {2022}, url = {https://doi.org/10.3390/jimaging8100283}, doi = {10.3390/JIMAGING8100283}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jimaging/KimKFP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PaekKHCBPBNKL22, author = {Ji{-}Seon Paek and Dong{-}Su Kim and Jae{-}Yeol Han and Young{-}Hwan Choo and Jun{-}Suk Bang and Seungchan Park and Jongbeom Baek and Takahiro Nomiyama and Ik{-}Hwan Kim and Jongwoo Lee}, title = {Efficient {RF-PA} Two-Chip Supply Modulator Architecture for 4G {LTE} and 5G {NR} Dual-Connectivity {RF} Front End}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1075--1089}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3144771}, doi = {10.1109/JSSC.2022.3144771}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PaekKHCBPBNKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKRJPLBJ22, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Hakchul Jung and Changnam Park and Inhak Lee and Sanghoon Baek and Jonghoon Jung}, title = {A 3-nm Gate-All-Around {SRAM} Featuring an Adaptive Dual-Bitline and an Adaptive Cell-Power Assist Circuit}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {236--244}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3123077}, doi = {10.1109/JSSC.2021.3123077}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SongKRJPLBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/HartenJBSI22, author = {Louis D. van Harten and Catharina S. de Jonge and Kim J. Beek and Jaap Stoker and Ivana Isgum}, title = {Untangling and segmenting the small intestine in 3D cine-MRI using deep learning}, journal = {Medical Image Anal.}, volume = {78}, pages = {102386}, year = {2022}, url = {https://doi.org/10.1016/j.media.2022.102386}, doi = {10.1016/J.MEDIA.2022.102386}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/HartenJBSI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimAKGMYWKSMMPE22, author = {Joon Kyung Kim and Byung Hoon Ahn and Sean Kinzer and Soroush Ghodrati and Rohan Mahapatra and Brahmendra Reddy Yatham and Shu{-}Ting Wang and Dohee Kim and Parisa Sarikhani and Babak Mahmoudi and Divya Mahajan and Jongse Park and Hadi Esmaeilzadeh}, title = {Yin-Yang: Programming Abstractions for Cross-Domain Multi-Acceleration}, journal = {{IEEE} Micro}, volume = {42}, number = {5}, pages = {89--98}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3189416}, doi = {10.1109/MM.2022.3189416}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimAKGMYWKSMMPE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LiuZSLKLHCKKKJY22, author = {Liu Ke and Xuan Zhang and Jinin So and Jong{-}Geon Lee and Shinhaeng Kang and Sukhan Lee and Songyi Han and YeonGon Cho and Jin Hyun Kim and Yongsuk Kwon and KyungSoo Kim and Jin Jung and IlKwon Yun and Sung Joo Park and Hyunsun Park and Joon{-}Ho Song and Jeonghyeon Cho and Kyomin Sohn and Nam Sung Kim and Hsien{-}Hsin S. Lee}, title = {Near-Memory Processing in Action: Accelerating Personalized Recommendation With AxDIMM}, journal = {{IEEE} Micro}, volume = {42}, number = {1}, pages = {116--127}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3097700}, doi = {10.1109/MM.2021.3097700}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LiuZSLKLHCKKKJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeHJLCKCIJPAHK22, author = {Sun Yeop Lee and Sangwoo Ha and Min Gyeong Jeon and Hao Li and Hyunju Choi and Hwa Pyung Kim and Ye Ra Choi and Hoseok I and Yeon Joo Jeong and Yoon Ha Park and Hyemin Ahn and Sang Hyup Hong and Hyun Jung Koo and Choong Wook Lee and Min Jae Kim and Yeon Joo Kim and Kyung Won Kim and Jong Mun Choi}, title = {Localization-adjusted diagnostic performance and assistance effect of a computer-aided detection system for pneumothorax and consolidation}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00658-x}, doi = {10.1038/S41746-022-00658-X}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeHJLCKCIJPAHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/KhalidTKKW22, author = {Hasam Khalid and Shahroz Tariq and TaeSoo Kim and Jong Hwan Ko and Simon S. Woo}, title = {{ORVAE:} One-Class Residual Variational Autoencoder for Voice Activity Detection in Noisy Environment}, journal = {Neural Process. Lett.}, volume = {54}, number = {3}, pages = {1565--1586}, year = {2022}, url = {https://doi.org/10.1007/s11063-021-10695-4}, doi = {10.1007/S11063-021-10695-4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/KhalidTKKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/BaekCK22, author = {Seungjun Baek and Sehee Cho and Jongsung Kim}, title = {Quantum cryptanalysis of the full AES-256-based Davies-Meyer, Hirose and {MJH} hash functions}, journal = {Quantum Inf. Process.}, volume = {21}, number = {4}, pages = {163}, year = {2022}, url = {https://doi.org/10.1007/s11128-022-03499-5}, doi = {10.1007/S11128-022-03499-5}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/BaekCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeJHLPKNLLOPH22, author = {Seongpung Lee and Sanghyun Joung and Ho{-}Gun Ha and Jin{-}Han Lee and Kyeong{-}Hyeon Park and Shinyeol Kim and Kwonsun Nam and Jongsuk Lee and Hyun{-}Joo Lee and Chang{-}Wug Oh and Ilhyung Park and Jaesung Hong}, title = {3D Image-Guided Robotic System for Bone Fracture Reduction}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {4353--4360}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3150880}, doi = {10.1109/LRA.2022.3150880}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeJHLPKNLLOPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SeongKCJJSWKSLH22, author = {Noh{-}Hun Seong and Hyun{-}Cheol Kim and Sungwon Choi and Donghyun Jin and Daeseong Jung and Suyoung Sim and Jongho Woo and Nayeon Kim and Minji Seo and Kyeong{-}Sang Lee and Kyung{-}Soo Han}, title = {Evaluation of Sea Ice Radiative Forcing according to Surface Albedo and Skin Temperature over the Arctic from 1982-2015}, journal = {Remote. Sens.}, volume = {14}, number = {11}, pages = {2512}, year = {2022}, url = {https://doi.org/10.3390/rs14112512}, doi = {10.3390/RS14112512}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/SeongKCJJSWKSLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/AlharbeyKDSAH22, author = {Riad Alharbey and Jong In Kim and Ali Daud and Min Song and Abdulrahman A. Alshdadi and Malik Khizar Hayat}, title = {Indexing important drugs from medical literature}, journal = {Scientometrics}, volume = {127}, number = {5}, pages = {2661--2681}, year = {2022}, url = {https://doi.org/10.1007/s11192-022-04340-7}, doi = {10.1007/S11192-022-04340-7}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/AlharbeyKDSAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/KoKKSLYHHKKC22, author = {Jongkuk Ko and Changhwan Kim and Dongjin Kim and Yongkwon Song and Seokmin Lee and Bongjun Yeom and June Huh and Seungyong Han and Daeshik Kang and Je{-}Sung Koh and Jinhan Cho}, title = {High-performance electrified hydrogel actuators based on wrinkled nanomembrane electrodes for untethered insect-scale soft aquabots}, journal = {Sci. Robotics}, volume = {7}, number = {71}, year = {2022}, url = {https://doi.org/10.1126/scirobotics.abo6463}, doi = {10.1126/SCIROBOTICS.ABO6463}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/KoKKSLYHHKKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AzzaouiCKPP22, author = {Abir El Azzaoui and Haotian Chen and So Hyeon Kim and Yi Pan and Jong Hyuk Park}, title = {Blockchain-Based Distributed Information Hiding Framework for Data Privacy Preserving in Medical Supply Chain Systems}, journal = {Sensors}, volume = {22}, number = {4}, pages = {1371}, year = {2022}, url = {https://doi.org/10.3390/s22041371}, doi = {10.3390/S22041371}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AzzaouiCKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CaoYWZK22, author = {Hai Cao and Jinpeng Yu and Yu Wang and Liang Zhang and Jong{-}Won Kim}, title = {A Fault Diagnosis System for a Pipeline Robot Based on Sound Signal Recognition}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3275}, year = {2022}, url = {https://doi.org/10.3390/s22093275}, doi = {10.3390/S22093275}, timestamp = {Mon, 14 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/CaoYWZK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HasanIK22, author = {Md Junayed Hasan and M. M. Manjurul Islam and Jong{-}Myon Kim}, title = {Bearing Fault Diagnosis Using Multidomain Fusion-Based Vibration Imaging and Multitask Learning}, journal = {Sensors}, volume = {22}, number = {1}, pages = {56}, year = {2022}, url = {https://doi.org/10.3390/s22010056}, doi = {10.3390/S22010056}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HasanIK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCJH22, author = {Jong{-}Wook Kim and Young{-}Lim Choi and Sang{-}Hyun Jeong and Jeonghye Han}, title = {A Care Robot with Ethical Sensing System for Older Adults at Home}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7515}, year = {2022}, url = {https://doi.org/10.3390/s22197515}, doi = {10.3390/S22197515}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimCJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJ22a, author = {Mi Jeong Kim and Han Jong Jun}, title = {Intelligence Sensors and Sensing Spaces for Smart Home and Environment}, journal = {Sensors}, volume = {22}, number = {8}, pages = {2898}, year = {2022}, url = {https://doi.org/10.3390/s22082898}, doi = {10.3390/S22082898}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJ22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimSLCACP22, author = {Jonggwan Kim and Yooil Suh and Junhee Lee and Heechan Chae and Hanse Ahn and Yongwha Chung and Daihee Park}, title = {EmbeddedPigCount: Pig Counting with Video Object Detection and Tracking on an Embedded Board}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2689}, year = {2022}, url = {https://doi.org/10.3390/s22072689}, doi = {10.3390/S22072689}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimSLCACP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYKLPKCK22, author = {Jongman Kim and Sumin Yang and Bummo Koo and Seunghee Lee and Sehoon Park and Seunggi Kim and Kang{-}Hee Cho and Youngho Kim}, title = {sEMG-Based Hand Posture Recognition and Visual Feedback Training for the Forearm Amputee}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7984}, year = {2022}, url = {https://doi.org/10.3390/s22207984}, doi = {10.3390/S22207984}, timestamp = {Sun, 11 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimYKLPKCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SantosFMSNKRVBP22, author = {Djalma Sim{\~{o}}es dos Santos and Fabian Fool and Moein Mozaffarzadeh and Maysam Shabanimotlagh and Emile Noothout and Taehoon Kim and Nuriel Rozsa and Hendrik J. Vos and Johan G. Bosch and Michiel A. P. Pertijs and Martin D. Verweij and Nico de Jong}, title = {A Tiled Ultrasound Matrix Transducer for Volumetric Imaging of the Carotid Artery}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9799}, year = {2022}, url = {https://doi.org/10.3390/s22249799}, doi = {10.3390/S22249799}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SantosFMSNKRVBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShinKRKYLLJKSS22, author = {Dong{-}Seok Shin and Tae{-}Ho Kim and Jeong{-}Eun Rah and Dohyeon Kim and Hye Jeong Yang and Se Byeong Lee and Young Kyung Lim and Jonghwi Jeong and Haksoo Kim and Dongho Shin and Jaeman Son}, title = {Assessment of a Therapeutic X-ray Radiation Dose Measurement System Based on a Flexible Copper Indium Gallium Selenide Solar Cell}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5819}, year = {2022}, url = {https://doi.org/10.3390/s22155819}, doi = {10.3390/S22155819}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShinKRKYLLJKSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/KimKS22, author = {Hansol Kim and Kyeongmuk Kang and Jong Won Shin}, title = {Factorized {MVDR} Deep Beamforming for Multi-Channel Speech Enhancement}, journal = {{IEEE} Signal Process. Lett.}, volume = {29}, pages = {1898--1902}, year = {2022}, url = {https://doi.org/10.1109/LSP.2022.3200581}, doi = {10.1109/LSP.2022.3200581}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/KimKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimH22a, author = {Handong Kim and Jongdae Han}, title = {Effects of Adversarial Training on the Safety of Classification Models}, journal = {Symmetry}, volume = {14}, number = {7}, pages = {1338}, year = {2022}, url = {https://doi.org/10.3390/sym14071338}, doi = {10.3390/SYM14071338}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KimH22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/ParkPKKK22, author = {Jin Woo Park and Jongil Park and Hansol Kim and Namhun Kim and Duck Young Kim}, title = {Assembly Part Positioning on Transformable Pin Array Fixture by Active Pin Maximization and Joining Point Alignment}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {2}, pages = {1047--1057}, year = {2022}, url = {https://doi.org/10.1109/TASE.2021.3050403}, doi = {10.1109/TASE.2021.3050403}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/ParkPKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbd/HamandawanaKKC22, author = {Prince Hamandawana and Awais Khan and Jongik Kim and Tae{-}Sun Chung}, title = {Accelerating {ML/DL} Applications With Hierarchical Caching on Deduplication Storage Clusters}, journal = {{IEEE} Trans. Big Data}, volume = {8}, number = {6}, pages = {1622--1636}, year = {2022}, url = {https://doi.org/10.1109/TBDATA.2021.3106345}, doi = {10.1109/TBDATA.2021.3106345}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbd/HamandawanaKKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/YoonGLCILBKL22, author = {Yeohoon Yoon and Hyungmin Gi and Jongmin Lee and Minsik Cho and Changyoun Im and Yongmin Lee and Chisung Bae and Sang Joon Kim and Yoonmyung Lee}, title = {A Continuously-Scalable-Conversion-Ratio Step-Up/Down {SC} Energy-Harvesting Interface With {MPPT} Enabled by Real-Time Power Monitoring With Frequency-Mapped Capacitor {DAC}}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {4}, pages = {1820--1831}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2021.3139708}, doi = {10.1109/TCSI.2021.3139708}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/YoonGLCILBKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/HahnKKH22, author = {Changhee Hahn and Jongkil Kim and Hyunsoo Kwon and Junbeom Hur}, title = {Efficient IoT Management With Resilience to Unauthorized Access to Cloud Storage}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {10}, number = {2}, pages = {1008--1020}, year = {2022}, url = {https://doi.org/10.1109/TCC.2020.2985046}, doi = {10.1109/TCC.2020.2985046}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/HahnKKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/KimSBK22, author = {Intae Kim and Willy Susilo and Joonsang Baek and Jongkil Kim}, title = {Harnessing Policy Authenticity for Hidden Ciphertext Policy Attribute-Based Encryption}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {19}, number = {3}, pages = {1856--1870}, year = {2022}, url = {https://doi.org/10.1109/TDSC.2020.3040712}, doi = {10.1109/TDSC.2020.3040712}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/KimSBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thri/GasteigerALLMKB22, author = {Norina Gasteiger and Ho Seok Ahn and Christopher Lee and JongYoon Lim and Bruce A. MacDonald and Geon Ha Kim and Elizabeth Broadbent}, title = {Participatory Design, Development, and Testing of Assistive Health Robots with Older Adults: An International Four-year Project}, journal = {{ACM} Trans. Hum. Robot Interact.}, volume = {11}, number = {4}, pages = {45:1--45:19}, year = {2022}, url = {https://doi.org/10.1145/3533726}, doi = {10.1145/3533726}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thri/GasteigerALLMKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimLHJKLKLSBCPK22, author = {Jayoung Kim and Han{-}Sol Lee and Manh Cuong Hoang and Seonghwan Jeong and Jae{-}Seung Kim and Cheong Lee and Byungjeon Kang and Jonghee Lee and Young{-}Don Son and Seungmin Bang and Eunpyo Choi and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Redundant Electromagnetic Control of an Endoscopic Magnetic Capsule Driven by Multiple Electromagnets Configuration}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {11}, pages = {11370--11382}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3120443}, doi = {10.1109/TIE.2021.3120443}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimLHJKLKLSBCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/MoonLSKC22, author = {Jong Hak Moon and Hyungyung Lee and Woncheol Shin and Young{-}Hak Kim and Edward Choi}, title = {Multi-Modal Understanding and Generation for Medical Images and Text via Vision-Language Pre-Training}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {12}, pages = {6070--6080}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3207502}, doi = {10.1109/JBHI.2022.3207502}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/MoonLSKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/HaKK22, author = {Jongwoo Ha and Dongsoo Kim and Minsoo Kim}, title = {Assessing severity of road cracks using deep learning-based segmentation and detection}, journal = {J. Supercomput.}, volume = {78}, number = {16}, pages = {17721--17735}, year = {2022}, url = {https://doi.org/10.1007/s11227-022-04560-x}, doi = {10.1007/S11227-022-04560-X}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/HaKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimJKKCY22, author = {Jeong{-}Wook Kim and Hye{-}Won Jo and Kwang{-}Seok Kim and Ghoo Kim and Soo{-}Chang Chae and Jong{-}Won Yu}, title = {Gain Enhanced Wide Azimuth Beam Antenna Using Half-Mode Substrate Integrated Waveguide Cavity for Automotive Rear-View Mirror Application}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {1}, pages = {33--40}, year = {2022}, url = {https://doi.org/10.1109/TVT.2021.3130893}, doi = {10.1109/TVT.2021.3130893}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KimJKKCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/HaYKC22, author = {Seoncheol Ha and Wonsuk Yoo and Haeri Kim and Jong{-}Moon Chung}, title = {{C-V2X} Adaptive Short-Term Sensing Scheme for Enhanced {DENM} and {CAM} Communication}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {11}, number = {3}, pages = {593--597}, year = {2022}, url = {https://doi.org/10.1109/LWC.2021.3137471}, doi = {10.1109/LWC.2021.3137471}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/HaYKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/MunSHLHLK22, author = {Jonghwan Mun and Minchul Shin and Gunsoo Han and Sangho Lee and Seongsu Ha and Joonseok Lee and Eun{-}Sol Kim}, editor = {Lei Wang and Juergen Gall and Tat{-}Jun Chin and Imari Sato and Rama Chellappa}, title = {BaSSL: Boundary-aware Self-Supervised Learning for Video Scene Segmentation}, booktitle = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {13844}, pages = {485--501}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26316-3\_29}, doi = {10.1007/978-3-031-26316-3\_29}, timestamp = {Fri, 03 Mar 2023 14:56:35 +0100}, biburl = {https://dblp.org/rec/conf/accv/MunSHLHLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/HanKKY22, author = {Inhwa Han and Boah Kim and Eung{-}Yeop Kim and Jong Chul Ye}, title = {Contrast Agent Removal for Brain {CT} Angiography Using Switchable CycleGAN with AdaIN and Histogram Equalization}, booktitle = {4th {IEEE} International Conference on Artificial Intelligence Circuits and Systems, {AICAS} 2022, Incheon, Republic of Korea, June 13-15, 2022}, pages = {262--265}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AICAS54282.2022.9869976}, doi = {10.1109/AICAS54282.2022.9869976}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aicas/HanKKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiccc/ChungK22, author = {Hae{-}Chun Chung and Jong{-}Kook Kim}, title = {C-SupConGAN: Using Contrastive Learning and Trained Data Features for Audio-to-Image Generation}, booktitle = {Proceedings of the 5th Artificial Intelligence and Cloud Computing Conference, {AICCC} 2022, Osaka, Japan, December 17-19, 2022}, pages = {135--142}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3582099.3582121}, doi = {10.1145/3582099.3582121}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aiccc/ChungK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/RyuB022, author = {Jongha J. Ryu and Alankrita Bhatt and Young{-}Han Kim}, editor = {Gustau Camps{-}Valls and Francisco J. R. Ruiz and Isabel Valera}, title = {Parameter-Free Online Linear Optimization with Side Information via Universal Coin Betting}, booktitle = {International Conference on Artificial Intelligence and Statistics, {AISTATS} 2022, 28-30 March 2022, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {151}, pages = {6022--6044}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v151/ryu22a.html}, timestamp = {Sat, 30 Sep 2023 09:34:08 +0200}, biburl = {https://dblp.org/rec/conf/aistats/RyuB022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/LeeJLCPK22, author = {Chang{-}Han Lee and Jong{-}Jin Jang and Junho Lee and Sang{-}Hyun Choi and Subin Park and Juhwang Kim}, title = {Key Considerations for Communication Satellite System Design}, booktitle = {27th Asia Pacific Conference on Communications, {APCC} 2022, Jeju Island, Republic of Korea, October 19-21, 2022}, pages = {193--194}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/APCC55198.2022.9943596}, doi = {10.1109/APCC55198.2022.9943596}, timestamp = {Tue, 22 Nov 2022 09:54:49 +0100}, biburl = {https://dblp.org/rec/conf/apcc/LeeJLCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KuanKKS22, author = {Sao{-}I Kuan and Jongmin Kim and Oh{-}Heum Kwon and Ha{-}Joo Song}, editor = {Herwig Unger and Young{-}Kuk Kim and Eenjun Hwang and Sung{-}Bae Cho and Stephan Pareigis and Kyandoghere Kyamakya and Young{-}Guk Ha and Jinho Kim and Atsuyuki Morishima and Christian Wagner and Hyuk{-}Yoon Kwon and Yang{-}Sae Moon and Carson K. Leung}, title = {Canopy-K-means Combined Collaborative Filtering Using RMSE-minimization}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2022, Daegu, Korea, Republic of, January 17-20, 2022}, pages = {31--34}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigComp54360.2022.00016}, doi = {10.1109/BIGCOMP54360.2022.00016}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KuanKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/JangKJO22, author = {Haeun Jang and SeungHo Kim and Jongseok Jeon and Joohee Oh}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {Visual Attributes of Thumbnails in Predicting Top YouTube Brand Channels: {A} Machine Learning Approach}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {6660--6662}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020875}, doi = {10.1109/BIGDATA55660.2022.10020875}, timestamp = {Tue, 11 Jun 2024 10:44:02 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/JangKJO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataservice/NguyenKKHY22, author = {Thong Phi Nguyen and Seongje Kim and Hyung{-}Gyu Kim and Jooyeop Han and Jonghun Yoon}, title = {detection under noise effect of tags and complex arrangement of pile with Cycle-GAN and Mask-RCNN}, booktitle = {Eighth {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2022, Newark, CA, USA, August 15-18, 2022}, pages = {22--26}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigDataService55688.2022.00011}, doi = {10.1109/BIGDATASERVICE55688.2022.00011}, timestamp = {Thu, 06 Oct 2022 22:35:08 +0200}, biburl = {https://dblp.org/rec/conf/bigdataservice/NguyenKKHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/SongKLJCKBKPC22, author = {Hyeong{-}Woo Song and Ho Yong Kim and Han{-}Sol Lee and Daewon Jung and You Hee Choi and Chang{-}Sei Kim and Doyeon Bang and Byungjeon Kang and Jong{-}Oh Park and Eunpyo Choi}, title = {Ultrasound-mediated Delivery of Natural Killer Cells with Microbubble for Cancer Treatment}, booktitle = {9th {IEEE} {RAS/EMBS} International Conference for Biomedical Robotics and Biomechatronics, BioRob 2022, Seoul, Korea, Republic of, August 21-24, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BioRob52689.2022.9925298}, doi = {10.1109/BIOROB52689.2022.9925298}, timestamp = {Fri, 11 Nov 2022 16:53:13 +0100}, biburl = {https://dblp.org/rec/conf/biorob/SongKLJCKBKPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/MoonKC22, author = {Jong Hak Moon and Wonjae Kim and Edward Choi}, title = {Correlation between Alignment-Uniformity and Performance of Dense Contrastive Representations}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {844}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/844/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/MoonKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/KimYLY22, author = {Semin Kim and Huisu Yoon and Jongha Lee and Sangwook Yoo}, editor = {Linlin Shen and Alejandro Rodr{\'{\i}}guez Gonz{\'{a}}lez and KC Santosh and Zhihui Lai and Rosa Sicilia and Jo{\~{a}}o Rafael Almeida and Bridget Kane}, title = {Semi-automatic Labeling and Training Strategy for Deep Learning-based Facial Wrinkle Detection}, booktitle = {35th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2022, Shenzen, China, July 21-23, 2022}, pages = {383--388}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CBMS55023.2022.00075}, doi = {10.1109/CBMS55023.2022.00075}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cbms/KimYLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/SeoYKYL22, author = {Sunyong Seo and Sangwook Yoo and Semin Kim and Daeun Yoon and Jongha Lee}, editor = {Linlin Shen and Alejandro Rodr{\'{\i}}guez Gonz{\'{a}}lez and KC Santosh and Zhihui Lai and Rosa Sicilia and Jo{\~{a}}o Rafael Almeida and Bridget Kane}, title = {Facial Pore Segmentation Algorithm using Shallow {CNN}}, booktitle = {35th {IEEE} International Symposium on Computer-Based Medical Systems, {CBMS} 2022, Shenzen, China, July 21-23, 2022}, pages = {311--316}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CBMS55023.2022.00062}, doi = {10.1109/CBMS55023.2022.00062}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cbms/SeoYKYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SongJYTKSKRBBJ22, author = {Taejoong Song and Hakchul Jung and Giyoung Yang and Hoyoung Tang and Hayoung Kim and Dongwook Seo and Hoonki Kim and Woojin Rim and Sanghoon Baek and Sangyeop Baeck and Jonghoon Jung}, title = {3nm Gate-All-Around {(GAA)} Design-Technology Co-Optimization {(DTCO)} for succeeding {PPA} by Technology}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772784}, doi = {10.1109/CICC53496.2022.9772784}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SongJYTKSKRBBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/OhBHKRK22, author = {Sejoon Oh and Ankur Bhardwaj and Jongseok Han and Sungchul Kim and Ryan A. Rossi and Srijan Kumar}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Implicit Session Contexts for Next-Item Recommendations}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {4364--4368}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557613}, doi = {10.1145/3511808.3557613}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/OhBHKRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GongLKHC22, author = {Dayoung Gong and Joonseok Lee and Manjin Kim and Seong Jong Ha and Minsu Cho}, title = {Future Transformer for Long-term Action Anticipation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {3042--3051}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00306}, doi = {10.1109/CVPR52688.2022.00306}, timestamp = {Tue, 04 Oct 2022 15:11:56 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GongLKHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WortsmanIKLKRLH22, author = {Mitchell Wortsman and Gabriel Ilharco and Jong Wook Kim and Mike Li and Simon Kornblith and Rebecca Roelofs and Raphael Gontijo Lopes and Hannaneh Hajishirzi and Ali Farhadi and Hongseok Namkoong and Ludwig Schmidt}, title = {Robust fine-tuning of zero-shot models}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {7949--7961}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00780}, doi = {10.1109/CVPR52688.2022.00780}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WortsmanIKLKRLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkKKLHLIK22, author = {Jongho Park and Hyukjun Kwon and Seowoo Kim and Junyoung Lee and Minho Ha and Euicheol Lim and Mohsen Imani and Yeseong Kim}, editor = {Rob Oshana}, title = {QuiltNet: efficient deep learning inference on multi-chip accelerators using model partitioning}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {1159--1164}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530589}, doi = {10.1145/3489517.3530589}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ParkKKLHLIK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimHY22, author = {Boah Kim and Inhwa Han and Jong Chul Ye}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {DiffuseMorph: Unsupervised Deformable Image Registration Using Diffusion Model}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXI}}, series = {Lecture Notes in Computer Science}, volume = {13691}, pages = {347--364}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19821-2\_20}, doi = {10.1007/978-3-031-19821-2\_20}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KimHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeKRWK22, author = {Seong{-}Eui Lee and Jong{-}Han Kim and Je{-}Ho Ryu and Sung{-}Min Woo and Jong{-}Ok Kim}, title = {{DCT} Based Texture Region Classification for Image Denoising}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748659}, doi = {10.1109/ICEIC54506.2022.9748659}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeKRWK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LeeLJKMMHKKKJPC22, author = {Soo{-}Min Lee and Jihoon Lim and Jaehyuk Jang and Hyoungjoong Kim and Kyunghwan Min and Woongki Min and Hyeonji Han and Gyusik Kim and Jaeyoung Kim and Chulho Kim and Sejun Jeon and Jinhoon Park and Hyunsu Chae and Sangwook Han and Hiep Pham and Xingliang Zhao and Qilin Gu and Chih{-}Wei Yao and Sangho Kim and Jongwoo Lee}, title = {A 64Gb/s Downlink and 32Gb/s Uplink {NRZ} Wireline Transceiver with Supply Regulation, Background Clock Correction and EOM-based Channel Adaptation for Mid-Reach Cellular Mobile Interface in 8nm FinFET}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {509--512}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911419}, doi = {10.1109/ESSCIRC55480.2022.9911419}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/LeeLJKMMHKKKJPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurographics/RohKJS022, author = {Jihyun Roh and Seong Uk Kim and Hanyoung Jang and Yeongho Seol and Jongmin Kim}, editor = {Nuria Pelechano and David Vanderhaeghe}, title = {Interactive Facial Expression Editing with Non-linear Blendshape Interpolation}, booktitle = {43rd Annual Conference of the European Association for Computer Graphics, Eurographics 2022 - Short Papers, Reims, France, April 25-29, 2022}, pages = {69--72}, publisher = {Eurographics Association}, year = {2022}, url = {https://doi.org/10.2312/egs.20221035}, doi = {10.2312/EGS.20221035}, timestamp = {Tue, 20 Dec 2022 15:20:12 +0100}, biburl = {https://dblp.org/rec/conf/eurographics/RohKJS022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChoiNSKCLHKJ22, author = {Minsuk Choi and Yujin Nam and Juhwan Song and Haksung Kim and Jongwoo Choi and Seungyeon Lee and Seungku Han and Gihyun Kim and Seowoo Jang}, title = {Scenario Compaction and Ensemble with {RAN} Digital Twin for Efficient and Robust Learning}, booktitle = {{IEEE} Globecom 2022 Workshops, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {992--997}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GCWkshps56602.2022.10008781}, doi = {10.1109/GCWKSHPS56602.2022.10008781}, timestamp = {Tue, 17 Jan 2023 14:32:06 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ChoiNSKCLHKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkPLPKHJH22, author = {Choonghoon Park and Jonglae Park and Youngtae Lee and Bumgyu Park and Jungwook Kim and Donghee Han and Chulmin Jo and Woonhaing Hur}, title = {A new {DVFS} algorithm to minimize energy consumption on system-on-chip architecture and electrical characteristics}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730423}, doi = {10.1109/ICCE53296.2022.9730423}, timestamp = {Wed, 23 Mar 2022 17:30:12 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkPLPKHJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KohK0C22, author = {Hyunseo Koh and Dahyun Kim and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on Class Incremental Blurry Task Configuration with Anytime Inference}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=nrGGfMbY\_qK}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KohK0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ShinHWCSKKLHP22, author = {Young Ha Shin and Seungwoo Hong and Sangyoung Woo and Jonghun Choe and Harim Son and Gijeong Kim and Joon{-}Ha Kim and Kang Kyu Lee and Jemin Hwangbo and Hae{-}Won Park}, title = {Design of {KAIST} HOUND, a Quadruped Robot Platform for Fast and Efficient Locomotion with Mixed-Integer Nonlinear Optimization of a Gear Train}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {6614--6620}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811755}, doi = {10.1109/ICRA46639.2022.9811755}, timestamp = {Wed, 20 Jul 2022 18:22:23 +0200}, biburl = {https://dblp.org/rec/conf/icra/ShinHWCSKKLHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeHKLLC22, author = {Chae{-}Seok Lee and Byunghun Han and A{-}Ryoung Kim and Woo{-}geun Lee and Ji{-}Hye Lee and Ho{-}Jong Chang}, title = {A Study on the Spatial Division and Identifier Assignment Method for Objects Location in Indoor Digital Twin}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1362--1365}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952637}, doi = {10.1109/ICTC55196.2022.9952637}, timestamp = {Tue, 14 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeHKLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKKLL22, author = {Hyun{-}Jong Lee and Dong{-}Hoon Kim and Dong{-}Gyun Kim and Yeon{-}Sup Lim and Jae{-}Han Lim}, title = {Performance Analysis of Spiking Neural Networks with Memristive Synapse in Detecting and Classifying {RF} Wave Signals}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {303--308}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952889}, doi = {10.1109/ICTC55196.2022.9952889}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeKKLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YounKHKLSP22, author = {JiWook Youn and Dae{-}Ub Kim and Kyeong{-}Eun Han and Bup{-}Joong Kim and Joonki Lee and Jongtae Song and Chan{-}Ho Park}, title = {Experimental Demonstration of an Optically Connected CPU-Memory System with Service-Aware Scheduler}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1660--1662}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952441}, doi = {10.1109/ICTC55196.2022.9952441}, timestamp = {Sat, 11 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/YounKHKLSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-1/YunKSYKN22, author = {Jongpil Yun and Goo{-}Young Kim and Mahdi Sajadieh and Jinho Yang and Donghun Kim and Sang Do Noh}, editor = {Fr{\'{e}}d{\'{e}}ric No{\"{e}}l and Felix Nyffenegger and Louis Rivest and Abdelaziz Bouras}, title = {An Application of a Wearable Device with Motion-Capture and Haptic-Feedback for Human-Robot Collaboration}, booktitle = {Product Lifecycle Management. {PLM} in Transition Times: The Place of Humans and Transformative Technologies - 19th {IFIP} {WG} 5.1 International Conference, {PLM} 2022, Grenoble, France, July 10-13, 2022, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {667}, pages = {368--377}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25182-5\_36}, doi = {10.1007/978-3-031-25182-5\_36}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-1/YunKSYKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irc/MahmudDSLK22, author = {Saifuddin Mahmud and Justin Dannemiller and Redwanul Haque Sourave and Xiangxu Lin and Jong{-}Hoon Kim}, title = {Smart Robot Vision System for Plant Inspection for Disaster Prevention}, booktitle = {Sixth {IEEE} International Conference on Robotic Computing, {IRC} 2022, Naples, Italy, December 5-7, 2022}, pages = {416--420}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRC55401.2022.00079}, doi = {10.1109/IRC55401.2022.00079}, timestamp = {Mon, 06 Feb 2023 22:19:31 +0100}, biburl = {https://dblp.org/rec/conf/irc/MahmudDSLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKSJYPKKCKPS22, author = {Hyeokjae Lee and Sanggi Ko and Ho{-}Joon Suh and Gina Jeong and Jung{-}Han Yeo and Hye{-}Min Park and Hee{-}Kyeong Kim and Jong{-}Kwan Kim and Sung S. Chung and Youngboo Kim and Jisun Park and Hyungsoon Shin}, title = {Progressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound {HBT} for RF, Mobile, and Automotive Applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764410}, doi = {10.1109/IRPS48227.2022.9764410}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKSJYPKKCKPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JunSKLYLKJLK22, author = {Jaehoon Jun and Haneol Seo and Hyukbin Kwon and Jongyeon Lee and Beomsoo Yoon and Youngwoo Lee and Yongbin Kim and Woong Joo and Jesuk Lee and Kyoungmin Koh}, title = {A 0.7 {\(\mu\)}m-Pitch 108 Mpixel Nonacell-Based {CMOS} Image Sensor with Decision-Feedback Technique}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {283--287}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937226}, doi = {10.1109/ISCAS48785.2022.9937226}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/JunSKLYLKJLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimLPK22, author = {Jihye Kim and Hayoung Lee and Jongho Park and Sungho Kang}, title = {{ZOS:} Zero Overhead Scan for Systolic Array-based {AI} accelerator}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {360--361}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031441}, doi = {10.1109/ISOCC56007.2022.10031441}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimLPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BangKLJCPJKNBHL22, author = {Jun{-}Suk Bang and Dong{-}Su Kim and Jeongkwang Lee and Sung{-}Youb Jung and Young{-}Hwan Choo and Seungchan Park and Young{-}Ho Jung and Jae{-}Young Ko and Takahiro Norniyama and Jongbeom Baek and Jae{-}Yeol Han and Sang{-}Han Lee and Ik{-}Hwan Kim and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho}, title = {2-Tx Digital Envelope-Tracking Supply Modulator Achieving 200MHz Channel Bandwidth and 93.6{\%} Efficiency for 2G/3G/LTE/NR {RF} Power Amplifiers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731655}, doi = {10.1109/ISSCC42614.2022.9731655}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BangKLJCPJKNBHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKHPHOHLHL22, author = {Jaehong Jung and Seungjin Kim and Wonkang Kim and Jae{-}Yeol Han and Euiyoung Park and Seongwook Hwang and Seunghyun Oh and Sangwook Han and Kyungsoo Lee and Junho Huh and Jongwoo Lee}, title = {A 52MHz -158.2dBc/Hz {PN} @ 100kHz Digitally Controlled Crystal Oscillator Utilizing a Capacitive-Load-Dependent Dynamic Feedback Resistor in 28nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {60--62}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731592}, doi = {10.1109/ISSCC42614.2022.9731592}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKHPHOHLHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungOKHLKPLYBKL22, author = {Jaehong Jung and Seunghyun Oh and Joo{-}Myoung Kim and Gihyeok Ha and Jinhyeon Lee and Seungjin Kim and Euiyoung Park and Jaehoon Lee and Yelim Yoon and Seungyong Bae and Wonkang Kim and Yong Lim and Kyungsoo Lee and Junho Huh and Jongwoo Lee and Thomas Byunghak Cho}, title = {A Single-Crystal-Oscillator-Based Clock-Management {IC} with 18{\texttimes} Start-Up Time Reduction and 0.68ppm/{\textordmasculine}C Duty-Cycled Machine-Learning-Based {RCO} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {58--60}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731781}, doi = {10.1109/ISSCC42614.2022.9731781}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungOKHLKPLYBKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkLPPLPHPYLLL22, author = {Sungbong Park and Changkyu Lee and Sangcheon Park and Haeyong Park and Taeheon Lee and Dami Park and Minsung Heo and Inyong Park and Hyunyoung Yeo and Youna Lee and Juhee Lee and Beomsuk Lee and Dong{-}Chul Lee and Jinyoung Kim and Bokwon Kim and Jinsun Pyo and Shili Quan and Sungyong You and Inho Ro and Sungsoo Choi and SungIn Kim and Insung Joe and Jongeun Park and Chang{-}Hyo Koo and Jae{-}Ho Kim and Chong Kwang Chang and Taehee Kim and JinGyun Kim and Jamie Lee and Hyunchul Kim and Changrok Moon and Hyoung{-}Sub Kim}, title = {A 64Mpixel {CMOS} Image Sensor with 0.50{\(\mathrm{\mu}\)}m Unit Pixels Separated by Front Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731750}, doi = {10.1109/ISSCC42614.2022.9731750}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkLPPLPHPYLLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/Yuh0LOHAJADBTAR22, author = {Jong Yuh and Jason Li and Heguang Li and Yoshihiro Oyama and Cynthia Hsu and Pradeep Anantula and Stanley Jeong and Anirudh Amarnath and Siddhesh Darne and Sneha Bhatia and Tianyu Tang and Aditya Arya and Naman Rastogi and Naoki Ookuma and Hiroyuki Mizukoshi and Alex Yap and Demin Wang and Steve Kim and Yonggang Wu and Min Peng and Jason Lu and Tommy Ip and Seema Malhotra and David Han and Masatoshi Okumura and Jiwen Liu and John Sohn and Hardwell Chibvongodze and Muralikrishna Balaga and Aki Matsuda and Chakshu Puri and Chen Chen and Indra K. V and Chaitanya G and Venky Ramachandra and Yosuke Kato and Ravi Kumar and Huijuan Wang and Farookh Moogat and In{-}Soo Yoon and Kazushige Kanda and Takahiro Shimizu and Noboru Shibata and Takashi Shigeoka and Kosuke Yanagidaira and Takuyo Kodama and Ryo Fukuda and Yasuhiro Hirashima and Mitsuhiro Abe}, title = {A 1-Tb 4b/Cell 4-Plane 162-Layer 3D Flash Memory With a 2.4-Gb/s {I/O} Speed Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {130--132}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731110}, doi = {10.1109/ISSCC42614.2022.9731110}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/Yuh0LOHAJADBTAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/Lee0CJ0NK22, author = {Haanvid Lee and Jongmin Lee and Yunseon Choi and Wonseok Jeon and Byung{-}Jun Lee and Yung{-}Kyun Noh and Kee{-}Eung Kim}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Local Metric Learning for Off-Policy Evaluation in Contextual Bandits with Continuous Actions}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/18fee39e2666f43cf44425138bae9def-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/Lee0CJ0NK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/HwangYKM22, author = {Won{-}Seok Hwang and Jeong{-}Han Yun and Jonguk Kim and Byung{-}Gil Min}, editor = {Jiman Hong and Miroslav Bures and Juw Won Park and Tom{\'{a}}s Cern{\'{y}}}, title = {"Do you know existing accuracy metrics overrate time-series anomaly detections?"}, booktitle = {{SAC} '22: The 37th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, April 25 - 29, 2022}, pages = {403--412}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477314.3507024}, doi = {10.1145/3477314.3507024}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/HwangYKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/YunKHKWM22, author = {Jeong{-}Han Yun and Jonguk Kim and Won{-}Seok Hwang and Young Geun Kim and Simon S. Woo and Byung{-}Gil Min}, editor = {Jiman Hong and Miroslav Bures and Juw Won Park and Tom{\'{a}}s Cern{\'{y}}}, title = {Residual size is not enough for anomaly detection: improving detection performance using residual similarity in multivariate time series}, booktitle = {{SAC} '22: The 37th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, April 25 - 29, 2022}, pages = {87--96}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3477314.3506990}, doi = {10.1145/3477314.3506990}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/YunKHKWM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/AdekanbmiWK22, author = {Omotola Adekanbmi and Hayden Wimmer and Jongyeop Kim}, editor = {Juyeon Jo and Yeong{-}Tae Song and Lin Deng and Junghwan John Rhee}, title = {Big Cyber Security Data Analysis with Apache Mahou}, booktitle = {20th {IEEE/ACIS} International Conference on Software Engineering Research, Management and Applications, {SERA} 2022, Las Vegas, NV, USA, May 25-27, 2022}, pages = {83--90}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SERA54885.2022.9806807}, doi = {10.1109/SERA54885.2022.9806807}, timestamp = {Fri, 15 Jul 2022 11:19:11 +0200}, biburl = {https://dblp.org/rec/conf/sera/AdekanbmiWK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/KimWK22, author = {Seongsoo Kim and Hayden Wimmer and Jongyeop Kim}, editor = {Juyeon Jo and Yeong{-}Tae Song and Lin Deng and Junghwan John Rhee}, title = {Analysis of Deep Learning Libraries: Keras, PyTorch, and MXnet}, booktitle = {20th {IEEE/ACIS} International Conference on Software Engineering Research, Management and Applications, {SERA} 2022, Las Vegas, NV, USA, May 25-27, 2022}, pages = {54--62}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SERA54885.2022.9806734}, doi = {10.1109/SERA54885.2022.9806734}, timestamp = {Fri, 15 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sera/KimWK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/HanL022, author = {Donghyoung Han and Jongwuk Lee and Min{-}Soo Kim}, editor = {Zachary G. Ives and Angela Bonifati and Amr El Abbadi}, title = {FuseME: Distributed Matrix Computation Engine based on Cuboid-based Fused Operator and Plan Generation}, booktitle = {{SIGMOD} '22: International Conference on Management of Data, Philadelphia, PA, USA, June 12 - 17, 2022}, pages = {1891--1904}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3514221.3517895}, doi = {10.1145/3514221.3517895}, timestamp = {Thu, 16 Mar 2023 09:51:25 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/HanL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/HwangKKNKKSP22, author = {Jinwoo Hwang and Minsu Kim and Daeun Kim and Seungho Nam and Yoonsung Kim and Dohee Kim and Hardik Sharma and Jongse Park}, editor = {Jiri Schindler and Noa Zilberman}, title = {CoVA: Exploiting Compressed-Domain Analysis to Accelerate Video Analytics}, booktitle = {Proceedings of the 2022 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2022, Carlsbad, CA, USA, July 11-13, 2022}, pages = {707--722}, publisher = {{USENIX} Association}, year = {2022}, url = {https://www.usenix.org/conference/atc22/presentation/hwang}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/HwangKKNKKSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimLLLLJKNKKNPL22, author = {Seki Kim and Hyongmin Lee and Yongjin Lee and Dongha Lee and Byeongbae Lee and Jahoon Jin and Susie Kim and Miri Noh and Kwonwoo Kang and Sangho Kim and Takahiro Nomiyama and Ji{-}Seon Paek and Jongwoo Lee}, title = {A 3nm {GAAFET} Analog Assisted Digital {LDO} with High Current Density for Dynamic Voltage Scaling Mobile Applications}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {190--191}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830252}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830252}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/KimLLLLJKNKKNPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkLPSLGJBKHKY22, author = {Hye Yeon Park and Yunki Lee and Jonghoon Park and Hyunseok Song and Taesung Lee and Hyung Keun Gweon and Yunji Jung and Jeongmin Bae and Boseong Kim and Junwon Han and Seungwon Kim and Cheolsang Yoon and Jeongki Kim and Changkeun Lee and Sehoon Yoo and Euiyeol Kim and Hyunmin Baek and Howoo Park and Bumsuk Kim and JungChak Ahn and Joonseo Yim}, title = {Advanced novel optical stack technologies for high {SNR} in {CMOS} Image Sensor}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {353--354}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830428}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830428}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkLPSLGJBKHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YangJLSKYYKKJPA22, author = {Giyoung Yang and Hakchul Jung and Jinyoung Lim and Jaewoo Seo and Ingyum Kim and Jisu Yu and Hyeoungyu You and Jeongsoon Kong and Garoom Kim and Minjae Jeong and Chanhee Park and Sera An and Woojin Rim and Hayoung Kim and Dalhee Lee and Sanghoon Baek and Jonghoon Jung and Taejoong Song and Jongwook Kye}, title = {Standard Cell Design Optimization with Advanced {MOL} Technology in 3nm {GAA} Process}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {363--364}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830450}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830450}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YangJLSKYYKKJPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rita/2021, editor = {Jinwhan Kim and Brendan J. Englot and Hae{-}Won Park and Han{-}Lim Choi and Hyun Myung and Junmo Kim and Jong{-}Hwan Kim}, title = {Robot Intelligence Technology and Applications 6 - Results from the 9th International Conference on Robot Intelligence Technology and Applications, RiTA 2021, Daejeon, South Korea, 16-17 December, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {429}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-97672-9}, doi = {10.1007/978-3-030-97672-9}, isbn = {978-3-030-97671-2}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rita/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-05277, author = {Jonghwan Mun and Minchul Shin and Gunsoo Han and Sangho Lee and Seongsu Ha and Joonseok Lee and Eun{-}Sol Kim}, title = {Boundary-aware Self-supervised Learning for Video Scene Segmentation}, journal = {CoRR}, volume = {abs/2201.05277}, year = {2022}, url = {https://arxiv.org/abs/2201.05277}, eprinttype = {arXiv}, eprint = {2201.05277}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-05277.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-10005, author = {Arvind Neelakantan and Tao Xu and Raul Puri and Alec Radford and Jesse Michael Han and Jerry Tworek and Qiming Yuan and Nikolas Tezak and Jong Wook Kim and Chris Hallacy and Johannes Heidecke and Pranav Shyam and Boris Power and Tyna Eloundou Nekoul and Girish Sastry and Gretchen Krueger and David Schnurr and Felipe Petroski Such and Kenny Hsu and Madeleine Thompson and Tabarak Khan and Toki Sherbakov and Joanne Jang and Peter Welinder and Lilian Weng}, title = {Text and Code Embeddings by Contrastive Pre-Training}, journal = {CoRR}, volume = {abs/2201.10005}, year = {2022}, url = {https://arxiv.org/abs/2201.10005}, eprinttype = {arXiv}, eprint = {2201.10005}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-10005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-04314, author = {Minhyeok Cho and Haechang Lee and Hyunwoo Je and Kijeong Kim and Dongil Ryu and Jinsu Kim and Jonghyun Bae and Albert No}, title = {PyNET-QxQ: {A} Distilled PyNET for QxQ Bayer Pattern Demosaicing in {CMOS} Image Sensor}, journal = {CoRR}, volume = {abs/2203.04314}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.04314}, doi = {10.48550/ARXIV.2203.04314}, eprinttype = {arXiv}, eprint = {2203.04314}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-04314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-11889, author = {Eric Hambro and Sharada P. Mohanty and Dmitrii Babaev and Minwoo Byeon and Dipam Chakraborty and Edward Grefenstette and Minqi Jiang and DaeJin Jo and Anssi Kanervisto and Jongmin Kim and Sungwoong Kim and Robert Kirk and Vitaly Kurin and Heinrich K{\"{u}}ttler and Taehwon Kwon and Donghoon Lee and Vegard Mella and Nantas Nardelli and Ivan Nazarov and Nikita Ovsov and Jack Parker{-}Holder and Roberta Raileanu and Karolis Ramanauskas and Tim Rockt{\"{a}}schel and Danielle Rothermel and Mikayel Samvelyan and Dmitry Sorokin and Maciej Sypetkowski and Michal Sypetkowski}, title = {Insights From the NeurIPS 2021 NetHack Challenge}, journal = {CoRR}, volume = {abs/2203.11889}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.11889}, doi = {10.48550/ARXIV.2203.11889}, eprinttype = {arXiv}, eprint = {2203.11889}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-11889.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-14022, author = {Dayoung Gong and Joonseok Lee and Manjin Kim and Seong Jong Ha and Minsu Cho}, title = {Future Transformer for Long-term Action Anticipation}, journal = {CoRR}, volume = {abs/2205.14022}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.14022}, doi = {10.48550/ARXIV.2205.14022}, eprinttype = {arXiv}, eprint = {2205.14022}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-14022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-00588, author = {Jinwoo Hwang and Minsu Kim and Daeun Kim and Seungho Nam and Yoonsung Kim and Dohee Kim and Hardik Sharma and Jongse Park}, title = {CoVA: Exploiting Compressed-Domain Analysis to Accelerate Video Analytics}, journal = {CoRR}, volume = {abs/2207.00588}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.00588}, doi = {10.48550/ARXIV.2207.00588}, eprinttype = {arXiv}, eprint = {2207.00588}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-00588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-11534, author = {Joomee Song and Juyoung Hahm and Jisoo Lee and Chae Yeon Lim and Myung Jin Chung and Jinyoung Youn and Jin Whan Cho and Jong Hyeon Ahn and Kyung{-}Su Kim}, title = {Comparative Validation of {AI} and non-AI Methods in {MRI} Volumetry to Diagnose Parkinsonian Syndromes}, journal = {CoRR}, volume = {abs/2207.11534}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.11534}, doi = {10.48550/ARXIV.2207.11534}, eprinttype = {arXiv}, eprint = {2207.11534}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-11534.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-12121, author = {Hae{-}Chun Chung and JooYong Shim and Jong{-}Kook Kim}, title = {Cross-Modal Contrastive Representation Learning for Audio-to-Image Generation}, journal = {CoRR}, volume = {abs/2207.12121}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.12121}, doi = {10.48550/ARXIV.2207.12121}, eprinttype = {arXiv}, eprint = {2207.12121}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-12121.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-09076, author = {Sejoon Oh and Ankur Bhardwaj and Jongseok Han and Sungchul Kim and Ryan A. Rossi and Srijan Kumar}, title = {Implicit Session Contexts for Next-Item Recommendations}, journal = {CoRR}, volume = {abs/2208.09076}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.09076}, doi = {10.48550/ARXIV.2208.09076}, eprinttype = {arXiv}, eprint = {2208.09076}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-09076.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-09183, author = {Keong{-}Hun Choi and Jin Woo Kim and Yao Wang and Jong{-}Eun Ha}, title = {Improved Image Classification with Token Fusion}, journal = {CoRR}, volume = {abs/2208.09183}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.09183}, doi = {10.48550/ARXIV.2208.09183}, eprinttype = {arXiv}, eprint = {2208.09183}, timestamp = {Mon, 22 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-09183.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-07115, author = {Jongbin Won and Minhyuk Song and Gunhee Kim and Jong{-}Woong Park and Haemin Jeon}, title = {{LAVOLUTION:} Measurement of Non-target Structural Displacement Calibrated by Structured Light}, journal = {CoRR}, volume = {abs/2209.07115}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.07115}, doi = {10.48550/ARXIV.2209.07115}, eprinttype = {arXiv}, eprint = {2209.07115}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-07115.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08819, author = {Jong Hak Moon and Wonjae Kim and Edward Choi}, title = {Correlation between Alignment-Uniformity and Performance of Dense Contrastive Representations}, journal = {CoRR}, volume = {abs/2210.08819}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08819}, doi = {10.48550/ARXIV.2210.08819}, eprinttype = {arXiv}, eprint = {2210.08819}, timestamp = {Wed, 19 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08819.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-13373, author = {Haanvid Lee and Jongmin Lee and Yunseon Choi and Wonseok Jeon and Byung{-}Jun Lee and Yung{-}Kyun Noh and Kee{-}Eung Kim}, title = {Local Metric Learning for Off-Policy Evaluation in Contextual Bandits with Continuous Actions}, journal = {CoRR}, volume = {abs/2210.13373}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.13373}, doi = {10.48550/ARXIV.2210.13373}, eprinttype = {arXiv}, eprint = {2210.13373}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-13373.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-09525, author = {Yangyu Huang and Xi Chen and Jongyoo Kim and Hao Yang and Chong Li and Jiaolong Yang and Dong Chen}, title = {FreeEnricher: Enriching Face Landmarks without Additional Cost}, journal = {CoRR}, volume = {abs/2212.09525}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.09525}, doi = {10.48550/ARXIV.2212.09525}, eprinttype = {arXiv}, eprint = {2212.09525}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-09525.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/BaekK22, author = {Seungjun Baek and Jongsung Kim}, title = {Quantum Rebound Attacks on Reduced-Round ARIA-Based Hash Functions}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1604}, year = {2022}, url = {https://eprint.iacr.org/2022/1604}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/BaekK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhmadRHKK21, author = {Zahoor Ahmad and Akhand Rai and Md Junayed Hasan and Cheol Hong Kim and Jong{-}Myon Kim}, title = {A Novel Framework for Centrifugal Pump Fault Diagnosis by Selecting Fault Characteristic Coefficients of Walsh Transform and Cosine Linear Discriminant Analysis}, journal = {{IEEE} Access}, volume = {9}, pages = {150128--150141}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3124903}, doi = {10.1109/ACCESS.2021.3124903}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhmadRHKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiHKN21, author = {Jong{-}Hyeok Choi and Fei Hao and Yoo{-}Sung Kim and Aziz Nasridinov}, title = {Optimization of Dominance Testing in Skyline Queries Using Decision Trees}, journal = {{IEEE} Access}, volume = {9}, pages = {130170--130184}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3113697}, doi = {10.1109/ACCESS.2021.3113697}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiHKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HabibHK21, author = {Md Arafat Habib and Md Junayed Hasan and Jong{-}Myon Kim}, title = {A Lightweight Deep Learning-Based Approach for Concrete Crack Characterization Using Acoustic Emission Signals}, journal = {{IEEE} Access}, volume = {9}, pages = {104029--104050}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3099124}, doi = {10.1109/ACCESS.2021.3099124}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HabibHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HasanRAK21, author = {Md Junayed Hasan and Akhand Rai and Zahoor Ahmad and Jong{-}Myon Kim}, title = {A Fault Diagnosis Framework for Centrifugal Pumps by Scalogram-Based Imaging and Deep Learning}, journal = {{IEEE} Access}, volume = {9}, pages = {58052--58066}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3072854}, doi = {10.1109/ACCESS.2021.3072854}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HasanRAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JunKSKS21, author = {Jongpyo Jun and Jeongin Kim and Jaehwi Seol and Jeongeun Kim and Hyoung Il Son}, title = {Towards an Efficient Tomato Harvesting Robot: 3D Perception, Manipulation, and End-Effector}, journal = {{IEEE} Access}, volume = {9}, pages = {17631--17640}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3052240}, doi = {10.1109/ACCESS.2021.3052240}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JunKSKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangJPABCKKCK21, author = {Dongyeon Kang and Jun Tae Jang and Shinyoung Park and Md. Hasan Raza Ansari and Jong{-}Ho Bae and Sung{-}Jin Choi and Dong Myong Kim and Changwook Kim and Seongjae Cho and Dae Hwan Kim}, title = {Threshold-Variation-Tolerant Coupling-Gate {\(\alpha\)}-IGZO Synaptic Transistor for More Reliably Controllable Hardware Neuromorphic System}, journal = {{IEEE} Access}, volume = {9}, pages = {59345--59352}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3072688}, doi = {10.1109/ACCESS.2021.3072688}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangJPABCKKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangKWLYKPL21, author = {Won{-}Mook Kang and Dongseok Kwon and Sung Yun Woo and Soochang Lee and Honam Yoo and Jangsaeng Kim and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {Hardware-Based Spiking Neural Network Using a TFT-Type {AND} Flash Memory Array Architecture Based on Direct Feedback Alignment}, journal = {{IEEE} Access}, volume = {9}, pages = {73121--73132}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3080310}, doi = {10.1109/ACCESS.2021.3080310}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KangKWLYKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH21b, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Generation of Background Model Image Using Foreground Model}, journal = {{IEEE} Access}, volume = {9}, pages = {127515--127530}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3111686}, doi = {10.1109/ACCESS.2021.3111686}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimH21b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH21c, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Spatio-Temporal Data Augmentation for Visual Surveillance}, journal = {{IEEE} Access}, volume = {9}, pages = {165014--165033}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3135505}, doi = {10.1109/ACCESS.2021.3135505}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimH21c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJKKSHSKHSH21, author = {Hangi Kim and Yongjin Jeon and Giyoon Kim and Jongsung Kim and Bo{-}Yeon Sim and Dong{-}Guk Han and Hwajeong Seo and Seonggyeom Kim and Seokhie Hong and Jaechul Sung and Deukjo Hong}, title = {A New Method for Designing Lightweight S-Boxes With High Differential and Linear Branch Numbers, and its Application}, journal = {{IEEE} Access}, volume = {9}, pages = {150592--150607}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3126008}, doi = {10.1109/ACCESS.2021.3126008}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJKKSHSKHSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKHJK21, author = {Giyoon Kim and Hangi Kim and Yeachan Heo and Yongjin Jeon and Jongsung Kim}, title = {Generating Cryptographic S-Boxes Using the Reinforcement Learning}, journal = {{IEEE} Access}, volume = {9}, pages = {83092--83104}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3085861}, doi = {10.1109/ACCESS.2021.3085861}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKHJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimOHSCY21, author = {Jeong{-}Wook Kim and Ju{-}Ik Oh and Sang Hyuck Han and Won{-}Young Song and Soo{-}Chang Chae and Jong{-}Won Yu}, title = {Wideband Circularly Polarized Antenna With Reconfigurable 2-Dimensional Axial Ratio Beamwidth}, journal = {{IEEE} Access}, volume = {9}, pages = {79927--79935}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3084736}, doi = {10.1109/ACCESS.2021.3084736}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimOHSCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimRK21, author = {Jong{-}Han Kim and Je{-}Ho Ryu and Jong{-}Ok Kim}, title = {{FDD-MEF:} Feature-Decomposition-Based Deep Multi-Exposure Fusion}, journal = {{IEEE} Access}, volume = {9}, pages = {164551--164561}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3134316}, doi = {10.1109/ACCESS.2021.3134316}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonKLCYK21, author = {Sunyoung Kwon and Gyuwan Kim and Byunghan Lee and Jongsik Chun and Sungroh Yoon and Young{-}Han Kim}, title = {{NASCUP:} Nucleic Acid Sequence Classification by Universal Probability}, journal = {{IEEE} Access}, volume = {9}, pages = {162779--162791}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3127957}, doi = {10.1109/ACCESS.2021.3127957}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KwonKLCYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKLY21, author = {Harim Lee and Myeung Un Kim and Yeongjun Kim and Hyeonsu Lyu and Hyun Jong Yang}, title = {Development of a Privacy-Preserving {UAV} System With Deep Learning-Based Face Anonymization}, journal = {{IEEE} Access}, volume = {9}, pages = {132652--132662}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3113186}, doi = {10.1109/ACCESS.2021.3113186}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKKLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKRL21, author = {Seung{-}Won Lee and Hae{-}Jong Kim and Hee{-}Suk Ryoo and Jang{-}Seob Lim}, title = {Reliability Evaluation of Software Filters Applied to Conduction Current in {HVDC} Power Cables}, journal = {{IEEE} Access}, volume = {9}, pages = {125843--125852}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3111211}, doi = {10.1109/ACCESS.2021.3111211}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKRL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkHKKSWPBKPJK21, author = {Bumjin Park and Sungryul Huh and Jongwook Kim and Haerim Kim and Yujun Shin and Seongho Woo and Jaehyoung Park and Andres Brito and Dongwook Kim and Hyun Ho Park and Okhyun Jeong and Ja{-}Il Koo and Seungyoung Ahn}, title = {The Magnetic Energy Harvester With Improved Power Density Using Saturable Magnetizing Inductance Model for Maintenance Applications Near High Voltage Power Line}, journal = {{IEEE} Access}, volume = {9}, pages = {82661--82674}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3085989}, doi = {10.1109/ACCESS.2021.3085989}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkHKKSWPBKPJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkHKKYL21, author = {Eun{-}Bin Park and Jin{-}Chul Heo and Chanil Kim and Beomjoon Kim and Kwang{-}Yeol Yoon and Jong{-}Ha Lee}, title = {Development of a Patch-Type Sensor for Skin Using Laser Irradiation Based on Tissue Impedance for Diagnosis and Treatment of Pressure Ulcer}, journal = {{IEEE} Access}, volume = {9}, pages = {6277--6285}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048242}, doi = {10.1109/ACCESS.2020.3048242}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkHKKYL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RyuKK21, author = {Je{-}Ho Ryu and Jong{-}Han Kim and Jong{-}Ok Kim}, title = {Deep Gradual Multi-Exposure Fusion Via Recurrent Convolutional Network}, journal = {{IEEE} Access}, volume = {9}, pages = {144756--144767}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3122540}, doi = {10.1109/ACCESS.2021.3122540}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RyuKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/JeongPKNJLNKP21, author = {Jaeho Jeong and Seong{-}Joon Park and Jae{-}Won Kim and Jong{-}Seon No and Ha Hyeon Jeon and Jeong Wook Lee and Albert No and Sunghwan Kim and Hosung Park}, title = {Cooperative sequence clustering and decoding for {DNA} storage system with fountain codes}, journal = {Bioinform.}, volume = {37}, number = {19}, pages = {3136--3143}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab246}, doi = {10.1093/BIOINFORMATICS/BTAB246}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/JeongPKNJLNKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/YooKKL21, author = {Haeun Yoo and Boeun Kim and Jong Woo Kim and Jay H. Lee}, title = {Reinforcement learning based optimal control of batch processes using Monte-Carlo deep deterministic policy gradient with phase segmentation}, journal = {Comput. Chem. Eng.}, volume = {144}, pages = {107133}, year = {2021}, url = {https://doi.org/10.1016/j.compchemeng.2020.107133}, doi = {10.1016/J.COMPCHEMENG.2020.107133}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/YooKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/YunKJGLH21, author = {Changho Yun and Hak{-}Jin Kim and Chan{-}Woo Jeon and Minseok Gang and Won Suk Lee and Jong Gyu Han}, title = {Stereovision-based ridge-furrow detection and tracking for auto-guided cultivator}, journal = {Comput. Electron. Agric.}, volume = {191}, pages = {106490}, year = {2021}, url = {https://doi.org/10.1016/j.compag.2021.106490}, doi = {10.1016/J.COMPAG.2021.106490}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/YunKJGLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cem/ShinKCCHC21, author = {Younghwan Shin and Sangdo Kim and Jong{-}Moon Chung and Hyun Soo Chung and Sang Gil Han and Junho Cho}, title = {Emergency Department Return Prediction System Using Blood Samples With LightGBM for Smart Health Care Services}, journal = {{IEEE} Consumer Electron. Mag.}, volume = {10}, number = {3}, pages = {42--48}, year = {2021}, url = {https://doi.org/10.1109/MCE.2020.3015439}, doi = {10.1109/MCE.2020.3015439}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cem/ShinKCCHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/KimS21, author = {Hansol Kim and Jong Won Shin}, title = {Target exaggeration for deep learning-based speech enhancement}, journal = {Digit. Signal Process.}, volume = {116}, pages = {103109}, year = {2021}, url = {https://doi.org/10.1016/j.dsp.2021.103109}, doi = {10.1016/J.DSP.2021.103109}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dsp/KimS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/KimHKKPLKPJ21, author = {Taeyoon Kim and Suman Hu and Jaewook Kim and Joon Young Kwak and Jongkil Park and Suyoun Lee and Inho Kim and Jong{-}Keuk Park and YeonJoo Jeong}, title = {Spiking Neural Network {(SNN)} With Memristor Synapses Having Non-linear Weight Update}, journal = {Frontiers Comput. Neurosci.}, volume = {15}, pages = {646125}, year = {2021}, url = {https://doi.org/10.3389/fncom.2021.646125}, doi = {10.3389/FNCOM.2021.646125}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/KimHKKPLKPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/NguyenNPLK21, author = {Tien{-}Tung Nguyen and Van{-}Dinh Nguyen and Quoc{-}Viet Pham and Jong{-}Ho Lee and Yong{-}Hwa Kim}, title = {Resource Allocation for {AF} Relaying Wireless-Powered Networks With Nonlinear Energy Harvester}, journal = {{IEEE} Commun. Lett.}, volume = {25}, number = {1}, pages = {229--233}, year = {2021}, url = {https://doi.org/10.1109/LCOMM.2020.3023937}, doi = {10.1109/LCOMM.2020.3023937}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/NguyenNPLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ShinKCKKKL21, author = {Hyunah Shin and Nanyeong Kim and Jaehun Cha and Grace Juyun Kim and Ju Han Kim and Jong{-}Yeup Kim and Suehyun Lee}, title = {Geriatrics on beers criteria medications at risk of adverse drug events using real-world data}, journal = {Int. J. Medical Informatics}, volume = {154}, pages = {104542}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104542}, doi = {10.1016/J.IJMEDINF.2021.104542}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ShinKCKKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimKWKLOKBPL21, author = {Jangsaeng Kim and Dongseok Kwon and Sung Yun Woo and Won{-}Mook Kang and Soochang Lee and Seongbin Oh and Chul{-}Heung Kim and Jong{-}Ho Bae and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {Hardware-based spiking neural network architecture using simplified backpropagation algorithm and homeostasis functionality}, journal = {Neurocomputing}, volume = {428}, pages = {153--165}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2020.11.016}, doi = {10.1016/J.NEUCOM.2020.11.016}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/KimKWKLOKBPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MoonPLK21, author = {Jong Ho Moon and Jong Jin Park and Kang{-}Yoon Lee and Dong In Kim}, title = {Heterogeneously Reconfigurable Energy Harvester: An Algorithm for Optimal Reconfiguration}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {3}, pages = {1437--1452}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3013123}, doi = {10.1109/JIOT.2020.3013123}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/MoonPLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KimYBKVYF21, author = {Heonho Kim and Unil Yun and Yoonji Baek and Jongseong Kim and Bay Vo and Eunchul Yoon and Hamido Fujita}, title = {Efficient list based mining of high average utility patterns with maximum average pruning strategies}, journal = {Inf. Sci.}, volume = {543}, pages = {85--105}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2020.07.043}, doi = {10.1016/J.INS.2020.07.043}, timestamp = {Sat, 14 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/KimYBKVYF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/LeeLJKKP21, author = {Haeseong Lee and Sang Yup Lee and Keunwoo Jang and Seungyeon Kim and Jongseong Ko and Jaeheung Park}, title = {Search trajectory with twisting motion for dual peg-in-hole assembly}, journal = {Intell. Serv. Robotics}, volume = {14}, number = {4}, pages = {597--609}, year = {2021}, url = {https://doi.org/10.1007/s11370-021-00382-7}, doi = {10.1007/S11370-021-00382-7}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isrob/LeeLJKKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/HarerimanaKJ21, author = {Gaspard Harerimana and Jong Wook Kim and Beakcheol Jang}, title = {A deep attention model to forecast the Length Of Stay and the in-hospital mortality right on admission from {ICD} codes and demographic data}, journal = {J. Biomed. Informatics}, volume = {118}, pages = {103778}, year = {2021}, url = {https://doi.org/10.1016/j.jbi.2021.103778}, doi = {10.1016/J.JBI.2021.103778}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/HarerimanaKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/LeeHHKMM21, author = {In Seong Lee and Jong{-}Kwon Ha and Daeho Han and Tae In Kim and Sung Wook Moon and Seung Kyu Min}, title = {PyUNIxMD: {A} Python-based excited state molecular dynamics package}, journal = {J. Comput. Chem.}, volume = {42}, number = {24}, pages = {1755--1766}, year = {2021}, url = {https://doi.org/10.1002/jcc.26711}, doi = {10.1002/JCC.26711}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/LeeHHKMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/KimYHS21, author = {Hyunjun Kim and Jinyoung Yoon and Jonghwa Hong and Sung{-}Han Sim}, title = {Automated Damage Localization and Quantification in Concrete Bridges Using Point Cloud-Based Surface-Fitting Strategy}, journal = {J. Comput. Civ. Eng.}, volume = {35}, number = {6}, year = {2021}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000993}, doi = {10.1061/(ASCE)CP.1943-5487.0000993}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/KimYHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/LeeLRKHL21, author = {Seung{-}Min Lee and Jong Hyeok Lee and Myung{-}Il Roh and Ki{-}Su Kim and Seung{-}Ho Ham and Hye{-}Won Lee}, title = {An optimization model of tugboat operation for conveying a large surface vessel}, journal = {J. Comput. Des. Eng.}, volume = {8}, number = {2}, pages = {654--675}, year = {2021}, url = {https://doi.org/10.1093/jcde/qwab006}, doi = {10.1093/JCDE/QWAB006}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/LeeLRKHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcis/KimSBK21, author = {Jong{-}Hyun Kim and Rajiv Sabherwal and Gee{-}Woo Bock and Han{-}Min Kim}, title = {Understanding Social Media Monitoring and Online Rumors}, journal = {J. Comput. Inf. Syst.}, volume = {61}, number = {6}, pages = {507--519}, year = {2021}, url = {https://doi.org/10.1080/08874417.2020.1762260}, doi = {10.1080/08874417.2020.1762260}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcis/KimSBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/OmRKS21, author = {Cholnam Om and Yong{-}Hyok Ri and Jong{-}Gun Kim and Yong{-}Hak Sin}, title = {Motion Parameters Estimation of a Moving Target Based on Instantaneous Frequency Estimates Using a Passive Acoustic Sensor}, journal = {J. Circuits Syst. Comput.}, volume = {30}, number = {14}, pages = {2150264:1--2150264:17}, year = {2021}, url = {https://doi.org/10.1142/S0218126621502649}, doi = {10.1142/S0218126621502649}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/OmRKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jeim/KimSZL21, author = {Jongbum Kim and Jeonghun Seo and Hangjung Zo and Hwansoo Lee}, title = {Why digital goods have not replaced traditional goods: the case of e-books}, journal = {J. Enterp. Inf. Manag.}, volume = {34}, number = {3}, pages = {793--810}, year = {2021}, url = {https://doi.org/10.1108/JEIM-05-2019-0129}, doi = {10.1108/JEIM-05-2019-0129}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jeim/KimSZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/Kim21, author = {Jonghoek Kim}, title = {Topological Map Building with Multiple Agents Having Abilities of Dropping Indexed Markers}, journal = {J. Intell. Robotic Syst.}, volume = {103}, number = {1}, pages = {18}, year = {2021}, url = {https://doi.org/10.1007/s10846-021-01473-4}, doi = {10.1007/S10846-021-01473-4}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/Kim21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkSCCKPPCKJKC21, author = {Hyunsu Park and Jincheol Sim and Yoonjae Choi and Jonghyuck Choi and Youngwook Kwon and Seungwoo Park and Gyutae Park and Jinil Chung and Kyeong{-}Min Kim and Hae{-}Kang Jung and Hyungsoo Kim and Junhyun Chun and Chulwoo Kim}, title = {A 1.3-4-GHz Quadrature-Phase Digital {DLL} Using Sequential Delay Control and Reconfigurable Delay Line}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {6}, pages = {1886--1896}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3045168}, doi = {10.1109/JSSC.2020.3045168}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkSCCKPPCKJKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/HahmK0C21, author = {Jonghun Hahm and Seong{-}Dae Kim and Donghyun Kim and Soon{-}Ho Choi}, title = {Frequency-Shifting-Based {B2D-DCT-PCA} for Handheld Landmine Detection Using {GPR} Data}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {18}, number = {9}, pages = {1560--1564}, year = {2021}, url = {https://doi.org/10.1109/LGRS.2020.3005194}, doi = {10.1109/LGRS.2020.3005194}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/HahmK0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/DengPTLYYHKWWCK21, author = {Zhaoxia Deng and Jongsoo Park and Ping Tak Peter Tang and Haixin Liu and Jie Yang and Hector Yuen and Jianyu Huang and Daya Shanker Khudia and Xiaohan Wei and Ellie Wen and Dhruv Choudhary and Raghuraman Krishnamoorthi and Carole{-}Jean Wu and Nadathur Satish and Changkyu Kim and Maxim Naumov and Sam Naghshineh and Mikhail Smelyanskiy}, title = {Low-Precision Hardware Architectures Meet Recommendation Model Inference at Scale}, journal = {{IEEE} Micro}, volume = {41}, number = {5}, pages = {93--100}, year = {2021}, url = {https://doi.org/10.1109/MM.2021.3081981}, doi = {10.1109/MM.2021.3081981}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/DengPTLYYHKWWCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HyunKKM21, author = {Jongkil Hyun and Younghyeon Kim and Junghwan Kim and Byungin Moon}, title = {Hardware-friendly architecture for a pseudo 2D weighted median filter based on sparse-window approach}, journal = {Multim. Tools Appl.}, volume = {80}, number = {26}, pages = {34221--34236}, year = {2021}, url = {https://doi.org/10.1007/s11042-020-09906-2}, doi = {10.1007/S11042-020-09906-2}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/HyunKKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/ChoiKLHBC21, author = {Dahim Choi and Wonjin Kim and Jiyeon Lee and Mina Han and Jongduk Baek and Jang{-}Hwan Choi}, title = {Integration of 2D iteration and a 3D CNN-based model for multi-type artifact suppression in C-arm cone-beam {CT}}, journal = {Mach. Vis. Appl.}, volume = {32}, number = {6}, pages = {116}, year = {2021}, url = {https://doi.org/10.1007/s00138-021-01240-3}, doi = {10.1007/S00138-021-01240-3}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mva/ChoiKLHBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/HanJCLCJKCKJHLY21, author = {Yoseob Han and Jaeduck Jang and Eunju Cha and Junho Lee and Hyungjin Chung and Myoungho Jeong and Tae{-}Gon Kim and Byeong Gyu Chae and Hee Goo Kim and Shinae Jun and Sungwoo Hwang and Eunha Lee and Jong Chul Ye}, title = {Deep learning {STEM-EDX} tomography of nanocrystals}, journal = {Nat. Mach. Intell.}, volume = {3}, number = {3}, pages = {267--274}, year = {2021}, url = {https://doi.org/10.1038/s42256-020-00289-5}, doi = {10.1038/S42256-020-00289-5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/HanJCLCJKCKJHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/KimKWKLOKBPL21, author = {Jangsaeng Kim and Dongseok Kwon and Sung Yun Woo and Won{-}Mook Kang and Soochang Lee and Seongbin Oh and Chul{-}Heung Kim and Jong{-}Ho Bae and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {On-chip trainable hardware-based deep Q-networks approximating a backpropagation algorithm}, journal = {Neural Comput. Appl.}, volume = {33}, number = {15}, pages = {9391--9402}, year = {2021}, url = {https://doi.org/10.1007/s00521-021-05699-z}, doi = {10.1007/S00521-021-05699-Z}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/KimKWKLOKBPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HauggRNLGSSMCHK21, author = {Amelie Haugg and Fabian M. Renz and Andrew A. Nicholson and Cindy Lor and Sebastian J. G{\"{o}}tzendorfer and Ronald Sladky and Stavros Skouras and Amalia McDonald and R. Cameron Craddock and Lydia Hellrung and Matthias Kirschner and Marcus Herdener and Yury Koush and Marina Papoutsi and Nimrod Jakob Keynan and Talma Hendler and Kathrin Cohen Kadosh and Catharina Zich and Simon H. Kohl and Manfred Hallschmid and Jeff MacInnes and R. Alison Adcock and Kathryn C. Dickerson and Nan{-}kuei Chen and Kymberly D. Young and Jerzy Bodurka and Michael Marxen and Shuxia Yao and Benjamin Becker and Tibor Auer and Renate Schweizer and Gustavo S. P. Pamplona and Ruth A. Lanius and Kirsten Emmert and Sven Haller and Dimitri Van De Ville and Dong{-}Youl Kim and Jong{-}Hwan Lee and Theo Marins and Fukuda Megumi and Bettina Sorger and Tabea Kamp and Sook{-}Lei Liew and Ralf Veit and Maartje S. Spetter and Nikolaus Weiskopf and Frank Scharnowski and David Steyrl}, title = {Predictors of real-time fMRI neurofeedback performance and improvement - {A} machine learning mega-analysis}, journal = {NeuroImage}, volume = {237}, pages = {118207}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118207}, doi = {10.1016/J.NEUROIMAGE.2021.118207}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HauggRNLGSSMCHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KimJIK21, author = {Seong Uk Kim and Hanyoung Jang and Hyeonseung Im and Jongmin Kim}, title = {Human motion reconstruction using deep transformer networks}, journal = {Pattern Recognit. Lett.}, volume = {150}, pages = {162--169}, year = {2021}, url = {https://doi.org/10.1016/j.patrec.2021.06.018}, doi = {10.1016/J.PATREC.2021.06.018}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KimJIK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimNKK21, author = {Hyunwoo Kim and Jongseok Nam and Minki Kim and Ki{-}Uk Kyung}, title = {Wide-Bandwidth Soft Vibrotactile Interface Using Electrohydraulic Actuator for Haptic Steering Wheel Application}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {4}, pages = {8245--8252}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3102630}, doi = {10.1109/LRA.2021.3102630}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KimNKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChoiLASLK21, author = {Haklim Choi and Xiong Liu and Gonzalo Gonzalez Abad and Jongjin Seo and Kwang{-}Mog Lee and Jhoon Kim}, title = {A Fast Retrieval of Cloud Parameters Using a Triplet of Wavelengths of Oxygen Dimer Band around 477 nm}, journal = {Remote. Sens.}, volume = {13}, number = {1}, pages = {152}, year = {2021}, url = {https://doi.org/10.3390/rs13010152}, doi = {10.3390/RS13010152}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ChoiLASLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimJCR21, author = {Keunyong Kim and Hahn Chul Jung and Jong{-}Kuk Choi and Joo{-}Hyung Ryu}, title = {Statistical Analysis for Tidal Flat Classification and Topography Using Multitemporal {SAR} Backscattering Coefficients}, journal = {Remote. Sens.}, volume = {13}, number = {24}, pages = {5169}, year = {2021}, url = {https://doi.org/10.3390/rs13245169}, doi = {10.3390/RS13245169}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/KimJCR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPLAJCLKK21, author = {Songkang Kim and Sang{-}Jong Park and Hana Lee and Dha Hyun Ahn and Yeonjin Jung and Taejin Choi and Bang Yong Lee and Seong{-}Joong Kim and Ja{-}Ho Koo}, title = {Evaluation of Total Ozone Column from Multiple Satellite Measurements in the Antarctic Using the Brewer Spectrophotometer}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1594}, year = {2021}, url = {https://doi.org/10.3390/rs13081594}, doi = {10.3390/RS13081594}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPLAJCLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/VadivelKJCH21, author = {Suresh Krishnan Palanisamy Vadivel and Duk{-}jin Kim and Jungkyo Jung and Yang{-}Ki Cho and Ki{-}Jong Han}, title = {Monitoring the Vertical Land Motion of Tide Gauges and Its Impact on Relative Sea Level Changes in Korean Peninsula Using Sequential SBAS-InSAR Time-Series Analysis}, journal = {Remote. Sens.}, volume = {13}, number = {1}, pages = {18}, year = {2021}, url = {https://doi.org/10.3390/rs13010018}, doi = {10.3390/RS13010018}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/VadivelKJCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AzadLK21, author = {Ali Azad and Jong{-}Jae Lee and Namgyu Kim}, title = {Semi-Precise Analytical Method for Investigating the Liftoff Variation on the Hall Sensor in Metal Defect Sensing}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5539}, year = {2021}, url = {https://doi.org/10.3390/s21165539}, doi = {10.3390/S21165539}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AzadLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/EomRHBLKP21, author = {Heesang Eom and Jongryun Roh and Yuli Sun Hariyani and Suwhan Baek and Sukho Lee and Sayup Kim and Cheolsoo Park}, title = {Deep Learning-Based Optimal Smart Shoes Sensor Selection for Energy Expenditure and Heart Rate Estimation}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7058}, year = {2021}, url = {https://doi.org/10.3390/s21217058}, doi = {10.3390/S21217058}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/EomRHBLKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HasanSK21, author = {Md Junayed Hasan and Muhammad Sohaib and Jong{-}Myon Kim}, title = {An Explainable AI-Based Fault Diagnosis Model for Bearings}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4070}, year = {2021}, url = {https://doi.org/10.3390/s21124070}, doi = {10.3390/S21124070}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HasanSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HeoKASCL21, author = {Jin{-}Chul Heo and Doyoon Kim and Hyunsoo An and Chang Sik Son and Sangwoo Cho and Jong{-}Ha Lee}, title = {A Novel Biosensor and Algorithm to Predict Vitamin {D} Status by Measuring Skin Impedance}, journal = {Sensors}, volume = {21}, number = {23}, pages = {8118}, year = {2021}, url = {https://doi.org/10.3390/s21238118}, doi = {10.3390/S21238118}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HeoKASCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangKLC21, author = {Jihun Jang and Jinwoo Kim and Hak Jong Lee and Jin Ho Chang}, title = {Transrectal Ultrasound and Photoacoustic Imaging Probe for Diagnosis of Prostate Cancer}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1217}, year = {2021}, url = {https://doi.org/10.3390/s21041217}, doi = {10.3390/S21041217}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JangKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongK21, author = {Hojun Jeong and Jonghyun Kim}, title = {Development of a Guidance System for Motor Imagery Enhancement Using the Virtual Hand Illusion}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2197}, year = {2021}, url = {https://doi.org/10.3390/s21062197}, doi = {10.3390/S21062197}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCHK21, author = {Ju Yeon Kim and Jin Kyung Choi and Won{-}Hee Han and Jong Ha Kim}, title = {The Influence of Users' Spatial Familiarity on Their Emotional Perception of Space and Wayfinding Movement Patterns}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2583}, year = {2021}, url = {https://doi.org/10.3390/s21082583}, doi = {10.3390/S21082583}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimFSCNVBVJP21, author = {Taehoon Kim and Fabian Fool and Djalma Sim{\~{o}}es dos Santos and Zu{-}Yao Chang and Emile Noothout and Hendrik J. Vos and Johan G. Bosch and Martin D. Verweij and Nico de Jong and Michiel A. P. Pertijs}, title = {Design of an Ultrasound Transceiver {ASIC} with a Switching-Artifact Reduction Technique for 3D Carotid Artery Imaging}, journal = {Sensors}, volume = {21}, number = {1}, pages = {150}, year = {2021}, url = {https://doi.org/10.3390/s21010150}, doi = {10.3390/S21010150}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimFSCNVBVJP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHPWL21, author = {Chanil Kim and Seok{-}min Hwang and Eun{-}Bin Park and Chang{-}Hee Won and Jong{-}Ha Lee}, title = {Computer-Aided Diagnosis Algorithm for Classification of Malignant Melanoma Using Deep Neural Networks}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5551}, year = {2021}, url = {https://doi.org/10.3390/s21165551}, doi = {10.3390/S21165551}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimHPWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKNK21, author = {Jongman Kim and Bummo Koo and Yejin Nam and Youngho Kim}, title = {sEMG-Based Hand Posture Recognition Considering Electrode Shift, Feature Vectors, and Posture Groups}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7681}, year = {2021}, url = {https://doi.org/10.3390/s21227681}, doi = {10.3390/S21227681}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKNK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKCHMK21, author = {Jonghyun Lee and Youngrok Kim and Kihong Choi and Joonku Hahn and Sung{-}Wook Min and Hwi Kim}, title = {Digital Incoherent Compressive Holography Using a Geometric Phase Metalens}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5624}, year = {2021}, url = {https://doi.org/10.3390/s21165624}, doi = {10.3390/S21165624}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKCHMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKHYLKKL21, author = {Dongkyu Lee and Deawook Kim and Jounghyuk Han and Jongsu Yun and Kang{-}Ho Lee and Gyu Man Kim and Ohwon Kwon and Jaejong Lee}, title = {Integrated, Automated, Fast {PCR} System for Point-Of-Care Molecular Diagnosis of Bacterial Infection}, journal = {Sensors}, volume = {21}, number = {2}, pages = {377}, year = {2021}, url = {https://doi.org/10.3390/s21020377}, doi = {10.3390/S21020377}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeKHYLKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RaiAHK21, author = {Akhand Rai and Zahoor Ahmad and Md Junayed Hasan and Jong{-}Myon Kim}, title = {A Novel Pipeline Leak Detection Technique Based on Acoustic Emission Features and Two-Sample Kolmogorov-Smirnov Test}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8247}, year = {2021}, url = {https://doi.org/10.3390/s21248247}, doi = {10.3390/S21248247}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RaiAHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShinK21, author = {Jong{-}Gyu Shin and Sang{-}Ho Kim}, title = {Intelligibility of Haptic Signals in Vehicle Information Systems}, journal = {Sensors}, volume = {21}, number = {13}, pages = {4583}, year = {2021}, url = {https://doi.org/10.3390/s21134583}, doi = {10.3390/S21134583}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShinK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ParkYKJ21, author = {Hae Gwang Park and Jong Pil Yun and Min Young Kim and Seung Hyun Jeong}, title = {Multichannel Object Detection for Detecting Suspected Trees With Pine Wilt Disease Using Multispectral Drone Imagery}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {8350--8358}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3102218}, doi = {10.1109/JSTARS.2021.3102218}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/ParkYKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimH21, author = {Jong{-}Min Kim and Il{-}Do Ha}, title = {Deep Learning-Based Residual Control Chart for Binary Response}, journal = {Symmetry}, volume = {13}, number = {8}, pages = {1389}, year = {2021}, url = {https://doi.org/10.3390/sym13081389}, doi = {10.3390/SYM13081389}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KimH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/ThiamKABL0VG0TS21, author = {Patrick Thiam and Viktor Kessler and Mohammadreza Amirian and Peter Bellmann and Georg Layher and Yan Zhang and Maria Velana and Sascha Gruss and Steffen Walter and Harald C. Traue and Daniel Schork and Jonghwa Kim and Elisabeth Andr{\'{e}} and Heiko Neumann and Friedhelm Schwenker}, title = {Multi-Modal Pain Intensity Recognition Based on the SenseEmotion Database}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {12}, number = {3}, pages = {743--760}, year = {2021}, url = {https://doi.org/10.1109/TAFFC.2019.2892090}, doi = {10.1109/TAFFC.2019.2892090}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/ThiamKABL0VG0TS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ShinYMKMJKLKKH21, author = {Sungtae Shin and Peyman Yousefian and Azin Mousavi and Chang{-}Sei Kim and Ramakrishna Mukkamala and Dae{-}Geun Jang and Byung{-}Hoon Ko and Jongwook Lee and Ui{-}Kun Kwon and Youn Ho Kim and Jin{-}Oh Hahn}, title = {A Unified Approach to Wearable Ballistocardiogram Gating and Wave Localization}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {4}, pages = {1115--1122}, year = {2021}, url = {https://doi.org/10.1109/TBME.2020.3010864}, doi = {10.1109/TBME.2020.3010864}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ShinYMKMJKLKKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/LeeHSSKKJRPKL21, author = {Eunyoung Lee and Taeyoung Han and Donguk Seo and Gicheol Shin and Jaerok Kim and Seonho Kim and Soyoun Jeong and Johnny Rhe and Jaehyun Park and Jong Hwan Ko and Yoonmyung Lee}, title = {A Charge-Domain Scalable-Weight In-Memory Computing Macro With Dual-SRAM Architecture for Precision-Scalable {DNN} Accelerators}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {8}, pages = {3305--3316}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3080042}, doi = {10.1109/TCSI.2021.3080042}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/LeeHSSKKJRPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tciaig/HongJVHK21, author = {Chansol Hong and In{-}Bae Jeong and Luiz Felipe Vecchietti and Dongsoo Har and Jong{-}Hwan Kim}, title = {{AI} World Cup: Robot-Soccer-Based Competitions}, journal = {{IEEE} Trans. Games}, volume = {13}, number = {4}, pages = {330--341}, year = {2021}, url = {https://doi.org/10.1109/TG.2021.3065410}, doi = {10.1109/TG.2021.3065410}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tciaig/HongJVHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LeeKSK0021, author = {Gyeongmin Lee and Bongjun Kim and Seungbin Song and Changsu Kim and Jong Kim and Hanjun Kim}, title = {Precise Correlation Extraction for IoT Fault Detection With Concurrent Activities}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {20}, number = {5s}, pages = {94:1--94:21}, year = {2021}, url = {https://doi.org/10.1145/3477025}, doi = {10.1145/3477025}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LeeKSK0021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thri/GasteigerAGLLFM21, author = {Norina Gasteiger and Ho Seok Ahn and Chiara Gasteiger and Christopher Lee and Jongyoon Lim and Christine Fok and Bruce A. MacDonald and Geon Ha Kim and Elizabeth Broadbent}, title = {Robot-Delivered Cognitive Stimulation Games for Older Adults: Usability and Acceptability Evaluation}, journal = {{ACM} Trans. Hum. Robot Interact.}, volume = {10}, number = {4}, pages = {33:1--33:18}, year = {2021}, url = {https://doi.org/10.1145/3451882}, doi = {10.1145/3451882}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thri/GasteigerAGLLFM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChenSKLDDN21, author = {Tianhao Chen and Zia Saadatnia and Jongwoo Kim and Thomas Looi and James M. Drake and Eric D. Diller and Hani E. Naguib}, title = {Novel, Flexible, and Ultrathin Pressure Feedback Sensor for Miniaturized Intraventricular Neurosurgery Robotic Tools}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {5}, pages = {4415--4425}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.2984427}, doi = {10.1109/TIE.2020.2984427}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChenSKLDDN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/HassanBLK21, author = {Jamil Hassan and Changkyu Bai and Jong{-}Won Lim and Minsung Kim}, title = {High Step-Up Quasi-Resonant Converter Featuring Minimized Switching Loss Over Wide Input Voltage Range}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {11}, pages = {10784--10795}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.3032916}, doi = {10.1109/TIE.2020.3032916}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/HassanBLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HuaKHYYLBL21, author = {Cam{-}Hao Hua and Kiyoung Kim and Thien Huynh{-}The and Jong In You and Seung{-}Young Yu and Thuong Le{-}Tien and Sung{-}Ho Bae and Sungyoung Lee}, title = {Convolutional Network With Twofold Feature Augmentation for Diabetic Retinopathy Recognition From Multi-Modal Images}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {25}, number = {7}, pages = {2686--2697}, year = {2021}, url = {https://doi.org/10.1109/JBHI.2020.3041848}, doi = {10.1109/JBHI.2020.3041848}, timestamp = {Fri, 05 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/HuaKHYYLBL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/KimPMH21, author = {Hayoung Kim and Jongwon Park and Kyushik Min and Kunsoo Huh}, title = {Anomaly Monitoring Framework in Lane Detection With a Generative Adversarial Network}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {22}, number = {3}, pages = {1603--1615}, year = {2021}, url = {https://doi.org/10.1109/TITS.2020.2973398}, doi = {10.1109/TITS.2020.2973398}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/KimPMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmbmc/KimKKLKLJORHPC21, author = {Samhwan Kim and Seongtak Kang and Jinmo Kim and Doyoung Lee and Sanghee Kim and Junghyup Lee and Kyung{-}In Jang and Yongseok Oh and Jong{-}Cheol Rah and Man Seung Huh and Sun Ha Paek and Ji{-}Woong Choi}, title = {Closed-Loop Neuromodulation for Parkinson's Disease: Current State and Future Directions}, journal = {{IEEE} Trans. Mol. Biol. Multi Scale Commun.}, volume = {7}, number = {4}, pages = {209--223}, year = {2021}, url = {https://doi.org/10.1109/TMBMC.2020.3036756}, doi = {10.1109/TMBMC.2020.3036756}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmbmc/KimKKLKLJORHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ChoLLLKRJKYC21, author = {Sanghoon Cho and Seoyoung Lee and Jongha Lee and Donghyeon Lee and Hyoyi Kim and Jong{-}Hyun Ryu and Kilhwan Jeong and Kyu{-}Gyum Kim and Kwon{-}Ha Yoon and Seungryong Cho}, title = {A Novel Low-Dose Dual-Energy Imaging Method for a Fast-Rotating Gantry-Type {CT} Scanner}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {3}, pages = {1007--1020}, year = {2021}, url = {https://doi.org/10.1109/TMI.2020.3044357}, doi = {10.1109/TMI.2020.3044357}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/ChoLLLKRJKYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HoangNLKCKPK21, author = {Manh Cuong Hoang and Kim Tien Nguyen and Viet Ha Le and Jayoung Kim and Eunpyo Choi and Byungjeon Kang and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Independent Electromagnetic Field Control for Practical Approach to Actively Locomotive Wireless Capsule Endoscope}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {51}, number = {5}, pages = {3040--3052}, year = {2021}, url = {https://doi.org/10.1109/TSMC.2019.2917298}, doi = {10.1109/TSMC.2019.2917298}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HoangNLKCKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/ChoKLKBN21, author = {Hyungjun Cho and Han{-}Jong Kim and Jiyeon Lee and Chang{-}Min Kim and Jinseong Bae and Tek{-}Jin Nam}, editor = {Wendy Ju and Lora Oehlberg and Sean Follmer and Sarah E. Fox and Stacey Kuznetsov}, title = {IoTIZER: {A} Versatile Mechanical Hijacking Device for Creating Internet of Old Things}, booktitle = {{DIS} '21: Designing Interactive Systems Conference 2021, Virtual Event, USA, 28 June, July 2, 2021}, pages = {90--103}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461778.3461996}, doi = {10.1145/3461778.3461996}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/ChoKLKBN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YoonKJLHPK21, author = {Sangwoong Yoon and Woo{-}Young Kang and Sungwook Jeon and SeongEun Lee and Changjin Han and Jonghun Park and Eun{-}Sol Kim}, title = {Image-to-Image Retrieval by Learning Similarity between Scene Graphs}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {10718--10726}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i12.17281}, doi = {10.1609/AAAI.V35I12.17281}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YoonKJLHPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/HanKYLCWH21, author = {Jungsu Han and Jeong{-}Heon Kim and Aram Youn and Jinhee Lee and Yunsuh Chun and Jongsoo Woo and James Won{-}Ki Hong}, title = {Cos-CBDC: Design and Implementation of {CBDC} on Cosmos Blockchain}, booktitle = {22nd Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2021, Tainan, Taiwan, September 8-10, 2021}, pages = {303--308}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/APNOMS52696.2021.9562672}, doi = {10.23919/APNOMS52696.2021.9562672}, timestamp = {Wed, 20 Oct 2021 16:44:30 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/HanKYLCWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ParkLLK21, author = {Minje Park and Ju{-}Han Lee and Sang{-}Ho Lee and Jong{-}Ok Kim}, title = {Multi-Band {NIR} Colorization Using Structure-Aware Network}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1682--1686}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689265}, timestamp = {Wed, 09 Feb 2022 09:03:08 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ParkLLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/WooHK21, author = {Sung{-}Min Woo and Jeong{-}Won Ha and Jong{-}Ok Kim}, title = {Super-Resolution Imaging Using a Focus Pixel Sensor}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1698--1702}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689232}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/WooHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/KimKWL21, author = {Jongyeop Kim and Seongsoo Kim and Hayden Wimmer and Hong Liu}, editor = {Jixin Ma and Simon Xu}, title = {A Cryptocurrency Prediction Model Using {LSTM} and {GRU} Algorithms}, booktitle = {6th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2021, Zhuhai, China, September 13-15, 2021}, pages = {37--44}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BCD51206.2021.9581397}, doi = {10.1109/BCD51206.2021.9581397}, timestamp = {Wed, 25 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/KimKWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcd/KimWLK21, author = {Jongyeop Kim and Hayden Wimmer and Hong Liu and Seongsoo Kim}, editor = {Jixin Ma and Simon Xu}, title = {A Streaming Data Collection and Analysis for Cryptocurrency Price Prediction using {LSTM}}, booktitle = {6th {IEEE/ACIS} International Conference on Big Data, Cloud Computing, and Data Science, {BCD} 2021, Zhuhai, China, September 13-15, 2021}, pages = {45--52}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BCD51206.2021.9581491}, doi = {10.1109/BCD51206.2021.9581491}, timestamp = {Wed, 25 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bcd/KimWLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BangKY0C21, author = {Jihwan Bang and Heesu Kim and Youngjoon Yoo and Jung{-}Woo Ha and Jonghyun Choi}, title = {Rainbow Memory: Continual Learning With a Memory of Diverse Samples}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {8218--8227}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Bang\_Rainbow\_Memory\_Continual\_Learning\_With\_a\_Memory\_of\_Diverse\_Samples\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00812}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/BangKY0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkCRLKLY21, author = {Chaewon Park and Ingu Choi and Juhyeong Roh and So Yun Lim and Sung{-}Han Kim and Jongwon Lee and Sungwook Yang}, title = {Evaluation of Applied Force During Nasopharyngeal Swab Sampling Using Handheld Sensorized Instrument}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {2207--2210}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9629916}, doi = {10.1109/EMBC46164.2021.9629916}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/ParkCRLKLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/BaeLJSKJHL21, author = {Jonghyun Bae and Jongsung Lee and Yunho Jin and Sam Son and Shine Kim and Hakbeom Jang and Tae Jun Ham and Jae W. Lee}, editor = {Marcos K. Aguilera and Gala Yadgar}, title = {FlashNeuron: SSD-Enabled Large-Batch Training of Very Deep Neural Networks}, booktitle = {19th {USENIX} Conference on File and Storage Technologies, {FAST} 2021, February 23-25, 2021}, pages = {387--401}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/fast21/presentation/bae}, timestamp = {Mon, 07 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fast/BaeLJSKJHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/KimJSBHL21, author = {Shine Kim and Yunho Jin and Gina Sohn and Jonghyun Bae and Tae Jun Ham and Jae W. Lee}, editor = {Marcos K. Aguilera and Gala Yadgar}, title = {Behemoth: {A} Flash-centric Training Accelerator for Extreme-scale DNNs}, booktitle = {19th {USENIX} Conference on File and Storage Technologies, {FAST} 2021, February 23-25, 2021}, pages = {371--385}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/fast21/presentation/kim}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fast/KimJSBHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ZebRMH0G21, author = {Shah Zeb and Muhammad Ahmad Rathore and Aamir Mahmood and Syed Ali Hassan and JongWon Kim and Mikael Gidlund}, title = {Edge Intelligence in Softwarized 6G: Deep Learning-enabled Network Traffic Predictions}, booktitle = {{IEEE} Globecom 2021 Workshops, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GCWkshps52748.2021.9682131}, doi = {10.1109/GCWKSHPS52748.2021.9682131}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/ZebRMH0G21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/OhKJSBLPKHL21, author = {Young H. Oh and Seonghak Kim and Yunho Jin and Sam Son and Jonghyun Bae and Jongsung Lee and Yeonhong Park and Dong Uk Kim and Tae Jun Ham and Jae W. Lee}, title = {Layerweaver: Maximizing Resource Utilization of Neural Processing Units via Layer-Wise Scheduling}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {584--597}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCA51647.2021.00056}, doi = {10.1109/HPCA51647.2021.00056}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/OhKJSBLPKHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HaYK21, author = {Jeong{-}Won Ha and Jun{-}Sang Yoo and Jong{-}Ok Kim}, title = {Deep Color Constancy Using Temporal Gradient Under Ac Light Sources}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {2355--2359}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9413356}, doi = {10.1109/ICASSP39728.2021.9413356}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HaYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimLHK21, author = {SangYeon Kim and Hyunwoo Lee and Jonghee Han and Joon{-}Ho Kim}, title = {Sig2Sig: Signal Translation Networks to Take the Remains of the Past}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {3620--3624}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9415084}, doi = {10.1109/ICASSP39728.2021.9415084}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimLHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HuangYLKW21, author = {Yangyu Huang and Hao Yang and Chong Li and Jongyoo Kim and Fangyun Wei}, title = {ADNet: Leveraging Error-Bias Towards Normal Direction in Face Alignment}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {3060--3070}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00307}, doi = {10.1109/ICCV48922.2021.00307}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HuangYLKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KimHK21, author = {Nayoung Kim and Seong Jong Ha and Je{-}Won Kang}, title = {Video Question Answering Using Language-Guided Deep Compressed-Domain Video Feature}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {1688--1697}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00173}, doi = {10.1109/ICCV48922.2021.00173}, timestamp = {Fri, 11 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/KimHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/ParkKK21, author = {Jonghyun Park and Hangi Kim and Jongsung Kim}, editor = {Jong Hwan Park and Seung{-}Hyun Seo}, title = {Improved See-In-The-Middle Attacks on {AES}}, booktitle = {Information Security and Cryptology - {ICISC} 2021 - 24th International Conference, Seoul, South Korea, December 1-3, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13218}, pages = {271--279}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-08896-4\_13}, doi = {10.1007/978-3-031-08896-4\_13}, timestamp = {Wed, 27 Jul 2022 22:15:46 +0200}, biburl = {https://dblp.org/rec/conf/icisc/ParkKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/RadfordKHRGASAM21, author = {Alec Radford and Jong Wook Kim and Chris Hallacy and Aditya Ramesh and Gabriel Goh and Sandhini Agarwal and Girish Sastry and Amanda Askell and Pamela Mishkin and Jack Clark and Gretchen Krueger and Ilya Sutskever}, editor = {Marina Meila and Tong Zhang}, title = {Learning Transferable Visual Models From Natural Language Supervision}, booktitle = {Proceedings of the 38th International Conference on Machine Learning, {ICML} 2021, 18-24 July 2021, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {139}, pages = {8748--8763}, publisher = {{PMLR}}, year = {2021}, url = {http://proceedings.mlr.press/v139/radford21a.html}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/RadfordKHRGASAM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiOPKK21, author = {Jaeho Choi and Seunghyeok Oh and Soohyun Park and Jong{-}Kook Kim and Joongheon Kim}, title = {Proper Cost Hamiltonian Design for Combinatorial Optimization Problems: {A} Boolean Function Approach}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {469--472}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9333931}, doi = {10.1109/ICOIN50884.2021.9333931}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ChoiOPKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiLHKHC21, author = {KyungDon Choi and Chae{-}Seok Lee and Byunghoon Han and Youngho Kim and Nam Hyoung Ho and Ho{-}Jong Chang}, title = {Pandemic Simulator: {A} tool to simulate quarantine policy in pandemic}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1513--1515}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620778}, doi = {10.1109/ICTC52510.2021.9620778}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiLHKHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongYKHL21, author = {Jongtae Song and JiWook Youn and Dae{-}Ub Kim and Kyeong{-}Eun Han and Joonki Lee}, title = {Performance Evaluation on Optically Disaggregated Memory Architecture}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {637--639}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621006}, doi = {10.1109/ICTC52510.2021.9621006}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SongYKHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/ArnettMSK21, author = {Marcus Arnett and Saifuddin Mahmud and Redwanul Haque Sourave and Jong{-}Hoon Kim}, editor = {Jong{-}Hoon Kim and Madhusudan Singh and Javed Khan and Uma Shanker Tiwary and Mriganka Sur and Dhananjay Singh}, title = {Smart Trashcan Brothers: Early Childhood Environmental Education Through Green Robotics}, booktitle = {Intelligent Human Computer Interaction - 13th International Conference, {IHCI} 2021, Kent, OH, USA, December 20-22, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13184}, pages = {313--324}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-98404-5\_30}, doi = {10.1007/978-3-030-98404-5\_30}, timestamp = {Wed, 25 Oct 2023 08:25:58 +0200}, biburl = {https://dblp.org/rec/conf/ihci/ArnettMSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/KasibhatlaMSAK21, author = {Raghav Kasibhatla and Saifuddin Mahmud and Redwanul Haque Sourave and Marcus Arnett and Jong{-}Hoon Kim}, editor = {Jong{-}Hoon Kim and Madhusudan Singh and Javed Khan and Uma Shanker Tiwary and Mriganka Sur and Dhananjay Singh}, title = {Design of a Smart Puppet Theatre System for Computational Thinking Education}, booktitle = {Intelligent Human Computer Interaction - 13th International Conference, {IHCI} 2021, Kent, OH, USA, December 20-22, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13184}, pages = {301--312}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-98404-5\_29}, doi = {10.1007/978-3-030-98404-5\_29}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ihci/KasibhatlaMSAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/NguyenMKC21, author = {Ha{-}Trung Nguyen and Ngoc{-}Dau Mai and Jong{-}Jin Kim and Wan{-}Young Chung}, editor = {Jong{-}Hoon Kim and Madhusudan Singh and Javed Khan and Uma Shanker Tiwary and Mriganka Sur and Dhananjay Singh}, title = {A Built-in Concentration Level Prediction Device for Neuro Training System Based on {EEG} Signal}, booktitle = {Intelligent Human Computer Interaction - 13th International Conference, {IHCI} 2021, Kent, OH, USA, December 20-22, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13184}, pages = {568--573}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-98404-5\_52}, doi = {10.1007/978-3-030-98404-5\_52}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ihci/NguyenMKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HyunKCM21, author = {Jongkil Hyun and Junghwan Kim and Cheol{-}Ho Choi and Byungin Moon}, title = {Hardware Architecture of a Haar Classifier Based Face Detection System Using a Skip Scheme}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401114}, doi = {10.1109/ISCAS51556.2021.9401114}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/HyunKCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/AhmadHK21, author = {Zahoor Ahmad and Md Junayed Hasan and Jong{-}Myon Kim}, editor = {Ajith Abraham and Niketa Gandhi and Thomas Hanne and Tzung{-}Pei Hong and Tatiane Nogueira Rios and Weiping Ding}, title = {Centrifugal Pump Fault Diagnosis Using Discriminative Factor-Based Features Selection and K-Nearest Neighbors}, booktitle = {Intelligent Systems Design and Applications - 21st International Conference on Intelligent Systems Design and Applications {(ISDA} 2021) Held During December 13-15, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {418}, pages = {145--153}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96308-8\_13}, doi = {10.1007/978-3-030-96308-8\_13}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isda/AhmadHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isda/AhmadHK21a, author = {Zahoor Ahmad and Md Junayed Hasan and Jong{-}Myon Kim}, editor = {Ajith Abraham and Niketa Gandhi and Thomas Hanne and Tzung{-}Pei Hong and Tatiane Nogueira Rios and Weiping Ding}, title = {Transfer Learning with 2D Vibration Images for Fault Diagnosis of Bearings Under Variable Speed}, booktitle = {Intelligent Systems Design and Applications - 21st International Conference on Intelligent Systems Design and Applications {(ISDA} 2021) Held During December 13-15, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {418}, pages = {154--164}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96308-8\_14}, doi = {10.1007/978-3-030-96308-8\_14}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isda/AhmadHK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungRKLKPJJKPKP21, author = {Sung{-}jin Jung and Jeil Ryu and Wanghyun Kim and Seunghoon Lee and Jongboo Kim and Hyelim Park and Taeyoul Jang and Haedo Jeong and Juhwa Kim and Jeongho Park and Raeyoung Kim and Jeonghoon Park and HeeJae Jo and Whee Jin Kim and Jangbeom Yang and Bongjin Sohn and Yuncheol Han and Inchun Lim and Seoungjae Yoo and Changsoon Park and Dae{-}Geun Jang and Byung{-}Hoon Ko and Jeongwook Lim and Jihon Kim and Kyungho Lee and Jesuk Lee and Yongin Park and Long Yan}, title = {A 400-to-1000nm 24{\(\mu\)} {W} Monolithic {PPG} Sensor with 0.3A/W Spectral Responsivity for Miniature Wearables}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {388--390}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366035}, doi = {10.1109/ISSCC42613.2021.9366035}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungRKLKPJJKPKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimBBPJHKJNPLC21, author = {Dongsu Kim and Jun{-}Suk Bang and Jongbeom Baek and Seungchan Park and Young{-}Ho Jung and Jae{-}Yeol Han and Ik{-}Hwan Kim and Sung{-}Youb Jung and Takahiro Nomiyama and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho}, title = {33.9 {A} Hybrid Switching Supply Modulator Achieving 130MHz Envelope-Tracking Bandwidth and 10W Output Power for 2G/3G/LTE/NR {RF} Power Amplifiers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {476--478}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365986}, doi = {10.1109/ISSCC42613.2021.9365986}, timestamp = {Wed, 10 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimBBPJHKJNPLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonLLKRSOYLKCK21, author = {Young{-}Cheon Kwon and Suk Han Lee and Jaehoon Lee and Sang{-}Hyuk Kwon and Je{-}Min Ryu and Jong{-}Pil Son and Seongil O and Hak{-}soo Yu and Haesuk Lee and Soo Young Kim and Youngmin Cho and Jin Guk Kim and Jongyoon Choi and Hyunsung Shin and Jin Kim and BengSeng Phuah and Hyoungmin Kim and Myeong Jun Song and Ahn Choi and Daeho Kim and Sooyoung Kim and Eun{-}Bong Kim and David Wang and Shinhaeng Kang and Yuhwan Ro and Seungwoo Seo and Joon{-}Ho Song and Jaeyoun Youn and Kyomin Sohn and Nam Sung Kim}, title = {25.4 {A} 20nm 6GB Function-In-Memory DRAM, Based on {HBM2} with a 1.2TFLOPS Programmable Computing Unit Using Bank-Level Parallelism, for Machine Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {350--352}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365862}, doi = {10.1109/ISSCC42613.2021.9365862}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonLLKRSOYLKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NohSCKPKL21, author = {Young{-}Seok Noh and Jeong{-}Il Seo and Won{-}Jong Choi and Ji{-}Hwan Kim and Hoang Van Phuoc and Hyun{-}Sik Kim and Sang{-}Gug Lee}, title = {17.6 {A} Reconfigurable {DC-DC} Converter for Maximum {TEG} Energy Harvesting in a Battery-Powered Wireless Sensor Node}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {266--268}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365811}, doi = {10.1109/ISSCC42613.2021.9365811}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NohSCKPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPCLLKLKJIPK21, author = {Jongeun Park and Sungbong Park and Kwansik Cho and Taehun Lee and Changkyu Lee and Donghyun Kim and Beomsuk Lee and SungIn Kim and Ho{-}Chul Ji and Dongmo Im and Haeyong Park and Jinyoung Kim and Jungho Cha and Tae{-}Hoon Kim and Insung Joe and Soojin Hong and Chongkwang Chang and Jingyun Kim and WooGwan Shim and Taehee Kim and Jamie Lee and Donghyuk Park and Euiyeol Kim and Howoo Park and Jaekyu Lee and Yitae Kim and JungChak Ahn and Youngki Chung and ChungSam Jun and Hyunchul Kim and Chang{-}Rok Moon and Ho{-}Kyu Kang}, title = {7.9 1/2.74-inch 32Mpixel-Prototype {CMOS} Image Sensor with 0.64{\(\mu\)} m Unit Pixels Separated by Full-Depth Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {122--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365751}, doi = {10.1109/ISSCC42613.2021.9365751}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPCLLKLKJIPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRKCKLBKKBJK21, author = {Taejoong Song and Woojin Rim and Hoonki Kim and Keun Hwi Cho and Taeyeong Kim and Taejung Lee and Geumjong Bae and Dong{-}Won Kim and S. D. Kwon and Sanghoon Baek and Jonghoon Jung and Jongwook Kye and Hakchul Jung and Hyungtae Kim and Soon{-}Moon Jung and Jaehong Park}, title = {24.3 {A} 3nm Gate-All-Around {SRAM} Featuring an Adaptive Dual-BL and an Adaptive Cell-Power Assist Circuit}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {338--340}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365988}, doi = {10.1109/ISSCC42613.2021.9365988}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRKCKLBKKBJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/med/ParkKJ21, author = {Jongho Park and Jong{-}Han Kim and Yeondeuk Jung}, title = {Binary Classification Fault Diagnosis for Octocopter Using Deep Neural Network}, booktitle = {29th Mediterranean Conference on Control and Automation, {MED} 2021, Bari, Italy, June 22-25, 2021}, pages = {121--125}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MED51440.2021.9480214}, doi = {10.1109/MED51440.2021.9480214}, timestamp = {Sun, 26 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/med/ParkKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/NamKH21, author = {Da{-}Yoon Nam and Hae{-}Kwang Kim and Jong{-}Ki Han}, title = {Efficient View Synthesis Algorithm Using View Selection for Generating 6DoF Images}, booktitle = {23rd International Workshop on Multimedia Signal Processing, {MMSP} 2021, Tampere, Finland, October 6-8, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MMSP53017.2021.9733536}, doi = {10.1109/MMSP53017.2021.9733536}, timestamp = {Sat, 19 Mar 2022 22:55:53 +0100}, biburl = {https://dblp.org/rec/conf/mmsp/NamKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GurtlerWSBKBWWRAWMKBKQTMKRZCSM21, author = {Nico G{\"{u}}rtler and Felix Widmaier and Cansu Sancaktar and Sebastian Blaes and Pavel Kolev and Stefan Bauer and Manuel W{\"{u}}thrich and Markus Wulfmeier and Martin A. Riedmiller and Arthur Allshire and Qiang Wang and Robert McCarthy and Hangyeol Kim and Jongchan Baek and Wookyong Kwon and Shanliang Qian and Yasunori Toshimitsu and Mike Yan Michelis and Amirhossein Kazemipour and Arman Raayatsanati and Hehui Zheng and Barnabas Gavin Cangan and Bernhard Sch{\"{o}}lkopf and Georg Martius}, editor = {Marco Ciccone and Gustavo Stolovitzky and Jacob Albrecht}, title = {Real Robot Challenge 2022: Learning Dexterous Manipulation from Offline Data in the Real World}, booktitle = {NeurIPS 2022 Competition Track, November 28 - December 9, 2022, Online}, series = {Proceedings of Machine Learning Research}, volume = {220}, pages = {133--150}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v220/gurtler22a.html}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/GurtlerWSBKBWWRAWMKBKQTMKRZCSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HambroMBBCGJDKK21, author = {Eric Hambro and Sharada P. Mohanty and Dmitrii Babaev and Minwoo Byeon and Dipam Chakraborty and Edward Grefenstette and Minqi Jiang and DaeJin Jo and Anssi Kanervisto and Jongmin Kim and Sungwoong Kim and Robert Kirk and Vitaly Kurin and Heinrich K{\"{u}}ttler and Taehwon Kwon and Donghoon Lee and Vegard Mella and Nantas Nardelli and Ivan Nazarov and Nikita Ovsov and Jack Parker{-}Holder and Roberta Raileanu and Karolis Ramanauskas and Tim Rockt{\"{a}}schel and Danielle Rothermel and Mikayel Samvelyan and Dmitry Sorokin and Maciej Sypetkowski and Michal Sypetkowski}, editor = {Douwe Kiela and Marco Ciccone and Barbara Caputo}, title = {Insights From the NeurIPS 2021 NetHack Challenge}, booktitle = {NeurIPS 2021 Competitions and Demonstrations Track, 6-14 December 2021, Online}, series = {Proceedings of Machine Learning Research}, volume = {176}, pages = {41--52}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v176/hambro22a.html}, timestamp = {Sun, 22 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/HambroMBBCGJDKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimHKPTJPHQYH21, author = {Dong U. Kim and Myung S. Hong and Do Y. Kim and Young J. Park and Alain Y. Takabayashi and Youngjae Jeong and Jongwoo Park and Seungjun Han and Niels Quack and Kyoungsik Yu and Sangyoon Han}, title = {8{\texttimes}8 Programmable Multi-Beam Pattern Projection Based on Multicast Silicon Photonic {MEMS} Switches}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489753}, timestamp = {Tue, 03 Aug 2021 11:38:19 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimHKPTJPHQYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/HanKES21, author = {Jongbeen Han and Heemin Kim and Hyeonsang Eom and Yongseok Son}, editor = {Chih{-}Cheng Hung and Jiman Hong and Alessio Bechini and Eunjee Song}, title = {A decentralized document management system using blockchain and secret sharing}, booktitle = {{SAC} '21: The 36th {ACM/SIGAPP} Symposium on Applied Computing, Virtual Event, Republic of Korea, March 22-26, 2021}, pages = {305--308}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412841.3442077}, doi = {10.1145/3412841.3442077}, timestamp = {Mon, 03 May 2021 14:34:56 +0200}, biburl = {https://dblp.org/rec/conf/sac/HanKES21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HanCKL21, author = {Jong{-}Wook Han and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee}, title = {Edge Attention Network for Image Deblurring and Super-Resolution}, booktitle = {2021 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2021, Melbourne, Australia, October 17-20, 2021}, pages = {2401--2406}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SMC52423.2021.9658863}, doi = {10.1109/SMC52423.2021.9658863}, timestamp = {Tue, 11 Jan 2022 08:26:14 +0100}, biburl = {https://dblp.org/rec/conf/smc/HanCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChungKYHPLYLLKL21, author = {Taeyoung Chung and Sooa Kim and Jehyung Yoon and Heeseok Han and Kyung Soo Park and Hokyu Lee and Ho{-}Young Yoon and Sun{-}Kyu Lee and Jongyoon Lim and Yongjin Kwon and Jungbong Lee and Sung{-}Ung Kwak}, title = {A 10A/{\(\mu\)}s Fast Transient {AOT} Voltage Regulator on {DDR5} {DIMM} with Dithered Pseudo-Constant Switching Frequency Achieving -6dB Harmonic Suppression}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492332}, doi = {10.23919/VLSICIRCUITS52068.2021.9492332}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChungKYHPLYLLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/PaekKHCL21, author = {Ji{-}Seon Paek and Dongsu Kim and Jae{-}Yeol Han and Young{-}Hwan Choo and Jongwoo Lee}, title = {Efficient {RF-PA} Two-Chip Supply Modulator Architecture for 4G {LTE} and 5G {NR} Dual-Connectivity {RF} Front-End}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492518}, doi = {10.23919/VLSICIRCUITS52068.2021.9492518}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/PaekKHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkKKKKSKH21, author = {Kwonyeol Park and Hyungjong Kim and Daecheol Kwon and Haejoon Kim and Hwanmin Kang and Min{-}Ho Shin and Jonghan Kim and Woonhaing Hur}, title = {The Reinforcement Learning based Interference Whitening Scheme for 5G}, booktitle = {93rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2021, Helsinki, Finland, April 25-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Spring51267.2021.9448740}, doi = {10.1109/VTC2021-SPRING51267.2021.9448740}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkKKKKSKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-09895, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Spatio-temporal Data Augmentation for Visual Surveillance}, journal = {CoRR}, volume = {abs/2101.09895}, year = {2021}, url = {https://arxiv.org/abs/2101.09895}, eprinttype = {arXiv}, eprint = {2101.09895}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-09895.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-00020, author = {Alec Radford and Jong Wook Kim and Chris Hallacy and Aditya Ramesh and Gabriel Goh and Sandhini Agarwal and Girish Sastry and Amanda Askell and Pamela Mishkin and Jack Clark and Gretchen Krueger and Ilya Sutskever}, title = {Learning Transferable Visual Models From Natural Language Supervision}, journal = {CoRR}, volume = {abs/2103.00020}, year = {2021}, url = {https://arxiv.org/abs/2103.00020}, eprinttype = {arXiv}, eprint = {2103.00020}, timestamp = {Thu, 04 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-00020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-17230, author = {Jihwan Bang and Heesu Kim and Young Joon Yoo and Jung{-}Woo Ha and Jonghyun Choi}, title = {Rainbow Memory: Continual Learning with a Memory of Diverse Samples}, journal = {CoRR}, volume = {abs/2103.17230}, year = {2021}, url = {https://arxiv.org/abs/2103.17230}, eprinttype = {arXiv}, eprint = {2103.17230}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-17230.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-12676, author = {Zhaoxia Deng and Jongsoo Park and Ping Tak Peter Tang and Haixin Liu and Jie Yang and Hector Yuen and Jianyu Huang and Daya Shanker Khudia and Xiaohan Wei and Ellie Wen and Dhruv Choudhary and Raghuraman Krishnamoorthi and Carole{-}Jean Wu and Nadathur Satish and Changkyu Kim and Maxim Naumov and Sam Naghshineh and Mikhail Smelyanskiy}, title = {Low-Precision Hardware Architectures Meet Recommendation Model Inference at Scale}, journal = {CoRR}, volume = {abs/2105.12676}, year = {2021}, url = {https://arxiv.org/abs/2105.12676}, eprinttype = {arXiv}, eprint = {2105.12676}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-12676.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-00844, author = {Younsik Kim and Dongjin Oh and Soonsang Huh and Dongjoon Song and Sunbeom Jeong and Junyoung Kwon and Minsoo Kim and Donghan Kim and Hanyoung Ryu and Jongkeun Jung and Wonshik Kyung and Byungmin Sohn and Suyoung Lee and Jounghoon Hyun and Yeonghoon Lee and Yeongkwan Kim and Changyoung Kim}, title = {Deep learning-based statistical noise reduction for multidimensional spectral data}, journal = {CoRR}, volume = {abs/2107.00844}, year = {2021}, url = {https://arxiv.org/abs/2107.00844}, eprinttype = {arXiv}, eprint = {2107.00844}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-00844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-04140, author = {Michael J. Anderson and Benny Chen and Stephen Chen and Summer Deng and Jordan Fix and Michael Gschwind and Aravind Kalaiah and Changkyu Kim and Jaewon Lee and Jason Liang and Haixin Liu and Yinghai Lu and Jack Montgomery and Arun Moorthy and Nadathur Satish and Sam Naghshineh and Avinash Nayak and Jongsoo Park and Chris Petersen and Martin Schatz and Narayanan Sundaram and Bangsheng Tang and Peter Tang and Amy Yang and Jiecao Yu and Hector Yuen and Ying Zhang and Aravind Anbudurai and Vandana Balan and Harsha Bojja and Joe Boyd and Matthew Breitbach and Claudio Caldato and Anna Calvo and Garret Catron and Sneh Chandwani and Panos Christeas and Brad Cottel and Brian Coutinho and Arun Dalli and Abhishek Dhanotia and Oniel Duncan and Roman Dzhabarov and Simon Elmir and Chunli Fu and Wenyin Fu and Michael Fulthorp and Adi Gangidi and Nick Gibson and Sean Gordon and Beatriz Padilla Hernandez and Daniel Ho and Yu{-}Cheng Huang and Olof Johansson and Shishir Juluri and et al.}, title = {First-Generation Inference Accelerator Deployment at Facebook}, journal = {CoRR}, volume = {abs/2107.04140}, year = {2021}, url = {https://arxiv.org/abs/2107.04140}, eprinttype = {arXiv}, eprint = {2107.04140}, timestamp = {Tue, 20 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-04140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-00332, author = {Shah Zeb and Muhammad Ahmad Rathore and Aamir Mahmood and Syed Ali Hassan and JongWon Kim and Mikael Gidlund}, title = {Edge Intelligence in Softwarized 6G: Deep Learning-enabled Network Traffic Predictions}, journal = {CoRR}, volume = {abs/2108.00332}, year = {2021}, url = {https://arxiv.org/abs/2108.00332}, eprinttype = {arXiv}, eprint = {2108.00332}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-00332.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-13922, author = {Joongun Park and Seunghyo Kang and Sanghyeon Lee and Taehoon Kim and Jongse Park and Youngjin Kwon and Jaehyuk Huh}, title = {Stockade: Hardware Hardening for Distributed Trusted Sandboxes}, journal = {CoRR}, volume = {abs/2108.13922}, year = {2021}, url = {https://arxiv.org/abs/2108.13922}, eprinttype = {arXiv}, eprint = {2108.13922}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-13922.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-01903, author = {Mitchell Wortsman and Gabriel Ilharco and Mike Li and Jong Wook Kim and Hannaneh Hajishirzi and Ali Farhadi and Hongseok Namkoong and Ludwig Schmidt}, title = {Robust fine-tuning of zero-shot models}, journal = {CoRR}, volume = {abs/2109.01903}, year = {2021}, url = {https://arxiv.org/abs/2109.01903}, eprinttype = {arXiv}, eprint = {2109.01903}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-01903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-05721, author = {Yangyu Huang and Hao Yang and Chong Li and Jongyoo Kim and Fangyun Wei}, title = {ADNet: Leveraging Error-Bias Towards Normal Direction in Face Alignment}, journal = {CoRR}, volume = {abs/2109.05721}, year = {2021}, url = {https://arxiv.org/abs/2109.05721}, eprinttype = {arXiv}, eprint = {2109.05721}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-05721.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-14220, author = {Sajad Hassan and Hongkyoon Byun and Jonghyuk Kim}, title = {Iterative Smoothing and Outlier Detection for Underwater Navigation}, journal = {CoRR}, volume = {abs/2109.14220}, year = {2021}, url = {https://arxiv.org/abs/2109.14220}, eprinttype = {arXiv}, eprint = {2109.14220}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-14220.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-06476, author = {Minchul Shin and Jonghwan Mun and Kyoung{-}Woon On and Woo{-}Young Kang and Gunsoo Han and Eun{-}Sol Kim}, title = {Winning the ICCV'2021 {VALUE} Challenge: Task-aware Ensemble and Transfer Learning with Visual Concepts}, journal = {CoRR}, volume = {abs/2110.06476}, year = {2021}, url = {https://arxiv.org/abs/2110.06476}, eprinttype = {arXiv}, eprint = {2110.06476}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-06476.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-10031, author = {Hyunseo Koh and Dahyun Kim and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on Class Incremental Blurry Task Configuration with Anytime Inference}, journal = {CoRR}, volume = {abs/2110.10031}, year = {2021}, url = {https://arxiv.org/abs/2110.10031}, eprinttype = {arXiv}, eprint = {2110.10031}, timestamp = {Mon, 25 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-10031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-07113, author = {Junmo Lee and Joon Hwang and Youngwoon Cho and Sangbum Kim and Jongho Lee}, title = {Novel Weight Update Scheme for Hardware Neural Network based on Synaptic Devices Having Abrupt {LTP} or {LTD} Characteristics}, journal = {CoRR}, volume = {abs/2111.07113}, year = {2021}, url = {https://arxiv.org/abs/2111.07113}, eprinttype = {arXiv}, eprint = {2111.07113}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-07113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-05149, author = {Boah Kim and Inhwa Han and Jong Chul Ye}, title = {DiffuseMorph: Unsupervised Deformable Image Registration Along Continuous Trajectory Using Diffusion Models}, journal = {CoRR}, volume = {abs/2112.05149}, year = {2021}, url = {https://arxiv.org/abs/2112.05149}, eprinttype = {arXiv}, eprint = {2112.05149}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-05149.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BaePHKKYC20, author = {Sunghyun Bae and Jongwoo Park and Seungjun Han and Byung Gon Kim and Minsik Kim and Kyoungsik Yu and Yun Chur Chung}, title = {A Cost-Effective 2-Channel {OTDM} System Implemented With Sinusoidally Modulated Light Source}, journal = {{IEEE} Access}, volume = {8}, pages = {157504--157509}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3016969}, doi = {10.1109/ACCESS.2020.3016969}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BaePHKKYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanHK20, author = {Jungsu Han and Yujin Hong and Jongwon Kim}, title = {Refining Microservices Placement Employing Workload Profiling Over Multiple Kubernetes Clusters}, journal = {{IEEE} Access}, volume = {8}, pages = {192543--192556}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033019}, doi = {10.1109/ACCESS.2020.3033019}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HanHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanKKY20, author = {Seong{-}Tae Han and Dokyun Kim and Jongsoo Kim and Jong{-}Ryul Yang}, title = {Noise Suppression and Precise Phase Control of a Commercial S-Band Magnetron}, journal = {{IEEE} Access}, volume = {8}, pages = {145881--145886}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3013651}, doi = {10.1109/ACCESS.2020.3013651}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanKKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangKYC20, author = {Hyeondeok Jang and Junsung Kim and Wonsuk Yoo and Jong{-}Moon Chung}, title = {{URLLC} Mode Optimal Resource Allocation to Support {HARQ} in 5G Wireless Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {126797--126804}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3007902}, doi = {10.1109/ACCESS.2020.3007902}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JangKYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCY20a, author = {Ha{-}Ryung Kim and Jiasi Chen and Jongwon Yoon}, title = {Joint User Clustering and Beamforming in Non-Orthogonal Multiple Access Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {111355--111367}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3002774}, doi = {10.1109/ACCESS.2020.3002774}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimCY20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH20d, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Foreground Objects Detection Using a Fully Convolutional Network With a Background Model Image and Multiple Original Images}, journal = {{IEEE} Access}, volume = {8}, pages = {159864--159878}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3020818}, doi = {10.1109/ACCESS.2020.3020818}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimH20d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimH20e, author = {Jae{-}Yeul Kim and Jong{-}Eun Ha}, title = {Extrinsic Calibration of a Camera and a 2D LiDAR Using a Dummy Camera With {IR} Cut Filter Removed}, journal = {{IEEE} Access}, volume = {8}, pages = {183071--183079}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3029267}, doi = {10.1109/ACCESS.2020.3029267}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimH20e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHB20, author = {Byeongjoon Kim and Minah Han and Jongduk Baek}, title = {A Convolutional Neural Network-Based Anthropomorphic Model Observer for Signal Detection in Breast {CT} Images Without Human-Labeled Data}, journal = {{IEEE} Access}, volume = {8}, pages = {162122--162131}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3021125}, doi = {10.1109/ACCESS.2020.3021125}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHK20, author = {Jonghyun Kim and Jaeduk Han and Moon Gi Kang}, title = {Multi-Frame Depth Super-Resolution for ToF Sensor With Total Variation Regularized {L1} Function}, journal = {{IEEE} Access}, volume = {8}, pages = {165810--165826}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3022910}, doi = {10.1109/ACCESS.2020.3022910}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJKPJHKY20, author = {Sunuwe Kim and Soo{-}Ho Jo and Wongon Kim and Jongmin Park and Jingyo Jeong and Yeongmin Han and Daeil Kim and Byeng Dong Youn}, title = {A Semi-Supervised Autoencoder With an Auxiliary Task {(SAAT)} for Power Transformer Fault Diagnosis Using Dissolved Gas Analysis}, journal = {{IEEE} Access}, volume = {8}, pages = {178295--178310}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3027830}, doi = {10.1109/ACCESS.2020.3027830}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimJKPJHKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimY20d, author = {Jong Han Kim and Sang Won Yoon}, title = {Dual Deep Neural Network Based Adaptive Filter for Estimating Absolute Longitudinal Speed of Vehicles}, journal = {{IEEE} Access}, volume = {8}, pages = {214616--214624}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3040733}, doi = {10.1109/ACCESS.2020.3040733}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimY20d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YousefianSMTKMJ20, author = {Peyman Yousefian and Sungtae Shin and Azin Mousavi and Ali Tivay and Chang{-}Sei Kim and Ramakrishna Mukkamala and Dae{-}Geun Jang and Byung Hoon Ko and Jongwook Lee and Ui{-}Kun Kwon and Youn Ho Kim and Jin{-}Oh Hahn}, title = {Pulse Transit Time-Pulse Wave Analysis Fusion Based on Wearable Wrist Ballistocardiogram for Cuff-Less Blood Pressure Trend Tracking}, journal = {{IEEE} Access}, volume = {8}, pages = {138077--138087}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3012384}, doi = {10.1109/ACCESS.2020.3012384}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YousefianSMTKMJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/KimPHKKS20, author = {Jong{-}Heon Kim and Su{-}Hyeong Park and Jin Han and Pan{-}Woo Ko and Dongseop Kwon and Kyoungho Suk}, title = {Gliome database: a comprehensive web-based tool to access and analyze glia secretome data}, journal = {Database J. Biol. Databases Curation}, volume = {2020}, year = {2020}, url = {https://doi.org/10.1093/database/baaa057}, doi = {10.1093/DATABASE/BAAA057}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biodb/KimPHKKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HaselimashhadiM20, author = {Hamed Haselimashhadi and Jeremy C. Mason and Violeta Mu{\~{n}}oz{-}Fuentes and Federico L{\'{o}}pez{-}G{\'{o}}mez and Kolawole O. Babalola and Elif F. Acar and Vivek Kumar and Jacqui White and Ann M. Flenniken and Ruairidh King and Ewan Straiton and John Richard Seavitt and Angelina Gaspero and Arturo Garza and Audrey E. Christianson and Chih{-}Wei Hsu and Corey L. Reynolds and Denise G. Lanza and Isabel Lorenzo and Jennie R. Green and Juan J. Gallegos and Ritu Bohat and Rodney C. Samaco and Surabi Veeraragavan and Jong Kyoung Kim and Gregor Miller and Helmut Fuchs and Lillian Garrett and Lore Becker and Yeon Kyung Kang and David Clary and Soo Young Cho and Masaru Tamura and Nobuhiko Tanaka and Kyung Dong Soo and Alexandr Bezginov and Ghina Bou About and Marie{-}France Champy and Laurent Vasseur and Sophie Leblanc and Hamid Meziane and Mohammed Selloum and Patrick T. Reilly and Nadine Spielmann and Holger Maier and Val{\'{e}}rie Gailus{-}Durner and Tania Sorg and Hiroshi Masuya and Yuichi Obata and Jason D. Heaney and Mary E. Dickinson and Wolfgang Wurst and Glauco P. Tocchini{-}Valentini and Kevin C. Kent Lloyd and Colin McKerlie and Je Kyung Seong and Yann Herault and Martin Hrab{\'{e}} de Angelis and Steve D. M. Brown and Damian Smedley and Paul Flicek and Ann{-}Marie Mallon and Helen E. Parkinson and Terrence F. Meehan}, title = {Soft windowing application to improve analysis of high-throughput phenotyping data}, journal = {Bioinform.}, volume = {36}, number = {5}, pages = {1492--1500}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btz744}, doi = {10.1093/BIOINFORMATICS/BTZ744}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HaselimashhadiM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ChoeKA20, author = {Wonkyo Choe and Jonghyeon Kim and Jeongseob Ahn}, title = {A Study of Memory Placement on Hardware-Assisted Tiered Memory Systems}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {19}, number = {2}, pages = {122--125}, year = {2020}, url = {https://doi.org/10.1109/LCA.2020.3015613}, doi = {10.1109/LCA.2020.3015613}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/ChoeKA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/ByunKLL20, author = {Ha{-}Eun Byun and Boeun Kim and Jongkoo Lim and Jay H. Lee}, title = {Multi-objective optimization of operation of lignocellulosic acetone-butanol-ethanol fermentation with ex situ butanol recovery {(ESBR)}}, journal = {Comput. Chem. Eng.}, volume = {140}, pages = {106915}, year = {2020}, url = {https://doi.org/10.1016/j.compchemeng.2020.106915}, doi = {10.1016/J.COMPCHEMENG.2020.106915}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/ByunKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/MaKL20, author = {Haoxiang Ma and Jong Min Kim and Eunkyung Lee}, title = {A 2020 perspective on "Analyzing dynamic review manipulation and its impact on movie box office revenue"}, journal = {Electron. Commer. Res. Appl.}, volume = {41}, pages = {100950}, year = {2020}, url = {https://doi.org/10.1016/j.elerap.2020.100950}, doi = {10.1016/J.ELERAP.2020.100950}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecra/MaKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijecommerce/KimHJ20, author = {Jong Min Kim and Jeongsoo Han and Mina Jun}, title = {Differences in Mobile and Nonmobile Reviews: The Role of Perceived Costs in Review-Posting}, journal = {Int. J. Electron. Commer.}, volume = {24}, number = {4}, pages = {450--473}, year = {2020}, url = {https://doi.org/10.1080/10864415.2020.1806468}, doi = {10.1080/10864415.2020.1806468}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijecommerce/KimHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/KimHJ20, author = {Meen Jong Kim and Hwan Hwangbo and Yong Gu Ji}, title = {Comparing Flat and Edge-Screen Smartphones Operated on a One-Hand-Only Basis: {A} Video Observation in Laboratory Settings}, journal = {Int. J. Hum. Comput. Interact.}, volume = {36}, number = {18}, pages = {1756--1767}, year = {2020}, url = {https://doi.org/10.1080/10447318.2020.1785153}, doi = {10.1080/10447318.2020.1785153}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhci/KimHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsinnov/DonziaKL20, author = {Symphorien Karl Yoki Donzia and Haeng{-}Kon Kim and Jong{-}Halk Lee}, title = {A Smart Security Drones for Farms Using Software Architecture}, journal = {Int. J. Softw. Innov.}, volume = {8}, number = {4}, pages = {40--49}, year = {2020}, url = {https://doi.org/10.4018/IJSI.2020100103}, doi = {10.4018/IJSI.2020100103}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsinnov/DonziaKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/HanCKKSK20, author = {Jungwook Han and Yonghoon Cho and Jonghwi Kim and Jinwhan Kim and Namsun Son and Sun Young Kim}, title = {Autonomous collision detection and avoidance for {ARAGON} {USV:} Development and field tests}, journal = {J. Field Robotics}, volume = {37}, number = {6}, pages = {987--1002}, year = {2020}, url = {https://doi.org/10.1002/rob.21935}, doi = {10.1002/ROB.21935}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/HanCKKSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/HanKPKLLC20, author = {Mun Han and Byungmok Kim and Jang Woo Park and Eunji Kim and Jongmin Lee and Hui Joong Lee and Yongmin Chang}, title = {The Neural Response of Deep Brain Structures to Odorant Stimulations: {A} Manganese-Enhanced {MRI} Study}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {3}, pages = {775--781}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.2932}, doi = {10.1166/JMIHI.2020.2932}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/HanKPKLLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/KimLSLKLSOLJLHA20, author = {Hyungtai Kim and Minhee Lee and Min Kyun Sohn and Jongmin Lee and Deog Young Kim and Sam{-}Gyu Lee and Yong{-}Il Shin and Gyung{-}Jae Oh and Yang{-}Soo Lee and Cheol Min Joo and So Young Lee and Junhee Han and Jeonghoon Ahn and Won Hyuk Chang and Ji Yoo Choi and Sung Hyun Kang and Dong Han Lee and Young Taek Kim and Mun{-}Taek Choi and Yun{-}Hee Kim}, title = {Simultaneous Clustering and Classification of Function Recovery Patterns of Ischemic Stroke}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1401--1407}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3061}, doi = {10.1166/JMIHI.2020.3061}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/KimLSLKLSOLJLHA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ParkKSHLLJLK20, author = {Hyun Sang Park and Kwang il Kim and Jae Young Soh and Young Ho Hyun and Bang Eun Lee and Jong Hwa Lee and Jung Gwon Jo and Han Chae Lee and Hwa Sun Kim}, title = {Development and Operation of a Video Teleconsultation System Using Integrated Medical Equipment Gateway: a National Project for Workers in Underserved Areas}, journal = {J. Medical Syst.}, volume = {44}, number = {11}, pages = {194}, year = {2020}, url = {https://doi.org/10.1007/s10916-020-01664-w}, doi = {10.1007/S10916-020-01664-W}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/ParkKSHLLJLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmui/JeongKCK20, author = {Hayoung Jeong and Taeho Kang and Jiwon Choi and Jong Kim}, title = {A comparative assessment of Wi-Fi and acoustic signal-based {HCI} methods on the practicality}, journal = {J. Multimodal User Interfaces}, volume = {14}, number = {1}, pages = {123--137}, year = {2020}, url = {https://doi.org/10.1007/s12193-019-00315-w}, doi = {10.1007/S12193-019-00315-W}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmui/JeongKCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeonBKLKC20, author = {Duhyun Jeon and Jong{-}Hak Baek and Yong{-}Duck Kim and Jaeseong Lee and Dong Kyue Kim and Byong{-}Deok Choi}, title = {A Physical Unclonable Function With Bit Error Rate {\texttimes} 10\({}^{\mbox{-8}}\) Based on Contact Formation Probability Without Error Correction Code}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {3}, pages = {805--816}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2951415}, doi = {10.1109/JSSC.2019.2951415}, timestamp = {Fri, 19 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JeonBKLKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeHLLKKKHJNLCK20, author = {Jongsoo Lee and Jae{-}Yeol Han and Chilun Lo and Jongmi Lee and Wan Kim and Seungjin Kim and Byoungjoong Kang and Juyoung Han and Sangdon Jung and Takahiro Nomiyama and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {NB-IoT and {GNSS} All-In-One System-On-Chip Integrating {RF} Transceiver, 23-dBm {CMOS} Power Amplifier, Power Management Unit, and Clock Management System for Low Cost Solution}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {12}, pages = {3400--3413}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3012742}, doi = {10.1109/JSSC.2020.3012742}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeHLLKKKHJNLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoJKKR20, author = {Min{-}Jae Seo and Dong{-}Hwan Jin and Ye{-}Dam Kim and Jong{-}Pal Kim and Seung{-}Tak Ryu}, title = {A Single-Supply CDAC-Based Buffer-Embedding {SAR} {ADC} With Skip-Reset Scheme Having Inherent Chopping Capability}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2660--2669}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3006450}, doi = {10.1109/JSSC.2020.3006450}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SeoJKKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/KimJK20, author = {Seong Uk Kim and Hanyoung Jang and Jongmin Kim}, title = {A variational U-Net for motion retargeting}, journal = {Comput. Animat. Virtual Worlds}, volume = {31}, number = {4-5}, year = {2020}, url = {https://doi.org/10.1002/cav.1947}, doi = {10.1002/CAV.1947}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvca/KimJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/KimSKK20, author = {Jongmin Kim and Yeongho Seol and Hoemin Kim and Taesoo Kwon}, title = {Interactive character posing with efficient collision handling}, journal = {Comput. Animat. Virtual Worlds}, volume = {31}, number = {3}, year = {2020}, url = {https://doi.org/10.1002/cav.1923}, doi = {10.1002/CAV.1923}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvca/KimSKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimPP20, author = {Jaeyoung Kim and Hanhoon Park and Jong{-}Il Park}, title = {CNN-based image steganalysis using additional data embedding}, journal = {Multim. Tools Appl.}, volume = {79}, number = {1-2}, pages = {1355--1372}, year = {2020}, url = {https://doi.org/10.1007/s11042-019-08251-3}, doi = {10.1007/S11042-019-08251-3}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/VuKJL20, author = {Hanh Vu and Hyun{-}Chul Kim and Minyoung Jung and Jong{-}Hwan Lee}, title = {fMRI volume classification using a 3D convolutional neural network robust to shifted and scaled neuronal activations}, journal = {NeuroImage}, volume = {223}, pages = {117328}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.117328}, doi = {10.1016/J.NEUROIMAGE.2020.117328}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/VuKJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/ParkCKWSLYKC20, author = {Ji Hwan Park and Han Eol Cho and Jong Hun Kim and Melanie M. Wall and Yaakov Stern and Hyunsun Lim and Shinjae Yoo and Hyoung Seop Kim and Jiook Cha}, title = {Machine learning prediction of incidence of Alzheimer's disease using large-scale administrative health data}, journal = {npj Digit. Medicine}, volume = {3}, year = {2020}, url = {https://doi.org/10.1038/s41746-020-0256-0}, doi = {10.1038/S41746-020-0256-0}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/ParkCKWSLYKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/KimPCKK20, author = {Hangi Kim and Myungseo Park and Jaehyung Cho and Jihun Kim and Jongsung Kim}, title = {Weaknesses of some lightweight blockciphers suitable for IoT systems and their applications in hash modes}, journal = {Peer-to-Peer Netw. Appl.}, volume = {13}, number = {2}, pages = {489--513}, year = {2020}, url = {https://doi.org/10.1007/s12083-019-00734-2}, doi = {10.1007/S12083-019-00734-2}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/KimPCKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimJDPP20, author = {Uikyum Kim and Heeyeon Jeong and Hyunmin Do and Jongwoo Park and Chanhun Park}, title = {Six-Axis Force/Torque Fingertip Sensor for an Anthropomorphic Robot Hand}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {5}, number = {4}, pages = {5566--5572}, year = {2020}, url = {https://doi.org/10.1109/LRA.2020.3009072}, doi = {10.1109/LRA.2020.3009072}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/KimJDPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimCKJH20, author = {Bu{-}Yo Kim and Joo Wan Cha and A.{-}Reum Ko and Woonseon Jung and Jong{-}Chul Ha}, title = {Analysis of the Occurrence Frequency of Seedable Clouds on the Korean Peninsula for Precipitation Enhancement Experiments}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1487}, year = {2020}, url = {https://doi.org/10.3390/rs12091487}, doi = {10.3390/RS12091487}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimCKJH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ShawonKHJKK20, author = {Ashifur Rahman Shawon and Jonghan Ko and Bokeun Ha and Seungtaek Jeong and Dong Kwan Kim and Han{-}Yong Kim}, title = {Assessment of a Proximal Sensing-integrated Crop Model for Simulation of Soybean Growth and Yield}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {410}, year = {2020}, url = {https://doi.org/10.3390/rs12030410}, doi = {10.3390/RS12030410}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ShawonKHJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/GoJYHKKNJKSKNSJ20, author = {Gwangjun Go and Sin{-}Gu Jeong and Ami Yoo and Jiwon Han and Byungjeon Kang and Seokjae Kim and Kim Tien Nguyen and Zhen Jin and Chang{-}Sei Kim and Yu Ri Seo and Ju Yeon Kang and Ju Yong Na and Eun Kyoo Song and Yongyeon Jeong and Jong Keun Seon and Jong{-}Oh Park and Eunpyo Choi}, title = {Human adipose-derived mesenchymal stem cell-based medical microrobot system for knee cartilage regeneration in vivo}, journal = {Sci. Robotics}, volume = {5}, number = {38}, year = {2020}, url = {https://doi.org/10.1126/scirobotics.aay6626}, doi = {10.1126/SCIROBOTICS.AAY6626}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/GoJYHKKNJKSKNSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HabibRK20, author = {Md Arafat Habib and Akhand Rai and Jong{-}Myon Kim}, title = {Performance Degradation Assessment of Concrete Beams Based on Acoustic Emission Burst Features and Mahalanobis - Taguchi System}, journal = {Sensors}, volume = {20}, number = {12}, pages = {3402}, year = {2020}, url = {https://doi.org/10.3390/s20123402}, doi = {10.3390/S20123402}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HabibRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HasanSK20, author = {Md Junayed Hasan and Muhammad Sohaib and Jong{-}Myon Kim}, title = {A Multitask-Aided Transfer Learning-Based Diagnostic Framework for Bearings under Inconsistent Working Conditions}, journal = {Sensors}, volume = {20}, number = {24}, pages = {7205}, year = {2020}, url = {https://doi.org/10.3390/s20247205}, doi = {10.3390/S20247205}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HasanSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungKKKNK20, author = {Haneul Jung and Bummo Koo and Jongman Kim and Taehee Kim and Yejin Nam and Youngho Kim}, title = {Enhanced Algorithm for the Detection of Preimpact Fall for Wearable Airbags}, journal = {Sensors}, volume = {20}, number = {5}, pages = {1277}, year = {2020}, url = {https://doi.org/10.3390/s20051277}, doi = {10.3390/S20051277}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungKKKNK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KakaniKLRK20, author = {Vijay Kakani and Hakil Kim and Jongseo Lee and Choonwoo Ryu and Mahendar Kumbham}, title = {Automatic Distortion Rectification of Wide-Angle Images Using Outlier Refinement for Streamlining Vision Tasks}, journal = {Sensors}, volume = {20}, number = {3}, pages = {894}, year = {2020}, url = {https://doi.org/10.3390/s20030894}, doi = {10.3390/S20030894}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KakaniKLRK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJKKKN20, author = {Youngho Kim and Haneul Jung and Bummo Koo and Jongman Kim and Taehee Kim and Yejin Nam}, title = {Detection of Pre-Impact Falls from Heights Using an Inertial Measurement Unit Sensor}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5388}, year = {2020}, url = {https://doi.org/10.3390/s20185388}, doi = {10.3390/S20185388}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJKKKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKPCK20, author = {Min{-}Cheol Kim and Eui{-}Sun Kim and Jong{-}Oh Park and Eunpyo Choi and Chang{-}Sei Kim}, title = {Robotic Localization Based on Planar Cable Robot and Hall Sensor Array Applied to Magnetic Capsule Endoscope}, journal = {Sensors}, volume = {20}, number = {20}, pages = {5728}, year = {2020}, url = {https://doi.org/10.3390/s20205728}, doi = {10.3390/S20205728}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKPCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLLJK20, author = {Byeong Hak Kim and Alan Lukezic and Jong Hyuk Lee and Ho Min Jung and Min Young Kim}, title = {Global Motion-Aware Robust Visual Object Tracking for Electro Optical Targeting Systems}, journal = {Sensors}, volume = {20}, number = {2}, pages = {566}, year = {2020}, url = {https://doi.org/10.3390/s20020566}, doi = {10.3390/S20020566}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLLJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCK20, author = {Daniel Lee and Han{-}Lim Choi and Jong{-}Han Kim}, title = {Optimal Cooperative Guidance Laws for Two UAVs Under Sensor Information Deficiency Constraints}, journal = {Sensors}, volume = {20}, number = {17}, pages = {4790}, year = {2020}, url = {https://doi.org/10.3390/s20174790}, doi = {10.3390/S20174790}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLLRKK20, author = {Seungjae Lee and Hyejeong Lee and Jongshill Lee and Hokyoung Ryu and In Young Kim and Jieun Kim}, title = {Clip-On {IMU} System for Assessing Age-Related Changes in Hand Functions}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6313}, year = {2020}, url = {https://doi.org/10.3390/s20216313}, doi = {10.3390/S20216313}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLLRKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeYHK20, author = {Jong{-}Sub Lee and Jung{-}Doung Yu and Kyungsoo Han and Sang Yeob Kim}, title = {Strength Characteristics of Sand-Silt Mixtures Subjected to Cyclic Freezing-Thawing-Repetitive Loading}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5381}, year = {2020}, url = {https://doi.org/10.3390/s20185381}, doi = {10.3390/S20185381}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeYHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKK20, author = {Jongho Park and Youngil Kim and Jong{-}Han Kim}, title = {Integrated Guidance and Control Using Model Predictive Control with Flight Path Angle Prediction against Pull-Up Maneuvering Target}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3143}, year = {2020}, url = {https://doi.org/10.3390/s20113143}, doi = {10.3390/S20113143}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SunaryaHCRHSKP20, author = {Unang Sunarya and Yuli Sun Hariyani and Taeheum Cho and Jongryun Roh and Joonho Hyeong and Illsoo Sohn and Sayup Kim and Cheolsoo Park}, title = {Feature Analysis of Smart Shoe Sensors for Classification of Gait Patterns}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6253}, year = {2020}, url = {https://doi.org/10.3390/s20216253}, doi = {10.3390/S20216253}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SunaryaHCRHSKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/UllahZKHL20, author = {Hayat Ullah and Osama Zia and Jun{-}Ho Kim and Kyungjin Han and Jong{-}Weon Lee}, title = {Automatic 360{\textdegree} Mono-Stereo Panorama Generation Using a Cost-Effective Multi-Camera System}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3097}, year = {2020}, url = {https://doi.org/10.3390/s20113097}, doi = {10.3390/S20113097}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/UllahZKHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KhanamDKK20, author = {Tahmina Khanam and Pranab Kumar Dhar and Saki Kowsar and Jong{-}Myon Kim}, title = {SVD-Based Image Watermarking Using the Fast Walsh-Hadamard Transform, Key Mapping, and Coefficient Ordering for Ownership Protection}, journal = {Symmetry}, volume = {12}, number = {1}, pages = {52}, year = {2020}, url = {https://doi.org/10.3390/sym12010052}, doi = {10.3390/SYM12010052}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KhanamDKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimKAHGCP20, author = {Heesu Kim and Jongho Kim and Hussam Amrouch and J{\"{o}}rg Henkel and Andreas Gerstlauer and Kiyoung Choi and Hanmin Park}, title = {Aging Compensation With Dynamic Computation Approximation}, journal = {{IEEE} Trans. Circuits Syst. {I} Fundam. Theory Appl.}, volume = {67-I}, number = {4}, pages = {1319--1332}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2969462}, doi = {10.1109/TCSI.2020.2969462}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimKAHGCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/JangKMYK20, author = {Min Jang and Jong{-}Hwan Kim and Seho Myung and Hayoung Yang and Sang{-}Hyo Kim}, title = {Structural Extension of Polar Codes via Simplex Kernels}, journal = {{IEEE} Trans. Commun.}, volume = {68}, number = {12}, pages = {7337--7351}, year = {2020}, url = {https://doi.org/10.1109/TCOMM.2020.3018462}, doi = {10.1109/TCOMM.2020.3018462}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/JangKMYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/ParkKRWHX20, author = {Sungjin Park and Chung Hwan Kim and Junghwan Rhee and Jong{-}Jin Won and Taisook Han and Dongyan Xu}, title = {{CAFE:} {A} Virtualization-Based Approach to Protecting Sensitive Cloud Application Logic Confidentiality}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {17}, number = {4}, pages = {883--897}, year = {2020}, url = {https://doi.org/10.1109/TDSC.2018.2817545}, doi = {10.1109/TDSC.2018.2817545}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/ParkKRWHX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/ChoiPKJ20, author = {Hanbyul Choi and Jonghwa Park and Junghwan Kim and Yoonhyuk Jung}, title = {Consumer preferences of attributes of mobile payment services in South Korea}, journal = {Telematics Informatics}, volume = {51}, pages = {101397}, year = {2020}, url = {https://doi.org/10.1016/j.tele.2020.101397}, doi = {10.1016/J.TELE.2020.101397}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tele/ChoiPKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KimKHJLHNBKC20, author = {Donguk Kim and Jung Dae Kim and Ilkyu Han and Chan Bae Jeong and Kye{-}Sung Lee and Hwan Hur and Ki{-}Hwan Nam and Ji{-}Yong Bae and I Jong Kim and Ki Soo Chang}, title = {Backside Thermal Fault Localization Using Laser Scanning Confocal Thermoreflectance Microscopy Based on Auto-Balanced Detection}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {69}, number = {6}, pages = {2914--2923}, year = {2020}, url = {https://doi.org/10.1109/TIM.2019.2925248}, doi = {10.1109/TIM.2019.2925248}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/KimKHJLHNBKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/HanKY20, author = {Yoseob Han and Junyoung Kim and Jong Chul Ye}, title = {Differentiated Backprojection Domain Deep Learning for Conebeam Artifact Removal}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {11}, pages = {3571--3582}, year = {2020}, url = {https://doi.org/10.1109/TMI.2020.3000341}, doi = {10.1109/TMI.2020.3000341}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/HanKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/YooSHKWCLCKBCCY20, author = {Jae Jun Yoo and Sohail Sabir and Duchang Heo and Kee Hyun Kim and Abdul Wahab and Yoonseok Choi and Seul{-}I Lee and Eun Young Chae and Hak Hee Kim and Young Min Bae and Young{-}Wook Choi and Seungryong Cho and Jong Chul Ye}, title = {Deep Learning Diffuse Optical Tomography}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {4}, pages = {877--887}, year = {2020}, url = {https://doi.org/10.1109/TMI.2019.2936522}, doi = {10.1109/TMI.2019.2936522}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/YooSHKWCLCKBCCY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/LeeSKCHY20, author = {Inhwan Dennis Lee and Ji Hyun Seo and Young Min Kim and Jonghyun Choi and Soonhung Han and Byounghyun Yoo}, title = {Automatic Pose Generation for Robotic 3-D Scanning of Mechanical Parts}, journal = {{IEEE} Trans. Robotics}, volume = {36}, number = {4}, pages = {1219--1238}, year = {2020}, url = {https://doi.org/10.1109/TRO.2020.2980161}, doi = {10.1109/TRO.2020.2980161}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/LeeSKCHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/YuALKH20, author = {Kihyun Yu and JeongHyeon Ahn and Jongmin Lee and Myungho Kim and JungHyun Han}, title = {Collaborative {SLAM} and AR-guided navigation for floor layout inspection}, journal = {Vis. Comput.}, volume = {36}, number = {10}, pages = {2051--2063}, year = {2020}, url = {https://doi.org/10.1007/s00371-020-01911-8}, doi = {10.1007/S00371-020-01911-8}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/YuALKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/GhodratiSKYPKBE20, author = {Soroush Ghodrati and Hardik Sharma and Sean Kinzer and Amir Yazdanbakhsh and Jongse Park and Nam Sung Kim and Doug Burger and Hadi Esmaeilzadeh}, editor = {Vivek Sarkar and Hyesoon Kim}, title = {Mixed-Signal Charge-Domain Acceleration of Deep Neural Networks through Interleaved Bit-Partitioned Arithmetic}, booktitle = {{PACT} '20: International Conference on Parallel Architectures and Compilation Techniques, Virtual Event, GA, USA, October 3-7, 2020}, pages = {399--411}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410463.3414634}, doi = {10.1145/3410463.3414634}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/GhodratiSKYPKBE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KimHK20, author = {Na{-}Young Kim and Seong Jong Ha and Je{-}Won Kang}, title = {Temporal Attention Feature Encoding for Video Captioning}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2020, Auckland, New Zealand, December 7-10, 2020}, pages = {1279--1282}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9306402}, timestamp = {Thu, 11 Feb 2021 11:44:30 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/KimHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cui/YunHKKKLPJ20, author = {Hyeonggeun Yun and Auejin Ham and Jin Kim and Taeyeong Kim and Jeongeun Kim and Haechan Lee and Jongrae Park and Jinkyu Jang}, editor = {Mar{\'{\i}}a In{\'{e}}s Torres and Stephan Schl{\"{o}}gl and Leigh Clark and Martin Porcheron}, title = {Chatbot with Touch and Graphics: An Interaction of Users for Emotional Expression and Turn-taking}, booktitle = {Proceedings of the 2nd Conference on Conversational User Interfaces, {CUI} 2020, Bilbao, Spain, July 22-24, 2020}, pages = {42:1--42:5}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3405755.3406147}, doi = {10.1145/3405755.3406147}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cui/YunHKKKLPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiAVTLWXQMH20, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2029--2044}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Ancuti\_NTIRE\_2020\_Challenge\_on\_NonHomogeneous\_Dehazing\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00253}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiAVTLWXQMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangGTSDZYGJYK20, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2045--2057}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Zhang\_NTIRE\_2020\_Challenge\_on\_Perceptual\_Extreme\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00254}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangGTSDZYGJYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimHJLCFLS20, author = {Moonsu Kim and Yun Heo and Seungjae Jung and Kelvin Le and Nathaniel Conos and Hanif Fatemi and Jongpil Lee and Youngmin Shin}, title = {A Method of Via Variation Induced Delay Computation}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1712--1713}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116405}, doi = {10.23919/DATE48585.2020.9116405}, timestamp = {Thu, 25 Jun 2020 12:55:44 +0200}, biburl = {https://dblp.org/rec/conf/date/KimHJLCFLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/DuWZFHLSPASPJDL20, author = {Dawei Du and Longyin Wen and Pengfei Zhu and Heng Fan and Qinghua Hu and Haibin Ling and Mubarak Shah and Junwen Pan and Apostolos Axenopoulos and Arne Schumann and Athanasios Psaltis and Ayush Jain and Bin Dong and Changlin Li and Chen Chen and Chengzhen Duan and Chongyang Zhang and Daniel Stadler and Dheeraj Reddy Pailla and Dong Yin and Faizan Khan and Fanman Meng and Guangyu Gao and Guosheng Zhang and Hansheng Chen and Hao Zhou and Haonian Xie and Heqian Qiu and Hongliang Li and Ioannis Athanasiadis and Jincai Cui and Jingkai Zhou and Jong Hwan Ko and Joo Chan Lee and Jun Yu and Jungyeop Yoo and Lars Wilko Sommer and Lu Xiong and Michael Schleiss and Ming{-}Hsuan Yang and Mingyu Liu and Minjian Zhang and Murari Mandal and Petros Daras and Pratik Narang and Qiong Liu and Qiu Shi and Qizhang Lin and Rohit Ramaprasad and Sai Wang and Sarvesh Mehta and Shuai Li and Shuqin Huang and Sungtae Moon and Taijin Zhao and Ting Sun and Wei Guo and Wei Tian and Weida Qin and Weiping Yu and Wenxiang Lin and Xi Zhao and Xiaogang Jia and Xin He and Xingjie Zhao and Xuanxin Liu and Yan Ding and Yan Luo and Yang Xiao and Yi Wang and Yingjie Liu and Yongwoo Kim and Yu Sun and Yuehan Yao and Yuyao Huang and Zehui Gong and Zhenyu Xu and Zhipeng Luo and Zhiguo Cao and Zhiwei Wei and Zhongjie Fan and Zichen Song and Ziming Liu}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {VisDrone-DET2020: The Vision Meets Drone Object Detection in Image Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12538}, pages = {692--712}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66823-5\_42}, doi = {10.1007/978-3-030-66823-5\_42}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/DuWZFHLSPASPJDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTZLWZZZP20, author = {Andrey Ignatov and Radu Timofte and Zhilu Zhang and Ming Liu and Haolin Wang and Wangmeng Zuo and Jiawei Zhang and Ruimao Zhang and Zhanglin Peng and Sijie Ren and Linhui Dai and Xiaohong Liu and Chengqi Li and Jun Chen and Yuichi Ito and Bhavya Vasudeva and Puneesh Deora and Umapada Pal and Zhenyu Guo and Yu Zhu and Tian Liang and Chenghua Li and Cong Leng and Zhihong Pan and Baopu Li and Byung{-}Hoon Kim and Joonyoung Song and Jong Chul Ye and JaeHyun Baek and Magauiya Zhussip and Yeskendir Koishekenov and Hwechul Cho Ye and Xin Liu and Xueying Hu and Jun Jiang and Jinwei Gu and Kai Li and Pengliang Tang and Bingxin Hou}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Learned Image Signal Processing Pipeline}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {152--170}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_9}, doi = {10.1007/978-3-030-67070-2\_9}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTZLWZZZP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/SeoSKKHH20, author = {Seonguk Seo and Yumin Suh and Dongwan Kim and Geeho Kim and Jongwoo Han and Bohyung Han}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Learning to Optimize Domain Specific Normalization for Domain Generalization}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXII}}, series = {Lecture Notes in Computer Science}, volume = {12367}, pages = {68--83}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58542-6\_5}, doi = {10.1007/978-3-030-58542-6\_5}, timestamp = {Tue, 17 Nov 2020 16:51:25 +0100}, biburl = {https://dblp.org/rec/conf/eccv/SeoSKKHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WeiLTLZPLXFZLHD20, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {392--422}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_24}, doi = {10.1007/978-3-030-67070-2\_24}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WeiLTLZPLXFZLHD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ZhangDLTLTWZHXL20, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Xiaotong Luo and Liang Chen and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. V. Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {5--40}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_1}, doi = {10.1007/978-3-030-67070-2\_1}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ZhangDLTLTWZHXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eidwt/YoonHLK19, author = {GeumSeong Yoon and Jung{-}Su Han and Seunghyung Lee and Jongwon Kim}, editor = {Leonard Barolli and Yoshihiro Okada and Flora Amato}, title = {DevOps Portal Design for SmartX {AI} Cluster Employing Cloud-Native Machine Learning Workflows}, booktitle = {Advances in Internet, Data and Web Technologies, The 8th International Conference on Emerging Internet, Data and Web Technologies, {EIDWT} 2020, Kitakyushu, Japan. 24-26 February 2020}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {47}, pages = {532--539}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-39746-3\_54}, doi = {10.1007/978-3-030-39746-3\_54}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eidwt/YoonHLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/LeeSKYKKSPLCKGG20, author = {Changmin Lee and Wonjae Shin and Dae Jeong Kim and Yongjun Yu and Sung{-}Joon Kim and Taekyeong Ko and Deokho Seo and Jongmin Park and Kwanghee Lee and Seongho Choi and Namhyung Kim and Vishak G and Arun George and Vishwas V and Donghun Lee and Kang{-}Woo Choi and Changbin Song and Dohan Kim and Insu Choi and Ilgyu Jung and Yong Ho Song and Jinman Han}, title = {{NVDIMM-C:} {A} Byte-Addressable Non-Volatile Memory Module for Compatibility with Standard {DDR} Memory Interfaces}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {502--514}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00048}, doi = {10.1109/HPCA47549.2020.00048}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/LeeSKYKKSPLCKGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ChakrabortyAJHP20, author = {Sabyasachi Chakraborty and Satyabrata Aich and Jong{-}Seong Sim and Eunyoung Han and Jinse Park and Hee{-}Cheol Kim}, title = {Parkinson's Disease Detection from Spiral and Wave Drawings using Convolutional Neural Networks: {A} Multistage Classifier Approach}, booktitle = {22nd International Conference on Advanced Communication Technology, {ICACT} 2020, Phoenix Park, PyeongChang, Korea (South), February 16-19, 2020}, pages = {298--303}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/ICACT48636.2020.9061497}, doi = {10.23919/ICACT48636.2020.9061497}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/ChakrabortyAJHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/LeeSHK20, author = {Seunghyung Lee and Seokho Son and Jungsu Han and JongWon Kim}, title = {Refining Micro Services Placement over Multiple Kubernetes-orchestrated Clusters employing Resource Monitoring}, booktitle = {40th {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2020, Singapore, November 29 - December 1, 2020}, pages = {1328--1332}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICDCS47774.2020.00173}, doi = {10.1109/ICDCS47774.2020.00173}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/LeeSHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/KimJKKSHSKHSH20, author = {Hangi Kim and Yongjin Jeon and Giyoon Kim and Jongsung Kim and Bo{-}Yeon Sim and Dong{-}Guk Han and Hwajeong Seo and Seonggyeom Kim and Seokhie Hong and Jaechul Sung and Deukjo Hong}, editor = {Deukjo Hong}, title = {{PIPO:} {A} Lightweight Block Cipher with Efficient Higher-Order Masking Software Implementations}, booktitle = {Information Security and Cryptology - {ICISC} 2020 - 23rd International Conference, Seoul, South Korea, December 2-4, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12593}, pages = {99--122}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68890-5\_6}, doi = {10.1007/978-3-030-68890-5\_6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisc/KimJKKSHSKHSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimHK20a, author = {Eunji Kim and Jungsu Han and JongWon Kim}, title = {Visualizing Cloud-Native {AI+X} Applications employing Service Mesh}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1566--1569}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289230}, doi = {10.1109/ICTC49870.2020.9289230}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimHK20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/KimL20, author = {Chanil Kim and Jong{-}Ha Lee}, editor = {Madhusudan Singh and Dae{-}Ki Kang and Jong{-}Ha Lee and Uma Shanker Tiwary and Dhananjay Singh and Wan{-}Young Chung}, title = {A Development of Enhanced Contactless Bio Signal Estimation Algorithm and System for {COVID19} Prevention}, booktitle = {Intelligent Human Computer Interaction - 12th International Conference, {IHCI} 2020, Daegu, South Korea, November 24-26, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12615}, pages = {154--162}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68449-5\_16}, doi = {10.1007/978-3-030-68449-5\_16}, timestamp = {Sun, 20 Nov 2022 17:33:02 +0100}, biburl = {https://dblp.org/rec/conf/ihci/KimL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/KimL20a, author = {Shin{-}Gyun Kim and Jong{-}Ha Lee}, editor = {Madhusudan Singh and Dae{-}Ki Kang and Jong{-}Ha Lee and Uma Shanker Tiwary and Dhananjay Singh and Wan{-}Young Chung}, title = {The Human Factor Assessment of Consumer Air Purifier Panel Using Eye Tracking Device}, booktitle = {Intelligent Human Computer Interaction - 12th International Conference, {IHCI} 2020, Daegu, South Korea, November 24-26, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12616}, pages = {363--369}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68452-5\_38}, doi = {10.1007/978-3-030-68452-5\_38}, timestamp = {Sun, 20 Nov 2022 17:33:02 +0100}, biburl = {https://dblp.org/rec/conf/ihci/KimL20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KangKLKC20, author = {Dahyun Kang and Sonya S. Kwak and Hanbyeol Lee and Eun Ho Kim and JongSuk Choi}, title = {This or That: The Effect of Robot's Deictic Expression on User's Perception}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2020, Las Vegas, NV, USA, October 24, 2020 - January 24, 2021}, pages = {11383--11390}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IROS45743.2020.9341067}, doi = {10.1109/IROS45743.2020.9341067}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KangKLKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLJUKSLPJHLLH20, author = {Yongsung Ji and Hyunjae Goo and Jungman Lim and Tae{-}Young Jeong and Taiki Uemura and Gun Rae Kim and Boil Seo and Seungbae Lee and Goeun Park and Jeongmin Jo and Sang{-}Il Han and Kilho Lee and Junghyuk Lee and Sohee Hwang and Daesop Lee and Suksoo Pyo and Hyun Taek Jung and Shinhee Han and Seungmo Noh and Kiseok Suh and Sungyoung Yoon and Hyeonwoo Nam and Hyewon Hwang and Hai Jiang and J. W. Kim and D. Kwon and Yoonjong Song and K. H. Koh and Hwasung Rhee and Sangwoo Pae and E. Lee}, title = {Reliability of Industrial grade Embedded-STT-MRAM}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129178}, doi = {10.1109/IRPS45951.2020.9129178}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiGLJUKSLPJHLLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/KimHY20, author = {Junyoung Kim and Yoseob Han and Jong Chul Ye}, title = {Cone-Angle Artifact Removal Using Differentiated Backprojection Domain Deep Learning}, booktitle = {17th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2020, Iowa City, IA, USA, April 3-7, 2020}, pages = {642--645}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISBI45749.2020.9098532}, doi = {10.1109/ISBI45749.2020.9098532}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/KimHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KubendranPSKJCH20, author = {Rajkumar Kubendran and Jongkil Park and Ritvik Sharma and Chul Kim and Siddharth Joshi and Gert Cauwenberghs and Sohmyung Ha}, title = {A 4.2-pJ/Conv 10-b Asynchronous {ADC} with Hybrid Two-Tier Level-Crossing Event Coding}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180458}, doi = {10.1109/ISCAS45731.2020.9180458}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KubendranPSKJCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimCHK20, author = {Taeyeon Kim and Sunguk Choi and S. Han and Jongsun Kim}, title = {An All-Digital {MDLL} for Programmable N/M-ratio Frequency Multiplication}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {230--231}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9332935}, doi = {10.1109/ISOCC50952.2020.9332935}, timestamp = {Fri, 12 Feb 2021 11:57:12 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimCHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimHM20, author = {Junghwan Kim and Jongkil Hyun and Byungin Moon}, title = {Low-cost Hardware Architecture for Integral Image Generation using Word Length Reduction}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {119--120}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9332974}, doi = {10.1109/ISOCC50952.2020.9332974}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimHM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaekNPJKHBLKPLC20, author = {Jongbeom Baek and Takahiro Nomiyama and Seungchan Park and Young{-}Ho Jung and Dongsu Kim and Jae{-}Yeol Han and Jun{-}Suk Bang and Yumi Lee and Ik{-}Hwan Kim and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho}, title = {11.7 {A} Voltage-Tolerant Three-Level Buck-Boost {DC-DC} Converter with Continuous Transfer Current and Flying Capacitor Soft Charger Achieving 96.8{\%} Power Efficiency and 0.87{\(\mathrm{\mu}\)}s/V {DVS} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {202--204}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063105}, doi = {10.1109/ISSCC19947.2020.9063105}, timestamp = {Sat, 18 Apr 2020 17:41:44 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaekNPJKHBLKPLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuhCLNPOKCLKPKL20, author = {Hwang Huh and Wanik Cho and Jinhaeng Lee and Yujong Noh and Yongsoon Park and Sunghwa Ok and Jongwoo Kim and Kayoung Cho and Hyunchul Lee and Geonu Kim and Kangwoo Park and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Chankeun Kwon and Hanna Cho and Chanhui Jeong and Yujin Yang and Jayoon Goo and Jangwon Park and Juhyeong Lee and Heonki Kirr and Kangwook Jo and Cheoljoong Park and Hyeonsu Nam and Hyunseok Song and Sangkyu Lee and Woopyo Jeong and Kun{-}Ok Ahn and Tae{-}Sung Jung}, title = {13.2 {A} 1Tb 4b/Cell 96-Stacked-WL 3D {NAND} Flash Memory with 30MB/s Program Throughput Using Peripheral Circuit Under Memory Cell Array Technique}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {220--221}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063117}, doi = {10.1109/ISSCC19947.2020.9063117}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuhCLNPOKCLKPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJKKCLLPHCKL20, author = {Hyunchul Kim and Jongeun Park and Insung Joe and Doowon Kwon and Joo Hyoung Kim and Dongsuk Cho and Taehun Lee and Changkyu Lee and Haeyong Park and Soojin Hong and Chongkwang Chang and Jingyun Kim and Hanjin Lim and Youngsun Oh and Yitae Kim and Seungjoo Nah and Sangil Jung and Jaekyu Lee and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.6 {A} 1/2.65in 44Mpixel {CMOS} Image Sensor with 0.7{\(\mathrm{\mu}\)}m Pixels Fabricated in Advanced Full-Depth Deep-Trench Isolation Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {104--106}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062924}, doi = {10.1109/ISSCC19947.2020.9062924}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJKKCLLPHCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeHLLKKKHJNLCK20, author = {Jongsoo Lee and Jae{-}Yeol Han and Chilun Lo and Jongmi Lee and Wan Kim and Seungjin Kim and Byoungjoong Kang and Juyoung Han and Sangdon Jung and Takahiro Nomiyama and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {30.2 NB-IoT and {GNSS} All-in-One System-on-Chip Integrating {RF} Transceiver, 23dBm {CMOS} Power Amplifier, Power Management Unit and Clock Management System for Low-Cost Solution}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {462--464}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063004}, doi = {10.1109/ISSCC19947.2020.9063004}, timestamp = {Mon, 20 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeHLLKKKHJNLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCBKKRPKCSLSHC20, author = {Chi{-}Sung Oh and Ki Chul Chun and Young{-}Yong Byun and Yong{-}Ki Kim and So{-}Young Kim and Yesin Ryu and Jaewon Park and Sinho Kim and Sang{-}uhn Cha and Dong{-}Hak Shin and Jungyu Lee and Jong{-}Pil Son and Byung{-}Kyu Ho and Seong{-}Jin Cho and Beomyong Kil and Sungoh Ahn and Baekmin Lim and Yong{-}Sik Park and Kijun Lee and Myung{-}Kyu Lee and Seungduk Baek and Junyong Noh and Jae{-}Wook Lee and Seungseob Lee and Sooyoung Kim and Bo{-}Tak Lim and Seouk{-}Kyu Choi and Jin{-}Guk Kim and Hye{-}In Choi and Hyuk{-}Jun Kwon and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.1 {A} 1.1V 16GB 640GB/s {HBM2E} {DRAM} with a Data-Bus Window-Extension Technique and a Synergetic On-Die {ECC} Scheme}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {330--332}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063110}, doi = {10.1109/ISSCC19947.2020.9063110}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCBKKRPKCSLSHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/MinKPKH20, author = {Kyushik Min and Hayoung Kim and Jongwon Park and Dongchan Kim and Kunsoo Huh}, title = {Interaction Aware Trajectory Prediction of Surrounding Vehicles with Interaction Network and Deep Ensemble}, booktitle = {{IEEE} Intelligent Vehicles Symposium, {IV} 2020, Las Vegas, NV, USA, October 19 - November 13, 2020}, pages = {1714--1719}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IV47402.2020.9304713}, doi = {10.1109/IV47402.2020.9304713}, timestamp = {Fri, 15 Jan 2021 15:43:41 +0100}, biburl = {https://dblp.org/rec/conf/ivs/MinKPKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ldav/KressLCKWPKCP20, author = {James Kress and Matthew Larsen and Jong Choi and Mark Kim and Matthew Wolf and Norbert Podhorszki and Scott Klasky and Hank Childs and David Pugmire}, title = {Comparing Time-to-Solution for In Situ Visualization Paradigms at Scale}, booktitle = {10th {IEEE} Symposium on Large Data Analysis and Visualization, {LDAV} 2020, Salt Lake City, UT, USA, October 25, 2020}, pages = {22--26}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/LDAV51489.2020.00009}, doi = {10.1109/LDAV51489.2020.00009}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ldav/KressLCKWPKCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/JungHKHL20, author = {Julip Jung and Helen Hong and Young{-}Gi Kim and Sung Il Hwang and Hak Jong Lee}, editor = {Horst K. Hahn and Maciej A. Mazurowski}, title = {Prediction of prostate cancer aggressiveness using quantitative radiomic features using multi-parametric {MRI}}, booktitle = {Medical Imaging 2020: Computer-Aided Diagnosis, Houston, TX, USA, February 16-19, 2020}, series = {{SPIE} Proceedings}, volume = {11314}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2551298}, doi = {10.1117/12.2551298}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/JungHKHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miipop/HanKB20, author = {Minah Han and Byeongjoon Kim and Jongduk Baek}, editor = {Frank W. Samuelson and Sian Taylor{-}Phillips}, title = {A performance comparison of convolutional neural network based anthropomorphic model observer and linear model observer for signal-known statistically detection tasks}, booktitle = {Medical Imaging 2020: Image Perception, Observer Performance, and Technology Assessment, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11316}, pages = {1131612}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549487}, doi = {10.1117/12.2549487}, timestamp = {Wed, 22 Jul 2020 10:31:55 +0200}, biburl = {https://dblp.org/rec/conf/miipop/HanKB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miipop/KimHB20, author = {Byeongjoon Kim and Minah Han and Jongduk Baek}, editor = {Frank W. Samuelson and Sian Taylor{-}Phillips}, title = {Convolutional neural network-based anthropomorphic model observer for breast cone-beam {CT} images}, booktitle = {Medical Imaging 2020: Image Perception, Observer Performance, and Technology Assessment, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11316}, pages = {113160Y}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549168}, doi = {10.1117/12.2549168}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miipop/KimHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/JangJKDK20, author = {Wonseok Jang and Hansaem Jeong and Kyungtae Kang and Nikil D. Dutt and Jong{-}Chan Kim}, title = {{R-TOD:} Real-Time Object Detector with Minimized End-to-End Delay for Autonomous Driving}, booktitle = {41st {IEEE} Real-Time Systems Symposium, {RTSS} 2020, Houston, TX, USA, December 1-4, 2020}, pages = {191--204}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/RTSS49844.2020.00027}, doi = {10.1109/RTSS49844.2020.00027}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtss/JangJKDK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/OhJKKHKJ20, author = {Hyeonseok Oh and Hyeongwon Jang and Jae Eun Kim and Jong{-}Bin Kim and Hyuck Han and Sooyong Kang and Hyungsoo Jung}, editor = {Chih{-}Cheng Hung and Tom{\'{a}}s Cern{\'{y}} and Dongwan Shin and Alessio Bechini}, title = {{DEMETER:} hardware-assisted database checkpointing}, booktitle = {{SAC} '20: The 35th {ACM/SIGAPP} Symposium on Applied Computing, online event, [Brno, Czech Republic], March 30 - April 3, 2020}, pages = {394--403}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3341105.3375756}, doi = {10.1145/3341105.3375756}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/OhJKKHKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/LeeKJKKK20, author = {Hansoo Lee and Jonggeun Kim and Seunghwan Jung and Minseok Kim and Baekcheon Kim and Sungshin Kim}, title = {Variable Importance Measures based on Ensemble Learning Methods for Convective Storm Tracking}, booktitle = {Joint 11th International Conference on Soft Computing and Intelligent Systems and 21st International Symposium on Advanced Intelligent Systems, {SCIS/ISIS} 2020, Hachijo Island, Japan, December 5-8, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SCISISIS50064.2020.9322692}, doi = {10.1109/SCISISIS50064.2020.9322692}, timestamp = {Thu, 28 Jan 2021 08:30:46 +0100}, biburl = {https://dblp.org/rec/conf/scisisis/LeeKJKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimJK20, author = {Seong Uk Kim and Hanyoung Jang and Jongmin Kim}, title = {A Robust Low-cost Mocap System with Sparse Sensors}, booktitle = {{SIGGRAPH} Asia 2020 Posters, {SA} 2019, Virtual Event, Republic of Korea, December 4-13, 2020}, pages = {35:1--35:2}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3415264.3425463}, doi = {10.1145/3415264.3425463}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KimCKYKJ20, author = {Jong{-}Bin Kim and Hyunsoo Cho and Kihwang Kim and Jaeseon Yu and Sooyong Kang and Hyungsoo Jung}, editor = {David Maier and Rachel Pottinger and AnHai Doan and Wang{-}Chiew Tan and Abdussalam Alawini and Hung Q. Ngo}, title = {Long-lived Transactions Made Less Harmful}, booktitle = {Proceedings of the 2020 International Conference on Management of Data, {SIGMOD} Conference 2020, online conference [Portland, OR, USA], June 14-19, 2020}, pages = {495--510}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3318464.3389714}, doi = {10.1145/3318464.3389714}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/KimCKYKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc2/PugmireKCCCGGKK20, author = {David Pugmire and James Kress and Jieyang Chen and Hank Childs and Jong Choi and Dmitry Ganyushin and Berk Geveci and Mark Kim and Scott Klasky and Xin Liang and Jeremy Logan and Nicole Marsaglia and Kshitij Mehta and Norbert Podhorszki and Caitlin Ross and Eric Suchyta and Nick Thompson and Steven Walton and Lipeng Wan and Matthew Wolf}, editor = {Jeffrey Nichols and Becky Verastegui and Arthur Barney Maccabe and Oscar R. Hernandez and Suzanne Parete{-}Koon and Theresa Ahearn}, title = {Visualization as a Service for Scientific Data}, booktitle = {Driving Scientific and Engineering Discoveries Through the Convergence of HPC, Big Data and {AI} - 17th Smoky Mountains Computational Sciences and Engineering Conference, {SMC} 2020, Oak Ridge, TN, USA, August 26-28, 2020, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1315}, pages = {157--174}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-63393-6\_11}, doi = {10.1007/978-3-030-63393-6\_11}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc2/PugmireKCCCGGKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/KressLCKWPKCP20, author = {James Kress and Matthew Larsen and Jong Choi and Mark Kim and Matthew Wolf and Norbert Podhorszki and Scott Klasky and Hank Childs and David Pugmire}, editor = {Ponnuswamy Sadayappan and Bradford L. Chamberlain and Guido Juckeland and Hatem Ltaief}, title = {Opportunities for Cost Savings with In-Transit Visualization}, booktitle = {High Performance Computing - 35th International Conference, {ISC} High Performance 2020, Frankfurt/Main, Germany, June 22-25, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12151}, pages = {146--165}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50743-5\_8}, doi = {10.1007/978-3-030-50743-5\_8}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/KressLCKWPKCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/JeongKYN20, author = {Yunwoo Jeong and Han{-}Jong Kim and Gyeongwon Yun and Tek{-}Jin Nam}, editor = {Shamsi T. Iqbal and Karon E. MacLean and Fanny Chevalier and Stefanie Mueller}, title = {{WIKA:} {A} Projected Augmented Reality Workbench for Interactive Kinetic Art}, booktitle = {{UIST} '20: The 33rd Annual {ACM} Symposium on User Interface Software and Technology, Virtual Event, USA, October 20-23, 2020}, pages = {999--1009}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379337.3415880}, doi = {10.1145/3379337.3415880}, timestamp = {Sun, 18 Oct 2020 18:16:54 +0200}, biburl = {https://dblp.org/rec/conf/uist/JeongKYN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/LiKS0HYN20, author = {Nianlong Li and Han{-}Jong Kim and Luyao Shen and Feng Tian and Teng Han and Xing{-}Dong Yang and Tek{-}Jin Nam}, editor = {Shamsi T. Iqbal and Karon E. MacLean and Fanny Chevalier and Stefanie Mueller}, title = {HapLinkage: Prototyping Haptic Proxies for Virtual Hand Tools Using Linkage Mechanism}, booktitle = {{UIST} '20: The 33rd Annual {ACM} Symposium on User Interface Software and Technology, Virtual Event, USA, October 20-23, 2020}, pages = {1261--1274}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379337.3415812}, doi = {10.1145/3379337.3415812}, timestamp = {Sun, 18 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/LiKS0HYN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uss/ChoiCYMK20, author = {Seungoh Choi and Jongwon Choi and Jeong{-}Han Yun and Byung{-}Gil Min and HyoungChun Kim}, editor = {Tamara Denning and Tyler Moore}, title = {Expansion of {ICS} Testbed for Security Validation based on {MITRE} ATT{\&}CK Techniques}, booktitle = {13th {USENIX} Workshop on Cyber Security Experimentation and Test, {CSET} 2020, August 10, 2020}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/cset20/presentation/choi}, timestamp = {Mon, 01 Feb 2021 08:43:06 +0100}, biburl = {https://dblp.org/rec/conf/uss/ChoiCYMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HanJLJLLLHLBCLK20, author = {Sangwook Han and Jaehyuk Jang and Jaeseung Lee and Daechul Jeong and Joonhee Lee and Jongsoo Lee and Chung Lau and Juyoung Han and Sung{-}Jun Lee and Jeongyeol Bae and Ikkyun Cho and Sang{-}Yun Lee and Shinwoong Kim and Jae Hoon Lee and Yanghoon Lee and Jaehong Jung and Junho Huh and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {An {RF} Transceiver with Full Digital Interface Supporting 5G New Radio {FR1} with 3.84Gbps DL/1.92Gbps {UL} and Dual-Band {GNSS} in 14nm FinFET {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162850}, doi = {10.1109/VLSICIRCUITS18222.2020.9162850}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HanJLJLLLHLBCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JungJLJKHOL20, author = {Jaehong Jung and Sangdon Jung and Kyungmin Lee and Jun{-}Hee Jung and Seungjin Kim and Byungki Han and Seunghyun Oh and Jongwoo Lee}, title = {A 4GHz 0.73psrms-Integrated-Jitter PVT-Insensitive Fractional-N Sub-Sampling Ring {PLL} with a Jitter-Tracking DLL-Assisted {DTC}}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162861}, doi = {10.1109/VLSICIRCUITS18222.2020.9162861}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JungJLJKHOL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/ChoKLAH20, author = {SungIk Cho and Seung{-}wook Kim and JongMin Lee and JeongHyeon Ahn and JungHyun Han}, title = {Effects of volumetric capture avatars on social presence in immersive virtual environments}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2010, Atlanta, GA, USA, March 22-26, 2020}, pages = {26--34}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VR46266.2020.1581170537418}, doi = {10.1109/VR46266.2020.1581170537418}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/ChoKLAH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01056, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01056}, year = {2020}, url = {https://arxiv.org/abs/2005.01056}, eprinttype = {arXiv}, eprint = {2005.01056}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-03457, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Jing Liu and Haiyan Wu and Yuan Xie and Yanyun Qu and Lizhuang Ma and Ziling Huang and Qili Deng and Ju{-}Chin Chao and Tsung{-}Shan Yang and Peng{-}Wen Chen and Po{-}Min Hsu and Tzu{-}Yi Liao and Chung{-}En Sun and Pei{-}Yuan Wu and Jeonghyeok Do and Jongmin Park and Munchurl Kim and Kareem Metwaly and Xuelu Li and Tiantong Guo and Vishal Monga and Mingzhao Yu and Venkateswararao Cherukuri and Shiue{-}Yuan Chuang and Tsung{-}Nan Lin and David Lee and Jerome Chang and Zhan{-}Han Wang and Yu{-}Bang Chang and Chang{-}Hong Lin and Yu Dong and Hongyu Zhou and Xiangzhen Kong and Sourya Dipta Das and Saikat Dutta and Xuan Zhao and Bing Ouyang and Dennis Estrada and Meiqi Wang and Tianqi Su and Siyi Chen and Bangyong Sun and Vincent Jacob Whannou de Dravo and Zhe Yu and Pratik Narang and Aryan Mehra and Navaneeth Raghunath and Murari Mandal}, title = {{NTIRE} 2020 Challenge on NonHomogeneous Dehazing}, journal = {CoRR}, volume = {abs/2005.03457}, year = {2020}, url = {https://arxiv.org/abs/2005.03457}, eprinttype = {arXiv}, eprint = {2005.03457}, timestamp = {Sun, 20 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-03457.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-08770, author = {Minho Kim and Jongchan Baek and Soohee Han}, title = {Optimal Charging Method for Effective Li-ion Battery Life Extension Based on Reinforcement Learning}, journal = {CoRR}, volume = {abs/2005.08770}, year = {2020}, url = {https://arxiv.org/abs/2005.08770}, eprinttype = {arXiv}, eprint = {2005.08770}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-08770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-14390, author = {Harim Lee and Myeung Un Kim and Yeong{-}Jun Kim and Hyeonsu Lyu and Hyun Jong Yang}, title = {Privacy-Protection Drone Patrol System based on Face Anonymization}, journal = {CoRR}, volume = {abs/2005.14390}, year = {2020}, url = {https://arxiv.org/abs/2005.14390}, eprinttype = {arXiv}, eprint = {2005.14390}, timestamp = {Wed, 03 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-14390.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05033, author = {Seongbin Oh and Dongseok Kwon and Gyuho Yeom and Won{-}Mook Kang and Soochang Lee and Sung Yun Woo and Jangsaeng Kim and Min Kyu Park and Jong{-}Ho Lee}, title = {Hardware Implementation of Spiking Neural Networks Using Time-To-First-Spike Encoding}, journal = {CoRR}, volume = {abs/2006.05033}, year = {2020}, url = {https://arxiv.org/abs/2006.05033}, eprinttype = {arXiv}, eprint = {2006.05033}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-06943, author = {Kai Zhang and Martin Danelljan and Yawei Li and Radu Timofte and Jie Liu and Jie Tang and Gangshan Wu and Yu Zhu and Xiangyu He and Wenjie Xu and Chenghua Li and Cong Leng and Jian Cheng and Guangyang Wu and Wenyi Wang and Xiaohong Liu and Hengyuan Zhao and Xiangtao Kong and Jingwen He and Yu Qiao and Chao Dong and Jiangtao Zhang and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Xiaochuan Li and Zhiqiang Lang and Jiangtao Nie and Wei Wei and Lei Zhang and Abdul Muqeet and Jiwon Hwang and Subin Yang and Jung Heum Kang and Sung{-}Ho Bae and Yongwoo Kim and Liang Chen and Xiaotong Luo and Yanyun Qu and Geun{-}Woo Jeon and Jun{-}Ho Choi and Jun{-}Hyuk Kim and Jong{-}Seok Lee and Steven Marty and {\'{E}}ric Marty and Dongliang Xiong and Siang Chen and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Haicheng Wang and Vineeth Bhaskara and Alex Levinshtein and Stavros Tsogkas and Allan D. Jepson and Xiangzhen Kong and Tongtong Zhao and Shanshan Zhao and Hrishikesh P. S and Densen Puthussery and C. Victor Jiji and Nan Nan and Shuai Liu and Jie Cai and Zibo Meng and Jiaming Ding and Chiu Man Ho and Xuehui Wang and Qiong Yan and Yuzhi Zhao and Long Chen and Long Sun and Wenhao Wang and Zhenbing Liu and Rushi Lan and Rao Muhammad Umer and Christian Micheloni}, title = {{AIM} 2020 Challenge on Efficient Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.06943}, year = {2020}, url = {https://arxiv.org/abs/2009.06943}, eprinttype = {arXiv}, eprint = {2009.06943}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-06943.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12072, author = {Pengxu Wei and Hannan Lu and Radu Timofte and Liang Lin and Wangmeng Zuo and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Tangxin Xie and Liang Cao and Yan Zou and Yi Shen and Jialiang Zhang and Yu Jia and Kaihua Cheng and Chenhuan Wu and Yue Lin and Cen Liu and Yunbo Peng and Xueyi Zou and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Munawar Hayat and Fahad Shahbaz Khan and Keon{-}Hee Ahn and Jun{-}Hyuk Kim and Jun{-}Ho Choi and Jong{-}Seok Lee and Tongtong Zhao and Shanshan Zhao and Yoseob Han and Byung{-}Hoon Kim and JaeHyun Baek and Haoning Wu and Dejia Xu and Bo Zhou and Wei Guan and Xiaobo Li and Chen Ye and Hao Li and Haoyu Zhong and Yukai Shi and Zhijing Yang and Xiaojun Yang and Xin Li and Xin Jin and Yaojun Wu and Yingxue Pang and Sen Liu and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Marie{-}Paule Cani and Wan{-}Chi Siu and Yuanbo Zhou and Rao Muhammad Umer and Christian Micheloni and Xiaofeng Cong and Rajat Gupta and Feras Almasri and Thomas Vandamme and Olivier Debeir}, title = {{AIM} 2020 Challenge on Real Image Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2009.12072}, year = {2020}, url = {https://arxiv.org/abs/2009.12072}, eprinttype = {arXiv}, eprint = {2009.12072}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-04994, author = {Andrey Ignatov and Radu Timofte and Zhilu Zhang and Ming Liu and Haolin Wang and Wangmeng Zuo and Jiawei Zhang and Ruimao Zhang and Zhanglin Peng and Sijie Ren and Linhui Dai and Xiaohong Liu and Chengqi Li and Jun Chen and Yuichi Ito and Bhavya Vasudeva and Puneesh Deora and Umapada Pal and Zhenyu Guo and Yu Zhu and Tian Liang and Chenghua Li and Cong Leng and Zhihong Pan and Baopu Li and Byung{-}Hoon Kim and Joonyoung Song and Jong Chul Ye and JaeHyun Baek and Magauiya Zhussip and Yeskendir Koishekenov and Hwechul Cho and Xin Liu and Xueying Hu and Jun Jiang and Jinwei Gu and Kai Li and Pengliang Tang and Bingxin Hou}, title = {{AIM} 2020 Challenge on Learned Image Signal Processing Pipeline}, journal = {CoRR}, volume = {abs/2011.04994}, year = {2020}, url = {https://arxiv.org/abs/2011.04994}, eprinttype = {arXiv}, eprint = {2011.04994}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-04994.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-06372, author = {Wonseok Jang and Hansaem Jeong and Kyungtae Kang and Nikil D. Dutt and Jong{-}Chan Kim}, title = {{R-TOD:} Real-Time Object Detector with Minimized End-to-End Delay for Autonomous Driving}, journal = {CoRR}, volume = {abs/2011.06372}, year = {2020}, url = {https://arxiv.org/abs/2011.06372}, eprinttype = {arXiv}, eprint = {2011.06372}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-06372.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-14700, author = {Sangwoong Yoon and Woo{-}Young Kang and Sungwook Jeon and SeongEun Lee and Changjin Han and Jonghun Park and Eun{-}Sol Kim}, title = {Image-to-Image Retrieval by Learning Similarity between Scene Graphs}, journal = {CoRR}, volume = {abs/2012.14700}, year = {2020}, url = {https://arxiv.org/abs/2012.14700}, eprinttype = {arXiv}, eprint = {2012.14700}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-14700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimJKKSHSKHSH20, author = {Hangi Kim and Yongjin Jeon and Giyoon Kim and Jongsung Kim and Bo{-}Yeon Sim and Dong{-}Guk Han and Hwajeong Seo and Seonggyeom Kim and Seokhie Hong and Jaechul Sung and Deukjo Hong}, title = {A New Method for Designing Lightweight S-boxes with High Differential and Linear Branch Numbers, and Its Application}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1582}, year = {2020}, url = {https://eprint.iacr.org/2020/1582}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/KimJKKSHSKHSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiR019, author = {Jae{-}Woo Choi and Si{-}Jung Ryu and Jong{-}Hwan Kim}, title = {Short-Range Radar Based Real-Time Hand Gesture Recognition Using {LSTM} Encoder}, journal = {{IEEE} Access}, volume = {7}, pages = {33610--33618}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2903586}, doi = {10.1109/ACCESS.2019.2903586}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiR019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HarerimanaKYJ19, author = {Gaspard Harerimana and Jong Wook Kim and Hoon Yoo and Beakcheol Jang}, title = {Deep Learning for Electronic Health Records Analytics}, journal = {{IEEE} Access}, volume = {7}, pages = {101245--101259}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2928363}, doi = {10.1109/ACCESS.2019.2928363}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HarerimanaKYJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HoangLKCKPK19, author = {Manh Cuong Hoang and Viet Ha Le and Jayoung Kim and Eunpyo Choi and Byungjeon Kang and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Untethered Robotic Motion and Rotating Blade Mechanism for Actively Locomotive Biopsy Capsule Endoscope}, journal = {{IEEE} Access}, volume = {7}, pages = {93364--93374}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2927894}, doi = {10.1109/ACCESS.2019.2927894}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HoangLKCKPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongLKY19, author = {Sung{-}Tae Hong and Harim Lee and Hyoil Kim and Hyun Jong Yang}, title = {Lightweight Wi-Fi Frame Detection for Licensed Assisted Access {LTE}}, journal = {{IEEE} Access}, volume = {7}, pages = {77618--77628}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2921724}, doi = {10.1109/ACCESS.2019.2921724}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HongLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangKHK19, author = {Beakcheol Jang and Myeonghwi Kim and Gaspard Harerimana and Jong Wook Kim}, title = {Q-Learning Algorithms: {A} Comprehensive Classification and Applications}, journal = {{IEEE} Access}, volume = {7}, pages = {133653--133667}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2941229}, doi = {10.1109/ACCESS.2019.2941229}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JangKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHMPK19, author = {Youngsoon Kim and Jie Hao and Tejaswini Mallavarapu and Jong Hyuk Park and Mingon Kang}, title = {Hi-LASSO: High-Dimensional {LASSO}}, journal = {{IEEE} Access}, volume = {7}, pages = {44562--44573}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2909071}, doi = {10.1109/ACCESS.2019.2909071}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHMPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKKKLSL19, author = {Donghwa Kim and Pilsung Kang and Junhong Kim and Czang Yeob Kim and Jong{-}Ha Lee and Sangil Suh and Moon{-}Soo Lee}, title = {Machine Learning Classification of First-Onset Drug-Naive {MDD} Using Structural {MRI}}, journal = {{IEEE} Access}, volume = {7}, pages = {153977--153985}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2949128}, doi = {10.1109/ACCESS.2019.2949128}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKKKLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KooSCLKY19, author = {Gyogwon Koo and Crino Shin and Hyeyeon Choi and Jong{-}Hak Lee and Sang Woo Kim and Jong Pil Yun}, title = {Automated Brittle Fracture Rate Estimator for Steel Property Evaluation Using Deep Learning After Drop-Weight Tear Test}, journal = {{IEEE} Access}, volume = {7}, pages = {145095--145103}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2945563}, doi = {10.1109/ACCESS.2019.2945563}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KooSCLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKC19, author = {Jinbae Lee and Bobae Kim and Jong{-}Moon Chung}, title = {Time Estimation and Resource Minimization Scheme for Apache Spark and Hadoop Big Data Systems With Failures}, journal = {{IEEE} Access}, volume = {7}, pages = {9658--9666}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2891001}, doi = {10.1109/ACCESS.2019.2891001}, timestamp = {Thu, 14 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKH19, author = {Jong{-}Hoon Lee and Jong{-}Hyun Kim and Ikkyun Kim and Kijun Han}, title = {Cyber Threat Detection Based on Artificial Neural Networks Using Event Profiles}, journal = {{IEEE} Access}, volume = {7}, pages = {165607--165626}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2953095}, doi = {10.1109/ACCESS.2019.2953095}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NguyenNLK19a, author = {Tien{-}Tung Nguyen and Van{-}Dinh Nguyen and Jong{-}Ho Lee and Yong{-}Hwa Kim}, title = {Sum Rate Maximization for Multi-User Wireless Powered IoT Network With Non-Linear Energy Harvester: Time and Power Allocation}, journal = {{IEEE} Access}, volume = {7}, pages = {149698--149710}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2947321}, doi = {10.1109/ACCESS.2019.2947321}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/NguyenNLK19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/LuoKPBMM19, author = {Shaocheng Luo and Jonghoek Kim and Ramviyas Parasuraman and Jun Han Bae and Eric T. Matson and Byung{-}Cheol Min}, title = {Multi-robot rendezvous based on bearing-aided hierarchical tracking of network topology}, journal = {Ad Hoc Networks}, volume = {86}, pages = {131--143}, year = {2019}, url = {https://doi.org/10.1016/j.adhoc.2018.11.004}, doi = {10.1016/J.ADHOC.2018.11.004}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/LuoKPBMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/UsmanRHK19, author = {Muhammad Usman and Aris Cahyadi Risdianto and Jung{-}Su Han and JongWon Kim}, title = {Interactive Visualization of SDN-Enabled Multisite Cloud Playgrounds Leveraging SmartX MultiView Visibility Framework}, journal = {Comput. J.}, volume = {62}, number = {6}, pages = {838--854}, year = {2019}, url = {https://doi.org/10.1093/comjnl/bxy103}, doi = {10.1093/COMJNL/BXY103}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/UsmanRHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/MaKL19, author = {Haoxiang Ma and Jong Min Kim and Eunkyung Lee}, title = {Analyzing dynamic review manipulation and its impact on movie box office revenue}, journal = {Electron. Commer. Res. Appl.}, volume = {35}, year = {2019}, url = {https://doi.org/10.1016/j.elerap.2019.100840}, doi = {10.1016/J.ELERAP.2019.100840}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecra/MaKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ChaeKNK19, author = {Seungjae Chae and Young{-}Sik Kim and Jong{-}Seon No and Young{-}Han Kim}, title = {Attack Algorithm for a Keystore-Based Secret Key Generation Method}, journal = {Entropy}, volume = {21}, number = {2}, pages = {212}, year = {2019}, url = {https://doi.org/10.3390/e21020212}, doi = {10.3390/E21020212}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/ChaeKNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/AlyamkinABBCCCF19, author = {Sergei Alyamkin and Matthew Ardi and Alexander C. Berg and Achille Brighton and Bo Chen and Yiran Chen and Hsin{-}Pai Cheng and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Abhinav Goel and Alexander Goncharenko and Xuyang Guo and Soonhoi Ha and Andrew Howard and Xiao Hu and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Jong{-}gook Ko and Alexander Kondratyev and Junhyeok Lee and Seungjae Lee and Suwoong Lee and Zichao Li and Zhiyu Liang and Juzheng Liu and Xin Liu and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Hong Hanh Nguyen and Eunbyung Park and Denis Repin and Liang Shen and Tao Sheng and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {Low-Power Computer Vision: Status, Challenges, and Opportunities}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {9}, number = {2}, pages = {411--421}, year = {2019}, url = {https://doi.org/10.1109/JETCAS.2019.2911899}, doi = {10.1109/JETCAS.2019.2911899}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/AlyamkinABBCCCF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iam/YunLK19, author = {Haejung Yun and Gwanhoo Lee and Dan J. Kim}, title = {A chronological review of empirical research on personal information privacy concerns: An analysis of contexts and research constructs}, journal = {Inf. Manag.}, volume = {56}, number = {4}, pages = {570--601}, year = {2019}, url = {https://doi.org/10.1016/j.im.2018.10.001}, doi = {10.1016/J.IM.2018.10.001}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iam/YunLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KangJJZK19, author = {Taeho Kang and Sangwoo Ji and Hayoung Jeong and Bin Zhu and Jong Kim}, title = {WearAuth: Wristwear-Assisted User Authentication for Smartphones Using Wavelet-Based Multi-Resolution Analysis}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {102-D}, number = {10}, pages = {1976--1992}, year = {2019}, url = {https://doi.org/10.1587/transinf.2019EDP7024}, doi = {10.1587/TRANSINF.2019EDP7024}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/KangJJZK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/HussenCPK19, author = {Hassen Redwan Hussen and Sung{-}Chan Choi and Jong{-}Hong Park and Jaeho Kim}, title = {Predictive geographic multicast routing protocol in flying ad hoc networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {15}, number = {7}, year = {2019}, url = {https://doi.org/10.1177/1550147719843879}, doi = {10.1177/1550147719843879}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/HussenCPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijseke/KimLH19, author = {Jae Kwon Kim and Jong Sik Lee and Youngshin Han}, title = {Fault Detection Prediction Using a Deep Belief Network-Based Multi-Classifier in the Semiconductor Manufacturing Process}, journal = {Int. J. Softw. Eng. Knowl. Eng.}, volume = {29}, number = {8}, pages = {1125--1139}, year = {2019}, url = {https://doi.org/10.1142/S0218194019400126}, doi = {10.1142/S0218194019400126}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijseke/KimLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/KimCH19, author = {Dongchan Kim and Jong{-}Hee Chae and Yeji Han}, title = {Pediatric brain extraction from T2-weighted {MR} images using 3D dual frame U-net and human connectome database}, journal = {Int. J. Imaging Syst. Technol.}, volume = {29}, number = {4}, pages = {476--482}, year = {2019}, url = {https://doi.org/10.1002/ima.22325}, doi = {10.1002/IMA.22325}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/KimCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeYKH19, author = {Jae{-}Yung Lee and Sung{-}Jun Yoon and Jae{-}Gon Kim and Jong{-}Ki Han}, title = {Weighted {DCT-IF} for Image up Scaling}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {2}, pages = {790--809}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.02.017}, doi = {10.3837/TIIS.2019.02.017}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/LeeYKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PengCOLJKLBS19, author = {Yimai Peng and Kyojin David Choo and Sechang Oh and Inhee Lee and Tae{-}Kwang Jang and Yejoong Kim and Jongyup Lim and David T. Blaauw and Dennis Sylvester}, title = {An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Sense-and-Set Rectifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {12}, pages = {3348--3361}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2945262}, doi = {10.1109/JSSC.2019.2945262}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PengCOLJKLBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/BaeJGJKJHJL19, author = {Jonghyun Bae and Hakbeom Jang and Jeonghun Gong and Wenjing Jin and Shine Kim and Jaeyoung Jang and Tae Jun Ham and Jinkyu Jeong and Jae W. Lee}, title = {SSDStreamer: Specializing {I/O} Stack for Large-Scale Machine Learning}, journal = {{IEEE} Micro}, volume = {39}, number = {5}, pages = {73--81}, year = {2019}, url = {https://doi.org/10.1109/MM.2019.2930497}, doi = {10.1109/MM.2019.2930497}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/BaeJGJKJHJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimKYK19, author = {Hangi Kim and Do{-}Won Kim and Okyeon Yi and Jongsung Kim}, title = {Cryptanalysis of hash functions based on blockciphers suitable for IoT service platform security}, journal = {Multim. Tools Appl.}, volume = {78}, number = {3}, pages = {3107--3130}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-5630-4}, doi = {10.1007/S11042-018-5630-4}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimKYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/DattaDK19, author = {Rituparna Datta and Kalyanmoy Deb and Jong{-}Hwan Kim}, title = {{CHIP:} Constraint Handling with Individual Penalty approach using a hybrid evolutionary algorithm}, journal = {Neural Comput. Appl.}, volume = {31}, number = {9}, pages = {5255--5271}, year = {2019}, url = {https://doi.org/10.1007/s00521-018-3364-x}, doi = {10.1007/S00521-018-3364-X}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/DattaDK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LimBELKKPL19, author = {Suhwan Lim and Jong{-}Ho Bae and Jai{-}Ho Eum and Sungtae Lee and Chul{-}Heung Kim and Dongseok Kwon and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {Adaptive learning rule for hardware-based deep neural networks using electronic synapse devices}, journal = {Neural Comput. Appl.}, volume = {31}, number = {11}, pages = {8101--8116}, year = {2019}, url = {https://doi.org/10.1007/s00521-018-3659-y}, doi = {10.1007/S00521-018-3659-Y}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/LimBELKKPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/MasiCCHKKLRWHAM19, author = {Iacopo Masi and Feng{-}Ju Chang and Jongmoo Choi and Shai Harel and Jungyeon Kim and KangGeon Kim and Jatuporn Toy Leksut and Stephen Rawls and Yue Wu and Tal Hassner and Wael AbdAlmageed and G{\'{e}}rard G. Medioni and Louis{-}Philippe Morency and Prem Natarajan and Ram Nevatia}, title = {Learning Pose-Aware Models for Pose-Invariant Face Recognition in the Wild}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {41}, number = {2}, pages = {379--393}, year = {2019}, url = {https://doi.org/10.1109/TPAMI.2018.2792452}, doi = {10.1109/TPAMI.2018.2792452}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/MasiCCHKKLRWHAM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimHKK19, author = {Jongwoo Kim and Hyung{-}Taeg Han and Sungchul Kang and Chunwoo Kim}, title = {Development of Novel Bevel-Geared 5 mm Articulating Wrist for Micro-Laparoscopy Instrument}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {4}, number = {4}, pages = {3711--3718}, year = {2019}, url = {https://doi.org/10.1109/LRA.2019.2928779}, doi = {10.1109/LRA.2019.2928779}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KimHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/VadivelKJCHJ19, author = {Suresh Krishnan Palanisamy Vadivel and Duk{-}jin Kim and Jungkyo Jung and Yang{-}Ki Cho and Ki{-}Jong Han and Kwang{-}Young Jeong}, title = {Sinking Tide Gauge Revealed by Space-borne InSAR: Implications for Sea Level Acceleration at Pohang, South Korea}, journal = {Remote. Sens.}, volume = {11}, number = {3}, pages = {277}, year = {2019}, url = {https://doi.org/10.3390/rs11030277}, doi = {10.3390/RS11030277}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/VadivelKJCHJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ChoiBKKM19, author = {Mi{-}Jung Choi and Jiwon Bang and Jongwook Kim and Hajin Kim and Yang{-}Sae Moon}, title = {All-in-One Framework for Detection, Unpacking, and Verification for Malware Analysis}, journal = {Secur. Commun. Networks}, volume = {2019}, pages = {5278137:1--5278137:16}, year = {2019}, url = {https://doi.org/10.1155/2019/5278137}, doi = {10.1155/2019/5278137}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ChoiBKKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OdabashyanBBKKF19, author = {Levon Odabashyan and Arsen Babajanyan and Zhirayr Baghdasaryan and Seungwan Kim and Jongchel Kim and Barry Friedman and Jung{-}Ha Lee and Kiejin Lee}, title = {Real-Time Noninvasive Measurement of Glucose Concentration Using a Modified Hilbert Shaped Microwave Sensor}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5525}, year = {2019}, url = {https://doi.org/10.3390/s19245525}, doi = {10.3390/S19245525}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/OdabashyanBBKKF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PeddigariKPMKAC19, author = {Mahesh Peddigari and Ga{-}Yeon Kim and Chan Hee Park and Yuho Min and Jong{-}Woo Kim and Cheol{-}Woo Ahn and Jong{-}Jin Choi and Byung{-}Dong Hahn and Joon{-}Hwan Choi and Dong{-}Soo Park and Jae{-}Keun Hong and Jong{-}Taek Yeom and Kwi{-}Il Park and Dae{-}Yong Jeong and Woon{-}Ha Yoon and Jungho Ryu and Geon{-}Tae Hwang}, title = {A Comparison Study of Fatigue Behavior of Hard and Soft Piezoelectric Single Crystal Macro-Fiber Composites for Vibration Energy Harvesting}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2196}, year = {2019}, url = {https://doi.org/10.3390/s19092196}, doi = {10.3390/S19092196}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PeddigariKPMKAC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeongMSKNPC19, author = {Ki{-}Woong Seong and Ha Jun Mun and Dong Ho Shin and Jong Hoon Kim and Hideko Heidi Nakajima and Sunil Puria and Jin{-}Ho Cho}, title = {A Vibro-Acoustic Hybrid Implantable Microphone for Middle Ear Hearing Aids and Cochlear Implants}, journal = {Sensors}, volume = {19}, number = {5}, pages = {1117}, year = {2019}, url = {https://doi.org/10.3390/s19051117}, doi = {10.3390/S19051117}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeongMSKNPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShimHCHKB19, author = {Myounghoon Shim and Jong In Han and Ho Seon Choi and Seongmin Ha and Jung Hoon Kim and Yoon Su Baek}, title = {Terrain Feature Estimation Method for a Lower Limb Exoskeleton Using Kinematic Analysis and Center of Pressure}, journal = {Sensors}, volume = {19}, number = {20}, pages = {4418}, year = {2019}, url = {https://doi.org/10.3390/s19204418}, doi = {10.3390/S19204418}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShimHCHKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SonKHK19, author = {Jong{-}Hwan Son and Han{-}Gyeol Kim and Hee{-}Jeong Han and Taejung Kim}, title = {Comparison of Various Frequency Matching Schemes for Geometric Correction of Geostationary Ocean Color Imager}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5564}, year = {2019}, url = {https://doi.org/10.3390/s19245564}, doi = {10.3390/S19245564}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SonKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/KimKJJ19, author = {Jong{-}Min Kim and Nak{-}Kyeong Kim and Yoon{-}Sung Jung and Sunghae Jun}, title = {Patent data analysis using functional count data model}, journal = {Soft Comput.}, volume = {23}, number = {18}, pages = {8815--8826}, year = {2019}, url = {https://doi.org/10.1007/s00500-018-3481-6}, doi = {10.1007/S00500-018-3481-6}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/KimKJJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimKKK19b, author = {Taekyun Kim and Dae San Kim and Han Young Kim and Jongkyum Kwon}, title = {Degenerate Stirling Polynomials of the Second Kind and Some Applications}, journal = {Symmetry}, volume = {11}, number = {8}, pages = {1046}, year = {2019}, url = {https://doi.org/10.3390/sym11081046}, doi = {10.3390/SYM11081046}, timestamp = {Tue, 21 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KimKKK19b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimKKK19c, author = {Taekyun Kim and Dae San Kim and Han Young Kim and Jongkyum Kwon}, title = {Kim, T. et al. Degenerate Stirling Polynomials of the Second Kind and Some Applications. Symmetry, 2019, 11(8), 1046}, journal = {Symmetry}, volume = {11}, number = {12}, pages = {1530}, year = {2019}, url = {https://doi.org/10.3390/sym11121530}, doi = {10.3390/SYM11121530}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KimKKK19c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KunitakeKYYHA19, author = {Fuki Kunitake and Jong{-}Yeon Kim and Shiomi Yagi and Shu Yamzaki and Tomoyuki Haraguchi and Takashiro Akitsu}, title = {Chiral Recognition of Azo-Schiff Base Ligands, Their Cu(II) Complexes, and Their Docking to Laccase as Mediators}, journal = {Symmetry}, volume = {11}, number = {5}, pages = {666}, year = {2019}, url = {https://doi.org/10.3390/sym11050666}, doi = {10.3390/SYM11050666}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KunitakeKYYHA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KimCPKLKKK19, author = {Jeesu Kim and Wonseok Choi and Eun{-}Yeong Park and Youngnam Kang and Ki Jong Lee and Hyung Ham Kim and Won Jong Kim and Chulhong Kim}, title = {Real-Time Photoacoustic Thermometry Combined With Clinical Ultrasound Imaging and High-Intensity Focused Ultrasound}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {12}, pages = {3330--3338}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2904087}, doi = {10.1109/TBME.2019.2904087}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/KimCPKLKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ChoiKHY19, author = {Ui{-}Gyu Choi and Ho{-}Yeon Kim and Seong{-}Tae Han and Jong{-}Ryul Yang}, title = {Measurement Method of Amplitude Ratios and Phase Differences Based on Power Detection Among Multiple Ports}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {68}, number = {12}, pages = {4615--4617}, year = {2019}, url = {https://doi.org/10.1109/TIM.2019.2943976}, doi = {10.1109/TIM.2019.2943976}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ChoiKHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/NguyenKOKLL19, author = {Anh{-}Duc Nguyen and Jongyoo Kim and Heeseok Oh and Haksub Kim and Weisi Lin and Sanghoon Lee}, title = {Deep Visual Saliency on Stereoscopic Images}, journal = {{IEEE} Trans. Image Process.}, volume = {28}, number = {4}, pages = {1939--1953}, year = {2019}, url = {https://doi.org/10.1109/TIP.2018.2879408}, doi = {10.1109/TIP.2018.2879408}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/NguyenKOKLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/HyunKP19, author = {Jong Yoon Hyun and Hyun Kwang Kim and Jeong Rye Park}, title = {Weighted Posets and Digraphs Admitting the Extended Hamming Code to be a Perfect Code}, journal = {{IEEE} Trans. Inf. Theory}, volume = {65}, number = {8}, pages = {4664--4672}, year = {2019}, url = {https://doi.org/10.1109/TIT.2019.2902395}, doi = {10.1109/TIT.2019.2902395}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tit/HyunKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ChoSKKJRCK19, author = {Zang{-}Hee Cho and Young{-}Don Son and Hang{-}Keun Kim and Dae Hyuk Kwon and Yo{-}Han Joo and Jong Beom Ra and Yong Choi and Young{-}Bo Kim}, title = {Development of Positron Emission Tomography With Wobbling and Zooming for High Sensitivity and High-Resolution Molecular Imaging}, journal = {{IEEE} Trans. Medical Imaging}, volume = {38}, number = {12}, pages = {2875--2882}, year = {2019}, url = {https://doi.org/10.1109/TMI.2019.2916326}, doi = {10.1109/TMI.2019.2916326}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/ChoSKKJRCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/KimLSMCC19, author = {Hyeongseok Kim and Jongha Lee and Jeongtae Soh and Jonghwan Min and Young{-}Wook Choi and Seungryong Cho}, title = {Backprojection Filtration Image Reconstruction Approach for Reducing High-Density Object Artifacts in Digital Breast Tomosynthesis}, journal = {{IEEE} Trans. Medical Imaging}, volume = {38}, number = {5}, pages = {1161--1171}, year = {2019}, url = {https://doi.org/10.1109/TMI.2018.2879921}, doi = {10.1109/TMI.2018.2879921}, timestamp = {Fri, 28 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/KimLSMCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/KimHYC19, author = {Junsung Kim and Taeyoung Ha and Wonsuk Yoo and Jong{-}Moon Chung}, title = {Task Popularity-Based Energy Minimized Computation Offloading for Fog Computing Wireless Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {4}, pages = {1200--1203}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2911521}, doi = {10.1109/LWC.2019.2911521}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/KimHYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/SeoKKKK19, author = {Sungwon Seo and Jong{-}Kook Kim and Sung{-}Il Kim and Jeewoo Kim and Joongheon Kim}, title = {Semantic Hashtag Relation Classification Using Co-occurrence Word Information}, journal = {Wirel. Pers. Commun.}, volume = {107}, number = {3}, pages = {1355--1365}, year = {2019}, url = {https://doi.org/10.1007/s11277-018-5745-y}, doi = {10.1007/S11277-018-5745-Y}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/SeoKKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/LeeCKPK19, author = {Han{-}Sol Lee and Eunpyo Choi and Byungjeon Kang and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Gravity Directional Position Control of a Micro-particle through Frequency Variation of a Single Ultrasonic Transducer}, booktitle = {12th Asian Control Conference, {ASCC} 2019, Kitakyushu-shi, Japan, June 9-12, 2019}, pages = {353--357}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8765014}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/LeeCKPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/SeoJKKCLCPAR19, author = {Min{-}Jae Seo and Dong{-}Hwan Jin and Ye{-}Dam Kim and Jong{-}Pal Kim and Dong{-}Jin Chang and Won{-}Mook Lim and Jae{-}Hyun Chung and Chang{-}Un Park and Eun{-}Ji An and Seung{-}Tak Ryu}, title = {A Single-Supply Buffer-Embedding {SAR} {ADC} with Skip-Reset having Inherent Chopping Capability}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {189--192}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056894}, doi = {10.1109/A-SSCC47793.2019.9056894}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/SeoJKKCLCPAR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/SungLLJKJBCLCSC19, author = {Barosaim Sung and Chilun Lo and Jaehoon Lee and Sangdon Jung and Seungjin Kim and Jaehong Jung and Seungyong Bae and Youngsea Cho and Yong Lim and Dooseok Choi and Myeongcheol Shin and Soonwoo Choi and Byungki Han and Seunghyun Oh and Jongwoo Lee}, title = {A Blocker-Tolerant Direct Sampling Receiver for Wireless Multi-Channel Communication in 14nm FinFET {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {165--168}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056898}, doi = {10.1109/A-SSCC47793.2019.9056898}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/SungLLJKJBCLCSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KimLHLHWY19, author = {Younghoon Kim and Junehawk Lee and Kyungsoo Ha and Won{-}Kyu Lee and Deok Rim Heo and Ju Rang Woo and Seok Jong Yu}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {A computational framework for deep learning-based epitope prediction by using structure and sequence information}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1208--1210}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983334}, doi = {10.1109/BIBM47256.2019.8983334}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/KimLHLHWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KimKLKSBLKJYHLL19, author = {Sang Joon Kim and Joonseong Kang and Wonseok Lee and Jonghan Kim and Junyeub Suh and Chisung Bae and Hyungwoo Lee and Jongpal Kim and Seungchul Jung and Seok{-}Ju Yun and Young{-}Jun Hong and Hankyu Lee and Jaechun Lee and Seong Joong Kim}, title = {Micro Bio Processor: a 0.144cc 70uW closed loop platform for body implant electroceutical systems}, booktitle = {2019 {IEEE} Biomedical Circuits and Systems Conference, BioCAS 2019, Nara, Japan, October 17-19, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIOCAS.2019.8918718}, doi = {10.1109/BIOCAS.2019.8918718}, timestamp = {Mon, 16 Dec 2019 13:05:43 +0100}, biburl = {https://dblp.org/rec/conf/biocas/KimKLKSBLKJYHLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KimKPP19, author = {Jaeyoung Kim and Sanghoon Kang and Hanhoon Park and Jong{-}Il Park}, editor = {Huabo Sun}, title = {Dual Convolutional Neural Network for Image Steganalysis}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971947}, doi = {10.1109/BMSB47279.2019.8971947}, timestamp = {Tue, 04 Feb 2020 18:57:51 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/KimKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/camad/KimLLKH19, author = {Jung{-}In Kim and Seung{-}Jae Lee and Sang{-}Hyun Lee and Jong{-}Han Kim and Woon{-}Haing Hur}, title = {Test Framework for Communication Protocol Stack Software}, booktitle = {24th {IEEE} International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, {CAMAD} 2019, Limassol, Cyprus, September 11-13, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CAMAD.2019.8858495}, doi = {10.1109/CAMAD.2019.8858495}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/camad/KimLLKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cc/KimHLS0K19, author = {Bongjun Kim and Seonyeong Heo and Gyeongmin Lee and Seungbin Song and Jong Kim and Hanjun Kim}, editor = {Jos{\'{e}} Nelson Amaral and Milind Kulkarni}, title = {Spinal code: automatic code extraction for near-user computation in fogs}, booktitle = {Proceedings of the 28th International Conference on Compiler Construction, {CC} 2019, Washington, DC, USA, February 16-17, 2019}, pages = {87--98}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3302516.3307356}, doi = {10.1145/3302516.3307356}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cc/KimHLS0K19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/ChoiKCYMK19, author = {Jongwon Choi and HyungKwan Kim and Seungoh Choi and Jeong{-}Han Yun and Byung{-}Gil Min and HyoungChun Kim}, editor = {Steven D. Galbraith and Giovanni Russello and Willy Susilo and Dieter Gollmann and Engin Kirda and Zhenkai Liang}, title = {Vendor-Independent Monitoring on Programmable Logic Controller Status for {ICS} Security Log Management}, booktitle = {Proceedings of the 2019 {ACM} Asia Conference on Computer and Communications Security, AsiaCCS 2019, Auckland, New Zealand, July 09-12, 2019}, pages = {682--684}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3321705.3331007}, doi = {10.1145/3321705.3331007}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/ChoiKCYMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HwangYKK19, author = {Won{-}Seok Hwang and Jeong{-}Han Yun and Jonguk Kim and HyoungChun Kim}, editor = {Wenwu Zhu and Dacheng Tao and Xueqi Cheng and Peng Cui and Elke A. Rundensteiner and David Carmel and Qi He and Jeffrey Xu Yu}, title = {Time-Series Aware Precision and Recall for Anomaly Detection: Considering Variety of Detection Result and Addressing Ambiguous Labeling}, booktitle = {Proceedings of the 28th {ACM} International Conference on Information and Knowledge Management, {CIKM} 2019, Beijing, China, November 3-7, 2019}, pages = {2241--2244}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3357384.3358118}, doi = {10.1145/3357384.3358118}, timestamp = {Mon, 04 Nov 2019 11:08:42 +0100}, biburl = {https://dblp.org/rec/conf/cikm/HwangYKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NohKMH19, author = {Hyeonwoo Noh and Taehoon Kim and Jonghwan Mun and Bohyung Han}, title = {Transfer Learning via Unsupervised Task Discovery for Visual Question Answering}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {8385--8394}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPR\_2019/html/Noh\_Transfer\_Learning\_via\_Unsupervised\_Task\_Discovery\_for\_Visual\_Question\_Answering\_CVPR\_2019\_paper.html}, doi = {10.1109/CVPR.2019.00858}, timestamp = {Mon, 30 Aug 2021 17:01:14 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/NohKMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esorics/KimYK19, author = {Jonguk Kim and Jeong{-}Han Yun and HyoungChun Kim}, editor = {Sokratis K. Katsikas and Fr{\'{e}}d{\'{e}}ric Cuppens and Nora Cuppens and Costas Lambrinoudakis and Christos Kalloniatis and John Mylopoulos and Annie I. Ant{\'{o}}n and Stefanos Gritzalis and Frank Pallas and J{\"{o}}rg Pohle and M. Angela Sasse and Weizhi Meng and Steven Furnell and Joaqu{\'{\i}}n Garc{\'{\i}}a{-}Alfaro}, title = {Anomaly Detection for Industrial Control Systems Using Sequence-to-Sequence Neural Networks}, booktitle = {Computer Security - {ESORICS} 2019 International Workshops, CyberICPS, SECPRE, SPOSE, and ADIoT, Luxembourg City, Luxembourg, September 26-27, 2019 Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11980}, pages = {3--18}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-42048-2\_1}, doi = {10.1007/978-3-030-42048-2\_1}, timestamp = {Thu, 18 Feb 2021 13:46:45 +0100}, biburl = {https://dblp.org/rec/conf/esorics/KimYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/KimHHCLHLK19, author = {Taejin Kim and Duwon Hong and Sangwook Shane Hahn and Myoungjun Chun and Sungjin Lee and Joo Young Hwang and Jongyoul Lee and Jihong Kim}, editor = {Arif Merchant and Hakim Weatherspoon}, title = {Fully Automatic Stream Management for Multi-Streamed SSDs Using Program Contexts}, booktitle = {17th {USENIX} Conference on File and Storage Technologies, {FAST} 2019, Boston, MA, February 25-28, 2019}, pages = {295--308}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/fast19/presentation/kim-taejin}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fast/KimHHCLHLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/LeeKKK19, author = {Hansoo Lee and Jonggeun Kim and Sungshin Kim and Jaeyong Kim}, title = {A Comparative Study on Air Data System Calibration Methods based on Machine Learning}, booktitle = {2019 {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2019, New Orleans, LA, USA, June 23-26, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FUZZ-IEEE.2019.8858867}, doi = {10.1109/FUZZ-IEEE.2019.8858867}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/LeeKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KimAKC19, author = {Jong{-}Beom Kim and Jae{-}Woo Ahn and Jong Oh Kim and Seong Gon Choi}, title = {A Resource Saving {MAC/IP} Handling Method for Low-Power Wireless {TCP} Packet Network}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {196--200}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8701905}, doi = {10.23919/ICACT.2019.8701905}, timestamp = {Tue, 22 Mar 2022 13:34:04 +0100}, biburl = {https://dblp.org/rec/conf/icact/KimAKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ZiaKHL19, author = {Osama Zia and Jun{-}Ho Kim and Kyungjin Han and Jong Weon Lee}, title = {360{\textdegree} Panorama Generation using Drone Mounted Fisheye Cameras}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019, Las Vegas, NV, USA, January 11-13, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE.2019.8661954}, doi = {10.1109/ICCE.2019.8661954}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ZiaKHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccma/HanYKS19, author = {Jong{-}Boo Han and Kyon{-}Mo Yang and D. H. Kim and Kap{-}Ho Seo}, title = {A Modeling and Simulation based on the Multibody Dynamics for an Autonomous Agricultural Robot}, booktitle = {7th International Conference on Control, Mechatronics and Automation, {ICCMA} 2019, Delft, The Netherlands, November 6-8, 2019}, pages = {137--143}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCMA46720.2019.8988607}, doi = {10.1109/ICCMA46720.2019.8988607}, timestamp = {Wed, 06 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccma/HanYKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanBZRGBDDN19, author = {Matej Kristan and Amanda Berg and Linyu Zheng and Litu Rout and Luc Van Gool and Luca Bertinetto and Martin Danelljan and Matteo Dunnhofer and Meng Ni and Min Young Kim and Ming Tang and Ming{-}Hsuan Yang and Abdelrahman Eldesokey and Naveen Paluru and Niki Martinel and Pengfei Xu and Pengfei Zhang and Pengkun Zheng and Pengyu Zhang and Philip H. S. Torr and Qi Zhang and Qiang Wang and Qing Guo and Radu Timofte and Jani K{\"{a}}pyl{\"{a}} and Rama Krishna Sai Subrahmanyam Gorthi and Richard M. Everson and Ruize Han and Ruohan Zhang and Shan You and Shao{-}Chuan Zhao and Shengwei Zhao and Shihu Li and Shikun Li and Shiming Ge and Gustavo Fern{\'{a}}ndez and Shuai Bai and Shuosen Guan and Tengfei Xing and Tianyang Xu and Tianyu Yang and Ting Zhang and Tom{\'{a}}s Voj{\'{\i}}r and Wei Feng and Weiming Hu and Weizhao Wang and Abel Gonzalez{-}Garcia and Wenjie Tang and Wenjun Zeng and Wenyu Liu and Xi Chen and Xi Qiu and Xiang Bai and Xiao{-}Jun Wu and Xiaoyun Yang and Xier Chen and Xin Li and Alireza Memarmoghadam and Xing Sun and Xingyu Chen and Xinmei Tian and Xu Tang and Xuefeng Zhu and Yan Huang and Yanan Chen and Yanchao Lian and Yang Gu and Yang Liu and Andong Lu and Yanjie Chen and Yi Zhang and Yinda Xu and Yingming Wang and Yingping Li and Yu Zhou and Yuan Dong and Yufei Xu and Yunhua Zhang and Yunkun Li and Anfeng He and Zeyu Wang and Zhao Luo and Zhaoliang Zhang and Zhen{-}Hua Feng and Zhenyu He and Zhichao Song and Zhihao Chen and Zhipeng Zhang and Zhirong Wu and Zhiwei Xiong and Zhongjian Huang and Anton Varfolomieiev and Zhu Teng and Zihan Ni and Antoni B. Chan and Jir{\'{\i}} Matas and Ardhendu Shekhar Tripathi and Arnold W. M. Smeulders and Bala Suraj Pedasingu and Bao Xin Chen and Baopeng Zhang and Baoyuan Wu and Bi Li and Bin He and Bin Yan and Bing Bai and Ales Leonardis and Bing Li and Bo Li and Byeong Hak Kim and Chao Ma and Chen Fang and Chen Qian and Cheng Chen and Chenglong Li and Chengquan Zhang and Chi{-}Yi Tsai and Michael Felsberg and Chong Luo and Christian Micheloni and Chunhui Zhang and Dacheng Tao and Deepak Gupta and Dejia Song and Dong Wang and Efstratios Gavves and Eunu Yi and Fahad Shahbaz Khan and Roman P. Pflugfelder and Fangyi Zhang and Fei Wang and Fei Zhao and George De Ath and Goutam Bhat and Guangqi Chen and Guangting Wang and Guoxuan Li and Hakan Cevikalp and Hao Du and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Haojie Zhao and Hasan Saribas and Ho Min Jung and Hongliang Bai and Hongyuan Yu and Houwen Peng and Huchuan Lu and Hui Li and Jiakun Li and Luka Cehovin Zajc and Jianhua Li and Jianlong Fu and Jie Chen and Jie Gao and Jie Zhao and Jin Tang and Jing Li and Jingjing Wu and Jingtuo Liu and Jinqiao Wang and Ondrej Drbohlav and Jinqing Qi and Jinyue Zhang and John K. Tsotsos and Jong Hyuk Lee and Joost van de Weijer and Josef Kittler and Jun Ha Lee and Junfei Zhuang and Kangkai Zhang and Kangkang Wang and Alan Lukezic and Kenan Dai and Lei Chen and Lei Liu and Leida Guo and Li Zhang and Liang Wang and Liangliang Wang and Lichao Zhang and Lijun Wang and Lijun Zhou}, title = {The Seventh Visual Object Tracking {VOT2019} Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2206--2241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00276}, doi = {10.1109/ICCVW.2019.00276}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanBZRGBDDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/YuKLJPK19, author = {Jungwon Yu and Jonggeun Kim and Hansoo Lee and Seunghwan Jung and June Ho Park and Sungshin Kim}, title = {Application of CART-Based Variable Ranking for Faulty Variable Isolation in Tennessee Eastman Benchmark Process}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2019, Melbourne, Australia, February 13-15, 2019}, pages = {1000--1005}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIT.2019.8755167}, doi = {10.1109/ICIT.2019.8755167}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icit2/YuKLJPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/NaSKKKK19, author = {Sung Dae Na and Jin Wan Seok and DoYeon Kim and HaLim Kang and Jong Min Kim and Myoung Nam Kim}, title = {Auditory Pathway Verification Study of Bone Conduction Signal by {ABR} and MeMRI}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1371--1372}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8940007}, doi = {10.1109/ICTC46691.2019.8940007}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/NaSKKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimJHJCC19, author = {Byoungchul Kim and Jaemin Jung and Sangchul Han and Soyeon Jeon and Seong{-}je Cho and Jongmoo Choi}, title = {A New Technique for Detecting Android App Clones Using Implicit Intent and Method Information}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {478--483}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806121}, doi = {10.1109/ICUFN.2019.8806121}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimJHJCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KimHLLP19, author = {Chang{-}Hyun Kim and Chang{-}Wan Ha and Jaewon Lim and Jong{-}Min Lee and Doh Young Park}, title = {Sensor Offset Compensation for Improved Levitation Performance of Passive Maglev Transport System}, booktitle = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, pages = {539--544}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IECON.2019.8927792}, doi = {10.1109/IECON.2019.8927792}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/KimHLLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KimKH19, author = {Moon{-}Young Kim and Jeong{-}Il Kang and Jonghee Han}, title = {Cost-effective Design of Magnetically Coupled Power Transfer System with Standby Mode}, booktitle = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, pages = {5746--5751}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IECON.2019.8927064}, doi = {10.1109/IECON.2019.8927064}, timestamp = {Wed, 01 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/KimKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifuzzy/KimLKKKK19, author = {Eun{-}Kyeong Kim and Hansoo Lee and Jin Yong Kim and Baekcheon Kim and Jonggeun Kim and Sungshin Kim}, title = {Color Decision System for Ambiguous Color Boundary}, booktitle = {International Conference on Fuzzy Theory and Its Applications, iFUZZY 2019, New Taipei City, Taiwan, November 7-10, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/iFUZZY46984.2019.9066192}, doi = {10.1109/IFUZZY46984.2019.9066192}, timestamp = {Mon, 04 May 2020 12:29:00 +0200}, biburl = {https://dblp.org/rec/conf/ifuzzy/KimLKKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifuzzy/LeeKJKK19, author = {Hansoo Lee and Jonggeun Kim and Seunghwan Jung and Minseok Kim and Sungshin Kim}, title = {Case Dependent Feature Selection using Mean Decrease Accuracy for Convective Storm Identification}, booktitle = {International Conference on Fuzzy Theory and Its Applications, iFUZZY 2019, New Taipei City, Taiwan, November 7-10, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/iFUZZY46984.2019.9066176}, doi = {10.1109/IFUZZY46984.2019.9066176}, timestamp = {Mon, 04 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifuzzy/LeeKJKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/LeeKHK19, author = {Haegeun Lee and Jonghyun Kim and Jaeduk Han and Moon Gi Kang}, editor = {Sos S. Agaian and Karen O. Egiazarian and Atanas P. Gotchev}, title = {Multi-frame super-resolution utilizing spatially adaptive regularization for ToF camera}, booktitle = {Image Processing: Algorithms and Systems XVII, Burlingame, CA, USA, 13-17 January 2019}, publisher = {Society for Imaging Science and Technology}, year = {2019}, url = {https://doi.org/10.2352/ISSN.2470-1173.2019.11.IPAS-275}, doi = {10.2352/ISSN.2470-1173.2019.11.IPAS-275}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipas/LeeKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimLYR19, author = {Myeung Un Kim and Harim Lee and Hyun Jong Yang and Michael S. Ryoo}, title = {Privacy-Preserving Robot Vision with Anonymized Faces by Extreme Low Resolution}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {462--467}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967681}, doi = {10.1109/IROS40897.2019.8967681}, timestamp = {Fri, 31 Jan 2020 10:27:14 +0100}, biburl = {https://dblp.org/rec/conf/iros/KimLYR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isncc/SongKJNYL19, author = {Seungeon Song and Sangdong Kim and Young{-}Seok Jin and Chun Hwan Nam and Sung Hae Ye and Jonghun Lee}, title = {Development of 24GHz millimeter wave radar for energy-saving in an intelligent street lighting system}, booktitle = {2019 International Symposium on Networks, Computers and Communications, {ISNCC} 2019, Istanbul, Turkey, June 18-20, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISNCC.2019.8909106}, doi = {10.1109/ISNCC.2019.8909106}, timestamp = {Wed, 27 Nov 2019 19:28:17 +0100}, biburl = {https://dblp.org/rec/conf/isncc/SongKJNYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YooPK19, author = {Tae Koan Yoo and Jong Kang Park and Jong Tae Kim}, title = {{VLSI} Implementation of Area-Efficient Parallelized Neural Network Accelerator Using Hashing Trick}, booktitle = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, pages = {67--68}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISOCC47750.2019.9027759}, doi = {10.1109/ISOCC47750.2019.9027759}, timestamp = {Fri, 20 Mar 2020 08:51:45 +0100}, biburl = {https://dblp.org/rec/conf/isocc/YooPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/KimKPMKH19, author = {Hayoung Kim and Gihoon Kim and Jongwon Park and Kyushik Min and Dongchan Kim and Kunsoo Huh}, title = {Action Conditioned Response Prediction with Uncertainty for Automated Vehicles}, booktitle = {2019 International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2019, Taipei, Taiwan, December 3-6, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISPACS48206.2019.8986322}, doi = {10.1109/ISPACS48206.2019.8986322}, timestamp = {Wed, 19 Feb 2020 17:11:42 +0100}, biburl = {https://dblp.org/rec/conf/ispacs/KimKPMKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaekKBBCNHCYPLK19, author = {Ji{-}Seon Paek and Dong{-}Su Kim and Jun{-}Suk Bang and Jongbeom Baek and Jeong{-}Hyun Choi and Takahiro Nomiyama and Jae{-}Yeol Han and Young{-}Hwan Choo and Yong{-}Sik Youn and Euiyoung Park and Sung{-}Jun Lee and Ik{-}Hwan Kim and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {An 88{\%}-Efficiency Supply Modulator Achieving 1.08{\(\mu\)}s/V Fast Transition and 100MHz Envelope-Tracking Bandwidth for 5G New Radio {RF} Power Amplifier}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {238--240}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662460}, doi = {10.1109/ISSCC.2019.8662460}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PaekKBBCNHCYPLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaekNHKLKPLLCK19, author = {Ji{-}Seon Paek and Takahiro Nomiyama and Jae{-}Yeol Han and Ik{-}Hwan Kim and Yumi Lee and Dongsu Kim and Euiyoung Park and Sung{-}Jun Lee and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A 90ns/V Fast-Transition Symbol-Power-Tracking Buck Converter for 5G mm-Wave Phased-Array Transceiver}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {240--242}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662420}, doi = {10.1109/ISSCC.2019.8662420}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PaekNHKLKPLLCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PengCOLJKLBS19, author = {Yimai Peng and Kyojin David Choo and Sechang Oh and Inhee Lee and Tae{-}Kwang Jang and Yejoong Kim and Jongyup Lim and David T. Blaauw and Dennis Sylvester}, title = {An Adiabatic Sense and Set Rectifier for Improved Maximum-Power-Point Tracking in Piezoelectric Harvesting with 541{\%} Energy Extraction Gain}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {422--424}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662341}, doi = {10.1109/ISSCC.2019.8662341}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PengCOLJKLBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SiauKLISVA0YANK19, author = {Chang Hua Siau and Kwang{-}Ho Kim and Seungpil Lee and Katsuaki Isobe and Noboru Shibata and Kapil Verma and Takuya Ariki and Jason Li and Jong Yuh and Anirudh Amarnath and Qui Nguyen and Ohwon Kwon and Stanley Jeong and Heguang Li and Hua{-}Ling Hsu and Taiyuan Tseng and Steve Choi and Siddhesh Darne and Pradeep Anantula and Alex Yap and Hardwell Chibvongodze and Hitoshi Miwa and Minoru Yamashita and Mitsuyuki Watanabe and Koichiro Hayashi and Yosuke Kato and Toru Miwa and Jang Yong Kang and Masatoshi Okumura and Naoki Ookuma and Muralikrishna Balaga and Venky Ramachandra and Aki Matsuda and Swaroop Kulkarni and Raghavendra Rachineni and Pai K. Manjunath and Masahito Takehara and Anil Pai and Srinivas Rajendra and Toshiki Hisada and Ryo Fukuda and Naoya Tokiwa and Kazuaki Kawaguchi and Masashi Yamaoka and Hiromitsu Komai and Takatoshi Minamoto and Masaki Unno and Susumu Ozawa and Hiroshi Nakamura and Tomoo Hishida and Yasuyuki Kajitani and Lei Lin}, title = {A 512Gb 3-bit/Cell 3D Flash Memory on 128-Wordline-Layer with 132MB/s Write Performance Featuring Circuit-Under-Array Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {218--220}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662445}, doi = {10.1109/ISSCC.2019.8662445}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SiauKLISVA0YANK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medprai/HasanK19, author = {Md Junayed Hasan and Jongmyon Kim}, editor = {Chawki Djeddi and Akhtar Jamil and Imran Siddiqi}, title = {Deep Convolutional Neural Network with 2D Spectral Energy Maps for Fault Diagnosis of Gearboxes Under Variable Speed}, booktitle = {Pattern Recognition and Artificial Intelligence - Third Mediterranean Conference, MedPRAI 2019, Istanbul, Turkey, December 22-23, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1144}, pages = {106--117}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-37548-5\_9}, doi = {10.1007/978-3-030-37548-5\_9}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medprai/HasanK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimLYBJKSCBS19, author = {Yoon{-}Chul Kim and Ji{-}Eun Lee and Inwu Yu and In{-}Young Baek and Han{-}Gil Jeong and Beom{-}Joon Kim and Joon{-}Kyung Seong and Jong{-}Won Chung and Oh Young Bang and Woo{-}Keun Seo}, editor = {Kensaku Mori and Horst K. Hahn}, title = {Evaluation of U-net segmentation models for infarct volume measurement in acute ischemic stroke: comparison with fixed {ADC} threshold-based methods}, booktitle = {Medical Imaging 2019: Computer-Aided Diagnosis, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10950}, pages = {109502D}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512124}, doi = {10.1117/12.2512124}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/KimLYBJKSCBS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miipop/KimHSB19, author = {Gihun Kim and Minah Han and Hyunjung Shim and Jongduk Baek}, editor = {Robert M. Nishikawa and Frank W. Samuelson}, title = {Implementation of an ideal observer model using convolutional neural network for breast {CT} images}, booktitle = {Medical Imaging 2019: Image Perception, Observer Performance, and Technology Assessment, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10952}, pages = {109520L}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512131}, doi = {10.1117/12.2512131}, timestamp = {Mon, 27 May 2019 18:23:33 +0200}, biburl = {https://dblp.org/rec/conf/miipop/KimHSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimHLKJPSKKBC19, author = {Dong Churl Kim and Young{-}Tak Han and Donghoon Lee and Seok{-}Tae Kim and Su Jeong Jeon and Sangho Park and Jang{-}Uk Shin and Yong{-}Hwan Kwon and Jong{-}Hoi Kim and Yongsoon Baek and Ho{-}Sung Cho}, title = {Carrier Diffusion Effect in Gain Chip and 60 mW Tunable External Cavity Laser with Diffusion-Limited Gain Chip and Polymer-Based Waveguide Grating}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696715}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimHLKJPSKKBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sec/KoJKKO19, author = {Hankyung Ko and Gweonho Jeong and Jongho Kim and Jihye Kim and Hyunok Oh}, editor = {Gurpreet Dhillon and Fredrik Karlsson and Karin Hedstr{\"{o}}m and Andr{\'{e}} Z{\'{u}}quete}, title = {Forward Secure Identity-Based Signature Scheme with {RSA}}, booktitle = {{ICT} Systems Security and Privacy Protection - 34th {IFIP} {TC} 11 International Conference, {SEC} 2019, Lisbon, Portugal, June 25-27, 2019, Proceedings}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {562}, pages = {314--327}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22312-0\_22}, doi = {10.1007/978-3-030-22312-0\_22}, timestamp = {Thu, 23 Apr 2020 08:52:39 +0200}, biburl = {https://dblp.org/rec/conf/sec/KoJKKO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/KimJK19, author = {Seong Uk Kim and Hanyoung Jang and Jongmin Kim}, title = {Human Motion Denoising Using Attention-Based Bidirectional Recurrent Neural Network}, booktitle = {{SIGGRAPH} Asia 2019 Posters, {SA} 2019, Brisbane, QLD, Australia, November 17-20, 2019}, pages = {2:1--2:2}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3355056.3364577}, doi = {10.1145/3355056.3364577}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/KimJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/YuKKKJ19, author = {Moonwon Yu and Byungjun Kwon and Jongmin Kim and Shinjin Kang and Hanyoung Jang}, title = {Fast Terrain-Adaptive Motion Generation using Deep Neural Networks}, booktitle = {{SIGGRAPH} Asia 2019 Technical Briefs, {SA} 2019, Brisbane, QLD, Australia, November 17-20, 2019}, pages = {57--60}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3355088.3365157}, doi = {10.1145/3355088.3365157}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/YuKKKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KimJSHKJ19, author = {Jong{-}Bin Kim and Hyeongwon Jang and Seohui Son and Hyuck Han and Sooyong Kang and Hyungsoo Jung}, editor = {Peter A. Boncz and Stefan Manegold and Anastasia Ailamaki and Amol Deshpande and Tim Kraska}, title = {Border-Collie: {A} Wait-free, Read-optimal Algorithm for Database Logging on Multicore Hardware}, booktitle = {Proceedings of the 2019 International Conference on Management of Data, {SIGMOD} Conference 2019, Amsterdam, The Netherlands, June 30 - July 5, 2019}, pages = {723--740}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299869.3300071}, doi = {10.1145/3299869.3300071}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/KimJSHKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/MoonPK19, author = {Jong Ho Moon and Jong Jin Park and Dong In Kim}, title = {Reconfigurable Heterogeneous Energy Harvester with Adaptive Mode Switching}, booktitle = {20th {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2019, Cannes, France, July 2-5, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SPAWC.2019.8815514}, doi = {10.1109/SPAWC.2019.8815514}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/spawc/MoonPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/KressLCKWPKCP19, author = {James Kress and Matthew Larsen and Jong Choi and Mark Kim and Matthew Wolf and Norbert Podhorszki and Scott Klasky and Hank Childs and David Pugmire}, editor = {Mich{\`{e}}le Weiland and Guido Juckeland and Carsten Trinitis and Ponnuswamy Sadayappan}, title = {Comparing the Efficiency of In Situ Visualization Paradigms at Scale}, booktitle = {High Performance Computing - 34th International Conference, {ISC} High Performance 2019, Frankfurt/Main, Germany, June 16-20, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11501}, pages = {99--117}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20656-7\_6}, doi = {10.1007/978-3-030-20656-7\_6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/KressLCKWPKCP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tencon/YiKKLWK19, author = {Jong Chang Yi and Young June Kim and Yi Young Kim and Seok Lee and Deok{-}Ha Woo and Chulki Kim}, title = {Detection of single-stranded {DNA} using the Dirac voltage change of graphene-based FETs}, booktitle = {{TENCON} 2019 - 2019 {IEEE} Region 10 Conference (TENCON), Kochi, India, October 17-20, 2019}, pages = {2051--2053}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/TENCON.2019.8929535}, doi = {10.1109/TENCON.2019.8929535}, timestamp = {Wed, 18 Dec 2019 14:44:16 +0100}, biburl = {https://dblp.org/rec/conf/tencon/YiKKLWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/KimBJJGLHL19, author = {Shine Kim and Jonghyun Bae and Hakbeom Jang and Wenjing Jin and Jeonghun Gong and SeungYeon Lee and Tae Jun Ham and Jae W. Lee}, editor = {Dahlia Malkhi and Dan Tsafrir}, title = {Practical Erase Suspension for Modern Low-latency SSDs}, booktitle = {Proceedings of the 2019 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2019, Renton, WA, USA, July 10-12, 2019}, pages = {813--820}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/atc19/presentation/kim-shine}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/KimBJJGLHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HanKJB19, author = {Jonghun Han and Joonsuk Kim and Changhee Joo and Saewoong Bahk}, title = {SplitScan: Sharing Wi-Fi Scan Information through Bluetooth Low Energy}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891362}, doi = {10.1109/VTCFALL.2019.8891362}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HanKJB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/YeoBJKL19, author = {Jeongho Yeo and Jonghyun Bang and Hyoungju Ji and Younsun Kim and Juho Lee}, title = {Outer Code-Based {HARQ} Retransmission for Multicast/Broadcast Services in 5G}, booktitle = {90th {IEEE} Vehicular Technology Conference, {VTC} Fall 2019, Honolulu, HI, USA, September 22-25, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VTCFall.2019.8891304}, doi = {10.1109/VTCFALL.2019.8891304}, timestamp = {Mon, 20 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/YeoBJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KimYP019, author = {Sunoh Kim and Kimin Yun and Jongyoul Park and Jin Young Choi}, title = {Skeleton-Based Action Recognition of People Handling Objects}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2019, Waikoloa Village, HI, USA, January 7-11, 2019}, pages = {61--70}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WACV.2019.00014}, doi = {10.1109/WACV.2019.00014}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/KimYP019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wims/HanKECWS19, author = {Jongbeen Han and Heemin Kim and Hyeonsang Eom and Jonathan Coignard and Kesheng Wu and Yongseok Son}, editor = {Rajendra Akerkar and Jason J. Jung}, title = {Enabling SQL-Query Processing for Ethereum-based Blockchain Systems}, booktitle = {Proceedings of the 9th International Conference on Web Intelligence, Mining and Semantics, {WIMS} 2019, Seoul, Republic of Korea, June 26-28, 2019}, pages = {9:1--9:7}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3326467.3326479}, doi = {10.1145/3326467.3326479}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wims/HanKECWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/rita/2017, editor = {Jong{-}Hwan Kim and Hyun Myung and Junmo Kim and Weiliang Xu and Eric T. Matson and Jin{-}Woo Jung and Han{-}Lim Choi}, title = {Robot Intelligence Technology and Applications 5 - Results from the 5th International Conference on Robot Intelligence Technology and Applications, RiTA 2017, Daejeon, Korea, December 13-15, 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {751}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-78452-6}, doi = {10.1007/978-3-319-78452-6}, isbn = {978-3-319-78451-9}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rita/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-06882, author = {Sunoh Kim and Kimin Yun and Jongyoul Park and Jin Young Choi}, title = {Skeleton-based Action Recognition of People Handling Objects}, journal = {CoRR}, volume = {abs/1901.06882}, year = {2019}, url = {http://arxiv.org/abs/1901.06882}, eprinttype = {arXiv}, eprint = {1901.06882}, timestamp = {Fri, 01 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-06882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07714, author = {Sergei Alyamkin and Matthew Ardi and Alexander C. Berg and Achille Brighton and Bo Chen and Yiran Chen and Hsin{-}Pai Cheng and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Abhinav Goel and Alexander Goncharenko and Xuyang Guo and Soonhoi Ha and Andrew Howard and Xiao Hu and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Jong{-}gook Ko and Alexander Kondratyev and Junhyeok Lee and Seungjae Lee and Suwoong Lee and Zichao Li and Zhiyu Liang and Juzheng Liu and Xin Liu and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Hong Hanh Nguyen and Eunbyung Park and Denis Repin and Liang Shen and Tao Sheng and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {Low-Power Computer Vision: Status, Challenges, Opportunities}, journal = {CoRR}, volume = {abs/1904.07714}, year = {2019}, url = {http://arxiv.org/abs/1904.07714}, eprinttype = {arXiv}, eprint = {1904.07714}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07714.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-06854, author = {Yoseob Han and Junyoung Kim and Jong Chul Ye}, title = {Differentiated Backprojection Domain Deep Learning for Conebeam Artifact Removal}, journal = {CoRR}, volume = {abs/1906.06854}, year = {2019}, url = {http://arxiv.org/abs/1906.06854}, eprinttype = {arXiv}, eprint = {1906.06854}, timestamp = {Mon, 24 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-06854.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-04275, author = {Seonguk Seo and Yumin Suh and Dongwan Kim and Jongwoo Han and Bohyung Han}, title = {Learning to Optimize Domain Specific Normalization for Domain Generalization}, journal = {CoRR}, volume = {abs/1907.04275}, year = {2019}, url = {http://arxiv.org/abs/1907.04275}, eprinttype = {arXiv}, eprint = {1907.04275}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-04275.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-10995, author = {Jong{-}Min Kim and You{-}Jin Jeong and Han{-}Jae Chung and Chul{-}Hyun Lee and Chang{-}Hyun Oh}, title = {Real-time interactive magnetic resonance {(MR)} temperature imaging in both aqueous and adipose tissues using cascaded deep neural networks for MR-guided focused ultrasound surgery (MRgFUS)}, journal = {CoRR}, volume = {abs/1908.10995}, year = {2019}, url = {http://arxiv.org/abs/1908.10995}, eprinttype = {arXiv}, eprint = {1908.10995}, timestamp = {Wed, 04 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-10995.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-04831, author = {Jonguk Kim and Jeong{-}Han Yun and HyoungChun Kim}, title = {Anomaly Detection for Industrial Control Systems Using Sequence-to-Sequence Neural Networks}, journal = {CoRR}, volume = {abs/1911.04831}, year = {2019}, url = {http://arxiv.org/abs/1911.04831}, eprinttype = {arXiv}, eprint = {1911.04831}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-04831.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HarerimanaJKP18, author = {Gaspard Harerimana and Beakcheol Jang and Jong Wook Kim and Hung Kook Park}, title = {Health Big Data Analytics: {A} Technology Survey}, journal = {{IEEE} Access}, volume = {6}, pages = {65661--65678}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2878254}, doi = {10.1109/ACCESS.2018.2878254}, timestamp = {Wed, 26 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HarerimanaJKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKKLK18, author = {Wanjoo Park and Da{-}Hye Kim and Sung{-}Phil Kim and Jong{-}Hwan Lee and Laehyun Kim}, title = {Gamma {EEG} Correlates of Haptic Preferences for a Dial Interface}, journal = {{IEEE} Access}, volume = {6}, pages = {22324--22331}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2827023}, doi = {10.1109/ACCESS.2018.2827023}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkKKLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KoKYHPSL18, author = {Gunhwan Ko and Pan{-}Gyu Kim and Jongcheol Yoon and Gukhee Han and Seong{-}Jin Park and Wangho Song and Byungwook Lee}, title = {Closha: bioinformatics workflow system for the analysis of massive sequencing data}, journal = {{BMC} Bioinform.}, volume = {19-S}, number = {1}, pages = {97--104}, year = {2018}, url = {https://doi.org/10.1186/s12859-018-2019-3}, doi = {10.1186/S12859-018-2019-3}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/KoKYHPSL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/SonaHLKHJKKCHIL18, author = {Peter Sona and Jong Hui Hong and Sunho Lee and Byong Joon Kim and Woon{-}Young Hong and Jongcheol Jung and Han{-}Na Kim and Hyung{-}Lae Kim and David Christopher and Laurent Herviou and Young Hwan Im and Kwee{-}Yum Lee and Tae Soon Kim and Jongsun Jung}, title = {Integrated genome sizing {(IGS)} approach for the parallelization of whole genome analysis}, journal = {{BMC} Bioinform.}, volume = {19}, number = {1}, pages = {462:1--462:10}, year = {2018}, url = {https://doi.org/10.1186/s12859-018-2499-1}, doi = {10.1186/S12859-018-2499-1}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/SonaHLKHJKKCHIL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/KimPK18, author = {Daewook Kim and Jong Yeoul Park and Yong Han Kang}, title = {Energy decay rate for a von Karman system with a boundary nonlinear delay term}, journal = {Comput. Math. Appl.}, volume = {75}, number = {9}, pages = {3269--3282}, year = {2018}, url = {https://doi.org/10.1016/j.camwa.2018.01.046}, doi = {10.1016/J.CAMWA.2018.01.046}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/KimPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/KimPK18a, author = {Sangil Kim and Jong{-}Yeoul Park and Yong Han Kang}, title = {Stochastic quasilinear viscoelastic wave equation with degenerate damping and source terms}, journal = {Comput. Math. Appl.}, volume = {75}, number = {11}, pages = {3987--3994}, year = {2018}, url = {https://doi.org/10.1016/j.camwa.2018.03.008}, doi = {10.1016/J.CAMWA.2018.03.008}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/KimPK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/ParkAJHKYSHK18, author = {Sungmi Park and Nikolay Akatyev and Yunsik Jang and Jisoo Hwang and Donghyun Kim and Woonseon Yu and Hyunwoo Shin and Changhee Han and Jonghyun Kim}, title = {A comparative study on data protection legislations and government standards to implement Digital Forensic Readiness as mandatory requirement}, journal = {Digit. Investig.}, volume = {24 Supplement}, pages = {S93--S100}, year = {2018}, url = {https://doi.org/10.1016/j.diin.2018.01.012}, doi = {10.1016/J.DIIN.2018.01.012}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/di/ParkAJHKYSHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/ParkKK18, author = {Myungseo Park and Hangi Kim and Jongsung Kim}, title = {How to decrypt PIN-Based encrypted backup data of Samsung smartphones}, journal = {Digit. Investig.}, volume = {26}, pages = {63--71}, year = {2018}, url = {https://doi.org/10.1016/j.diin.2018.05.006}, doi = {10.1016/J.DIIN.2018.05.006}, timestamp = {Mon, 15 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/di/ParkKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimB18, author = {Jongsun Kim and B. H. Bae}, title = {An anti-harmonic {MDLL} for phase-aligned on-chip clock multiplication}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {5}, pages = {20180042}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180042}, doi = {10.1587/ELEX.15.20180042}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimH18, author = {Jongsun Kim and S. W. Han}, title = {A low-power fast-lock {DCC} with a digital duty-cycle adjuster for {LPDDR3} and {LPDDR4} DRAMs}, journal = {{IEICE} Electron. Express}, volume = {15}, number = {7}, pages = {20180156}, year = {2018}, url = {https://doi.org/10.1587/elex.15.20180156}, doi = {10.1587/ELEX.15.20180156}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/RabahRHK18, author = {Mohammed Rabah and Ali Rohan and Yun{-}Jong Han and Sung{-}Ho Kim}, title = {Design of Fuzzy-PID Controller for Quadcopter Trajectory-Tracking}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {18}, number = {3}, pages = {204--213}, year = {2018}, url = {https://doi.org/10.5391/ijfis.2018.18.3.204}, doi = {10.5391/IJFIS.2018.18.3.204}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/RabahRHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsr/AhnK18, author = {Jong{-}gil Ahn and Gerard Jounghyun Kim}, title = {SPRinT: {A} Mixed Approach to a Hand-Held Robot Interface for Telepresence}, journal = {Int. J. Soc. Robotics}, volume = {10}, number = {4}, pages = {537--552}, year = {2018}, url = {https://doi.org/10.1007/s12369-017-0463-2}, doi = {10.1007/S12369-017-0463-2}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsr/AhnK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/AhnAKLHYYU18, author = {Kwangjin Ahn and Jae{-}Hyeong Ahn and JuWon Kim and Jong{-}Han Lee and Gyu Yel Hwang and Gilsung Yoo and Kap Jun Yoon and Young Uh}, title = {A New Bacterial Growth Graph Pattern Analysis to Improve Positive Predictive Value of Continuous Monitoring Blood Culture System}, journal = {J. Medical Syst.}, volume = {42}, number = {10}, pages = {189:1--189:7}, year = {2018}, url = {https://doi.org/10.1007/s10916-018-1046-y}, doi = {10.1007/S10916-018-1046-Y}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/AhnAKLHYYU18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/HeoPKKL18, author = {Jin{-}Chul Heo and Jiae Park and Sohee Kim and Jeonghon Ku and Jong{-}Ha Lee}, title = {Development and Application of Wireless Power Transmission Systems for Wireless {ECG} Sensors}, journal = {J. Sensors}, volume = {2018}, pages = {5831056:1--5831056:7}, year = {2018}, url = {https://doi.org/10.1155/2018/5831056}, doi = {10.1155/2018/5831056}, timestamp = {Thu, 16 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/HeoPKKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCYTKKHCC18, author = {Chul Kim and Chang{-}Seok Chae and Young{-}Sub Yuk and Chris M. Thomas and Yi{-}Gyeong Kim and Jong{-}Kee Kwon and Sohmyung Ha and Gert Cauwenberghs and Gyu{-}Hyeong Cho}, title = {A 500-MHz Bandwidth 7.5-mV\({}_{\mbox{pp}}\) Ripple Power-Amplifier Supply Modulator for {RF} Polar Transmitters}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {6}, pages = {1653--1665}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2804043}, doi = {10.1109/JSSC.2018.2804043}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCYTKKHCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/KimKHKH18, author = {Minju Kim and Seong{-}Dae Kim and Jonghun Hahm and Donghyun Kim and Soonho Hong}, title = {{GPR} Image Enhancement Based on Frequency Shifting and Histogram Dissimilarity}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {15}, number = {5}, pages = {684--688}, year = {2018}, url = {https://doi.org/10.1109/LGRS.2018.2809720}, doi = {10.1109/LGRS.2018.2809720}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/KimKHKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JangCSKHCL18, author = {Han{-}Ul Jang and Hak{-}Yeol Choi and Jeongho Son and Dongkyu Kim and Jong{-}Uk Hou and Sunghee Choi and Heung{-}Kyu Lee}, title = {Cropping-resilient 3D mesh watermarking based on consistent segmentation and mesh steganalysis}, journal = {Multim. Tools Appl.}, volume = {77}, number = {5}, pages = {5685--5712}, year = {2018}, url = {https://doi.org/10.1007/s11042-017-4483-6}, doi = {10.1007/S11042-017-4483-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JangCSKHCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/WangHKSS18, author = {Zihuan Wang and Kyusup Hahn and Youngsam Kim and Sanghyup Song and Jong{-}Mo Seo}, title = {A news-topic recommender system based on keywords extraction}, journal = {Multim. Tools Appl.}, volume = {77}, number = {4}, pages = {4339--4353}, year = {2018}, url = {https://doi.org/10.1007/s11042-017-5513-0}, doi = {10.1007/S11042-017-5513-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/WangHKSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HanCLYKBYKLKLKJ18, author = {Heonjong Han and Jae{-}Won Cho and Sang{-}Young Lee and Ayoung Yun and Hyojin Kim and Dasom Bae and Sunmo Yang and Chan Yeong Kim and Muyoung Lee and Eunbeen Kim and Sungho Lee and Byunghee Kang and Dabin Jeong and Yaeji Kim and Hyeon{-}Nae Jeon and Haein Jung and Sunhwee Nam and Michael Chung and Jong{-}Hoon Kim and Insuk Lee}, title = {{TRRUST} v2: an expanded reference database of human and mouse transcriptional regulatory interactions}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D380--D386}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1013}, doi = {10.1093/NAR/GKX1013}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HanCLYKBYKLKLKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nc/MardanlouYGSHKF18, author = {Vahid Mardanlou and Kimia C. Yaghoubi and Leopold N. Green and Hari K. K. Subramanian and Rizal F. Hariadi and Jongmin Kim and Elisa Franco}, title = {A coarse-grained model captures the temporal evolution of {DNA} nanotube length distributions}, journal = {Nat. Comput.}, volume = {17}, number = {1}, pages = {183--199}, year = {2018}, url = {https://doi.org/10.1007/s11047-017-9657-7}, doi = {10.1007/S11047-017-9657-7}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nc/MardanlouYGSHKF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/SongHKPK18, author = {Jongtae Song and Kyeong{-}Eun Han and Dae{-}Ub Kim and Chansung Park and Kwangjoon Kim}, title = {Priority-based grant-aware scheduling for low-latency switching}, journal = {Photonic Netw. Commun.}, volume = {36}, number = {2}, pages = {175--186}, year = {2018}, url = {https://doi.org/10.1007/s11107-018-0787-3}, doi = {10.1007/S11107-018-0787-3}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/SongHKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotics/Tayebi-Haghighi18, author = {Shahnaz Tayebi{-}Haghighi and Farzin Piltan and Jong{-}Myon Kim}, title = {Robust Composite High-Order Super-Twisting Sliding Mode Control of Robot Manipulators}, journal = {Robotics}, volume = {7}, number = {1}, pages = {13}, year = {2018}, url = {https://doi.org/10.3390/robotics7010013}, doi = {10.3390/ROBOTICS7010013}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotics/Tayebi-Haghighi18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/HaLPPCCK18, author = {Jonghyun Ha and Minhee Lee and Keunhwan Park and Gee Ho Park and Tae Hyun Choi and Kyu{-}Jin Cho and Ho{-}Young Kim}, title = {Hygrobot: {A} self-locomotive ratcheted actuator powered by environmental humidity}, journal = {Sci. Robotics}, volume = {3}, number = {14}, year = {2018}, url = {https://doi.org/10.1126/scirobotics.aar2629}, doi = {10.1126/SCIROBOTICS.AAR2629}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/HaLPPCCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BangHKHLHBKL18, author = {Jae Hun Bang and Tae Ho Hur and Dohyeong Kim and Thien Huynh{-}The and Jongwon Lee and Yongkoo Han and Oresti Banos and Jee{-}In Kim and Sungyoung Lee}, title = {Adaptive Data Boosting Technique for Robust Personalized Speech Emotion in Emotionally-Imbalanced Small-Sample Environments}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3744}, year = {2018}, url = {https://doi.org/10.3390/s18113744}, doi = {10.3390/S18113744}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BangHKHLHBKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/DuongKR18, author = {Hong Dinh Duong and Han Lae Kim and Jong Il Rhee}, title = {Development of Colorimetric and Ratiometric Fluorescence Membranes for Detection of Nitrate in the Presence of Aluminum-Containing Compounds}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2883}, year = {2018}, url = {https://doi.org/10.3390/s18092883}, doi = {10.3390/S18092883}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/DuongKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangHKKOJKL18, author = {Hyungjun Jang and Muhammad Refatul Haq and Youngkyu Kim and Jun Kim and Pyoung{-}hwa Oh and Jonghyun Ju and Seok{-}Min Kim and Jiseok Lim}, title = {Fabrication of Glass Microchannel via Glass Imprinting using a Vitreous Carbon Stamp for Flow Focusing Droplet Generator}, journal = {Sensors}, volume = {18}, number = {1}, pages = {83}, year = {2018}, url = {https://doi.org/10.3390/s18010083}, doi = {10.3390/S18010083}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JangHKKOJKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLK18, author = {Jongpal Kim and Hankyu Lee and Hyoungho Ko}, title = {0.6 V, 116 nW Neural Spike Acquisition {IC} with Self-Biased Instrumentation Amplifier and Analog Spike Extraction}, journal = {Sensors}, volume = {18}, number = {8}, pages = {2460}, year = {2018}, url = {https://doi.org/10.3390/s18082460}, doi = {10.3390/S18082460}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimSK18, author = {Han{-}Gyeol Kim and Jong{-}Hwan Son and Taejung Kim}, title = {Geometric Correction for the Geostationary Ocean Color Imager from a Combination of Shoreline Matching and Frequency Matching}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3599}, year = {2018}, url = {https://doi.org/10.3390/s18113599}, doi = {10.3390/S18113599}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LimKMOKHKK18, author = {Jongguk Lim and Giyoung Kim and Changyeun Mo and Kyoungmin Oh and Geonseob Kim and Hyeonheui Ham and Seongmin Kim and Moon S. Kim}, title = {Application of Near Infrared Reflectance Spectroscopy for Rapid and Non-Destructive Discrimination of Hulled Barley, Naked Barley, and Wheat Contaminated with \emph{Fusarium}}, journal = {Sensors}, volume = {18}, number = {1}, pages = {113}, year = {2018}, url = {https://doi.org/10.3390/s18010113}, doi = {10.3390/S18010113}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LimKMOKHKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkMKLCH18, author = {Jongwon Park and Kyushik Min and Hayoung Kim and Woosung Lee and Gaehwan Cho and Kunsoo Huh}, title = {Road Surface Classification Using a Deep Ensemble Network with Sensor Feature Selection}, journal = {Sensors}, volume = {18}, number = {12}, pages = {4342}, year = {2018}, url = {https://doi.org/10.3390/s18124342}, doi = {10.3390/S18124342}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkMKLCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoPCKAHJKL18, author = {Wonkuk Seo and JaeEun Pi and Sung Haeung Cho and Seung{-}Youl Kang and Seong{-}Deok Ahn and Chi{-}Sun Hwang and Ho{-}Sik Jeon and Jong{-}Uk Kim and Myunghee Lee}, title = {Transparent Fingerprint Sensor System for Large Flat Panel Display}, journal = {Sensors}, volume = {18}, number = {1}, pages = {293}, year = {2018}, url = {https://doi.org/10.3390/s18010293}, doi = {10.3390/S18010293}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SeoPCKAHJKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ShahidCRK18, author = {Areej Shahid and Jong{-}Hyeok Choi and Abu ul Hassan Sarwar Rana and Hyun{-}Seok Kim}, title = {Least Squares Neural Network-Based Wireless E-Nose System Using an SnO\({}_{\mbox{2}}\) Sensor Array}, journal = {Sensors}, volume = {18}, number = {5}, pages = {1446}, year = {2018}, url = {https://doi.org/10.3390/s18051446}, doi = {10.3390/S18051446}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ShahidCRK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/HaoPSKJPS18, author = {Fei Hao and Doo{-}Soon Park and Dae{-}Soo Sim and Min Jeong Kim and Young{-}Sik Jeong and Jong Hyuk Park and Hyung{-}Seok Seo}, title = {An efficient approach to understanding social evolution of location-focused online communities in location-based services}, journal = {Soft Comput.}, volume = {22}, number = {13}, pages = {4169--4174}, year = {2018}, url = {https://doi.org/10.1007/s00500-017-2627-2}, doi = {10.1007/S00500-017-2627-2}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/HaoPSKJPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/ParkKBHK18, author = {Sang Bae Park and Gyehyun Kim and Hyun Jae Baek and Jong Hee Han and Joon Ho Kim}, title = {Remote Pulse Rate Measurement From Near-Infrared Videos}, journal = {{IEEE} Signal Process. Lett.}, volume = {25}, number = {8}, pages = {1271--1275}, year = {2018}, url = {https://doi.org/10.1109/LSP.2018.2842639}, doi = {10.1109/LSP.2018.2842639}, timestamp = {Sat, 11 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/ParkKBHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeeHKN18, author = {Jae{-}Yung Lee and Jong{-}Ki Han and Jae{-}Gon Kim and Truong Q. Nguyen}, title = {Efficient Inter-View Motion Vector Prediction in Multi-View {HEVC}}, journal = {{IEEE} Trans. Broadcast.}, volume = {64}, number = {3}, pages = {666--680}, year = {2018}, url = {https://doi.org/10.1109/TBC.2017.2781127}, doi = {10.1109/TBC.2017.2781127}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LeeHKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimH18, author = {Jongsun Kim and Sangwoo Han}, title = {A Fast-Locking All-Digital Multiplying {DLL} for Fractional-Ratio Dynamic Frequency Scaling}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {3}, pages = {276--280}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2017.2688369}, doi = {10.1109/TCSII.2017.2688369}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/HaPNKY18, author = {Jong Moon Ha and Jungho Park and Kyumin Na and Yunhan Kim and Byeng D. Youn}, title = {Toothwise Fault Identification for a Planetary Gearbox Based on a Health Data Map}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {7}, pages = {5903--5912}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2779416}, doi = {10.1109/TIE.2017.2779416}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/HaPNKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/HanSKK18, author = {Jaeduk Han and Ki{-}Sun Song and Jonghyun Kim and Moon Gi Kang}, title = {Permuted Coordinate-Wise Optimizations Applied to Lp-Regularized Image Deconvolution}, journal = {{IEEE} Trans. Image Process.}, volume = {27}, number = {7}, pages = {3556--3570}, year = {2018}, url = {https://doi.org/10.1109/TIP.2018.2825112}, doi = {10.1109/TIP.2018.2825112}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tip/HanSKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeGKCCSMH18, author = {Jongchan Lee and Zahra Ghasemi and Chang{-}Sei Kim and Hao{-}Min Cheng and Chen{-}Huan Chen and Shih{-}Hsien Sung and Ramakrishna Mukkamala and Jin{-}Oh Hahn}, title = {Investigation of Viscoelasticity in the Relationship Between Carotid Artery Blood Pressure and Distal Pulse Volume Waveforms}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {2}, pages = {460--470}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2017.2672899}, doi = {10.1109/JBHI.2017.2672899}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/LeeGKCCSMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LeePKPG18, author = {Won{-}Hyuk Lee and Jong{-}Seon Park and Seung{-}Hae Kim and Jin{-}Hyung Park and Joon{-}Min Gil}, title = {A method for enhancing end-to-end transfer efficiency via performance tuning factors on dedicated circuit networks with a public cloud platform}, journal = {J. Supercomput.}, volume = {74}, number = {3}, pages = {1255--1266}, year = {2018}, url = {https://doi.org/10.1007/s11227-017-2157-9}, doi = {10.1007/S11227-017-2157-9}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LeePKPG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/LeeKYKB18, author = {Harim Lee and Hyoil Kim and Hyun Jong Yang and Jeong Tak Kim and SeungKwon Baek}, title = {Performance Analysis of License Assisted Access {LTE} with Asymmetric Hidden Terminals}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {17}, number = {9}, pages = {2141--2154}, year = {2018}, url = {https://doi.org/10.1109/TMC.2018.2793230}, doi = {10.1109/TMC.2018.2793230}, timestamp = {Sat, 11 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/LeeKYKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/KimWGDKSKFL18, author = {Kyung Sang Kim and Dufan Wu and Kuang Gong and Joyita Dutta and Jong Hoon Kim and Young{-}Don Son and Hang{-}Keun Kim and Georges El Fakhri and Quanzheng Li}, title = {Penalized {PET} Reconstruction Using Deep Learning Prior and Local Linear Fitting}, journal = {{IEEE} Trans. Medical Imaging}, volume = {37}, number = {6}, pages = {1478--1487}, year = {2018}, url = {https://doi.org/10.1109/TMI.2018.2832613}, doi = {10.1109/TMI.2018.2832613}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/KimWGDKSKFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/HaKC18, author = {Taeyoung Ha and Junsung Kim and Jong{-}Moon Chung}, title = {{HE-MAC:} Harvest-Then-Transmit Based Modified {EDCF} {MAC} Protocol for Wireless Powered Sensor Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {1}, pages = {3--16}, year = {2018}, url = {https://doi.org/10.1109/TWC.2017.2757024}, doi = {10.1109/TWC.2017.2757024}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/HaKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/LiuYKB18, author = {Peng Liu and Jongwon Yoon and Ha{-}Ryung Kim and Suman Banerjee}, title = {VideoCoreCluster: Energy-Efficient, Low-Cost, and Hardware-Assisted Video Transcoding System}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, pages = {7470234:1--7470234:13}, year = {2018}, url = {https://doi.org/10.1155/2018/7470234}, doi = {10.1155/2018/7470234}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/LiuYKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/Kim18, author = {Han{-}Jong Kim}, editor = {Ilpo Koskinen and Youn{-}Kyung Lim and Teresa Cerratto Pargman and Kenny K. N. Chow and William Odom}, title = {Rapid Smart Environment Prototyping for Early Conceptual Design}, booktitle = {Companion Publication of the 19th International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {DIS} 2018, Hong Kong, China, June 09-13, 2018}, pages = {363--366}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3197391.3205383}, doi = {10.1145/3197391.3205383}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/Kim18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimKN18, author = {Han{-}Jong Kim and Chang{-}Min Kim and Tek{-}Jin Nam}, editor = {Ilpo Koskinen and Youn{-}Kyung Lim and Teresa Cerratto Pargman and Kenny K. N. Chow and William Odom}, title = {SketchStudio: Experience Prototyping with 2.5-Dimensional Animated Design Scenarios}, booktitle = {Proceedings of the 2018 on Designing Interactive Systems Conference 2018, {DIS} 2018, Hong Kong, China, June 09-13, 2018}, pages = {831--843}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3196709.3196736}, doi = {10.1145/3196709.3196736}, timestamp = {Fri, 15 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/KimKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimKN18a, author = {Han{-}Jong Kim and Chang{-}Min Kim and Tek{-}Jin Nam}, editor = {Ilpo Koskinen and Youn{-}Kyung Lim and Teresa Cerratto Pargman and Kenny K. N. Chow and William Odom}, title = {SketchStudio: Rapid Prototyping Tool for 2.5D Animated Design Scenarios}, booktitle = {Companion Publication of the 19th International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {DIS} 2018, Hong Kong, China, June 09-13, 2018}, pages = {335--338}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3197391.3205400}, doi = {10.1145/3197391.3205400}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/KimKN18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aike/JeonCCSCKN18, author = {SungHwan Jeon and Haejin Chung and Wonseok Choi and Heeseong Shin and Jonghoon Chun and Jin Taek Kim and Yunmook Nah}, title = {MapReduce Tuning to Improve Distributed Machine Learning Performance}, booktitle = {First {IEEE} International Conference on Artificial Intelligence and Knowledge Engineering, {AIKE} 2018, Laguna Hills, CA, USA, September 26-28, 2018}, pages = {198--200}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/AIKE.2018.00045}, doi = {10.1109/AIKE.2018.00045}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aike/JeonCCSCKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/MoriZKWK18, author = {Yoshiki Mori and Mingzhu Zhu and Hye{-}Jong Kim and Akira Wada and Sadao Kawamura}, title = {Precise In-Hand Motion Control of Objects Using Soft Actuators and Visual Feedback}, booktitle = {2018 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2018, Auckland, New Zealand, July 9-12, 2018}, pages = {51--56}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AIM.2018.8452309}, doi = {10.1109/AIM.2018.8452309}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/aimech/MoriZKWK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/0002LKHPC18, author = {Van Du Nguyen and Viet Ha Le and Chang{-}Sei Kim and Jiwon Han and Jong{-}Oh Park and Eunpyo Choi}, title = {A Novel Macrophage-Based Microrobot Bearing Multiple Smart Nanotherapeutics for Targeting and Drug Delivery to Solid Tumors}, booktitle = {7th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2018, Enschede, The Netherlands, August 26-29, 2018}, pages = {55--60}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOROB.2018.8487775}, doi = {10.1109/BIOROB.2018.8487775}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/0002LKHPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/HoangLKCKPK18, author = {Manh Cuong Hoang and Viet Ha Le and Jayoung Kim and Eunpyo Choi and Byungjeon Kang and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Intestinal Tattooing Mechanism Integrated with Active Wireless Capsule Endoscope}, booktitle = {7th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2018, Enschede, The Netherlands, August 26-29, 2018}, pages = {1254--1259}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOROB.2018.8487183}, doi = {10.1109/BIOROB.2018.8487183}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/HoangLKCKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/YooLKKHPC18, author = {Ami Yoo and Kyungmin Lee and Byungjeon Kang and Chang{-}Sei Kim and Jiwon Han and Jong{-}Oh Park and Eunpyo Choi}, title = {Actively Controllable Stem Cell Spheroid-based Microrobot for Tissue Regeneration}, booktitle = {7th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2018, Enschede, The Netherlands, August 26-29, 2018}, pages = {1286--1290}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BIOROB.2018.8488086}, doi = {10.1109/BIOROB.2018.8488086}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biorob/YooLKKHPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/YangJKK18, author = {Jonghyeon Yang and Hanme Jang and Jiyeup Kim and Jungok Kim}, editor = {Wei Li and Qingli Li and Lipo Wang}, title = {Semantic Segmentation in Architectural Floor Plans for Detecting Walls and Doors}, booktitle = {11th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2018, Beijing, China, October 13-15, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CISP-BMEI.2018.8633243}, doi = {10.1109/CISP-BMEI.2018.8633243}, timestamp = {Thu, 02 Feb 2023 10:46:28 +0100}, biburl = {https://dblp.org/rec/conf/bmei/YangJKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbs/HoangLKCKKP18, author = {Manh Cuong Hoang and Viet Ha Le and Jayoung Kim and Eunpyo Choi and Byungjeon Kang and Chang{-}Sei Kim and Jong{-}Oh Park}, title = {Development of an External Electromagnetic Actuation System to Enable Unrestrained Maneuverability for an Endoscopic Capsule}, booktitle = {{IEEE} International Conference on Cyborg and Bionic Systems, {CBS} 2018, Shenzhen, China, October 25-27, 2018}, pages = {255--260}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CBS.2018.8612254}, doi = {10.1109/CBS.2018.8612254}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cbs/HoangLKCKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JeongKN18, author = {Yunwoo Jeong and Han{-}Jong Kim and Tek{-}Jin Nam}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Mechanism Perfboard: An Augmented Reality Environment for Linkage Mechanism Design and Fabrication}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {411}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3173985}, doi = {10.1145/3173574.3173985}, timestamp = {Fri, 12 Mar 2021 15:28:42 +0100}, biburl = {https://dblp.org/rec/conf/chi/JeongKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clrimg/KimKJS18, author = {Dae{-}Sik Kim and Sung{-}Yeol Kim and Jong{-}Hun Jung and Seung{-}Young Shin}, editor = {Gabriel G. Marcu and Alessandro Rizzi}, title = {High-quality Imaging Micro-LED Display based on Quantum Dot {CSP} Technology}, booktitle = {Color Imaging {XXIII:} Displaying, Processing, Hardcopy, and Applications, Burlingame, CA, USA, 28 January 2018 - 1 February 2018}, pages = {1--5}, publisher = {Society for Imaging Science and Technology}, year = {2018}, url = {https://doi.org/10.2352/ISSN.2470-1173.2018.16.COLOR-185}, doi = {10.2352/ISSN.2470-1173.2018.16.COLOR-185}, timestamp = {Mon, 17 Jul 2023 14:50:15 +0200}, biburl = {https://dblp.org/rec/conf/clrimg/KimKJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/JafarnejadsaniK18, author = {Hamidreza Jafarnejadsani and Jongmin Kim and Vishwesh V. Kulkarni and Naira Hovakimyan}, title = {Load Capacity Improvements in Nucleic Acid Based Systems Using Discrete-Time Feedback Control}, booktitle = {5th International Conference on Control, Decision and Information Technologies, CoDIT 2018, Thessaloniki, Greece, April 10-13, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CoDIT.2018.8394784}, doi = {10.1109/CODIT.2018.8394784}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/codit/JafarnejadsaniK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/ChoiJKKJK018, author = {Jiwon Choi and Hayoung Jeoung and Jihun Kim and Youngjoo Ko and Wonup Jung and Hanjun Kim and Jong Kim}, title = {Detecting and Identifying Faulty IoT Devices in Smart Home with Context Extraction}, booktitle = {48th Annual {IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2018, Luxembourg City, Luxembourg, June 25-28, 2018}, pages = {610--621}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSN.2018.00068}, doi = {10.1109/DSN.2018.00068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/ChoiJKKJK018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/ChoiCDKMSAACCDD18, author = {Jong Youl Choi and Choong{-}Seock Chang and Julien Dominski and Scott Klasky and Gabriele Merlo and Eric Suchyta and Mark Ainsworth and Bryce Allen and Franck Cappello and Michael Churchill and Philip E. Davis and Sheng Di and Greg Eisenhauer and St{\'{e}}phane Ethier and Ian T. Foster and Berk Geveci and Hanqi Guo and Kevin A. Huck and Frank Jenko and Mark Kim and James Kress and Seung{-}Hoe Ku and Qing Liu and Jeremy Logan and Allen D. Malony and Kshitij Mehta and Kenneth Moreland and Todd S. Munson and Manish Parashar and Tom Peterka and Norbert Podhorszki and Dave Pugmire and Ozan Tugluk and Ruonan Wang and Ben Whitney and Matthew Wolf and Chad Wood}, title = {Coupling Exascale Multiphysics Applications: Methods and Lessons Learned}, booktitle = {14th {IEEE} International Conference on e-Science, e-Science 2018, Amsterdam, The Netherlands, October 29 - November 1, 2018}, pages = {442--452}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/eScience.2018.00133}, doi = {10.1109/ESCIENCE.2018.00133}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eScience/ChoiCDKMSAACCDD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPZVBL18, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Luka Cehovin Zajc and Tom{\'{a}}s Voj{\'{\i}}r and Goutam Bhat and Alan Lukezic and Abdelrahman Eldesokey and Gustavo Fern{\'{a}}ndez and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and {\'{A}}lvaro Iglesias{-}Arias and A. Aydin Alatan and Abel Gonz{\'{a}}lez{-}Garc{\'{\i}}a and Alfredo Petrosino and Alireza Memarmoghadam and Andrea Vedaldi and Andrej Muhic and Anfeng He and Arnold W. M. Smeulders and Asanka G. Perera and Bo Li and Boyu Chen and Changick Kim and Changsheng Xu and Changzhen Xiong and Cheng Tian and Chong Luo and Chong Sun and Cong Hao and Daijin Kim and Deepak Mishra and Deming Chen and Dong Wang and Dongyoon Wee and Efstratios Gavves and Erhan Gundogdu and Erik Velasco{-}Salido and Fahad Shahbaz Khan and Fan Yang and Fei Zhao and Feng Li and Francesco Battistone and George De Ath and Gorthi R. K. Sai Subrahmanyam and Guilherme Sousa Bastos and Haibin Ling and Hamed Kiani Galoogahi and Hankyeol Lee and Haojie Li and Haojie Zhao and Heng Fan and Honggang Zhang and Horst Possegger and Houqiang Li and Huchuan Lu and Hui Zhi and Huiyun Li and Hyemin Lee and Hyung Jin Chang and Isabela Drummond and Jack Valmadre and Jaime Spencer Martin and Javaan Singh Chahl and Jin Young Choi and Jing Li and Jinqiao Wang and Jinqing Qi and Jinyoung Sung and Joakim Johnander and Jo{\~{a}}o F. Henriques and Jongwon Choi and Joost van de Weijer and Jorge Rodr{\'{\i}}guez Herranz and Jos{\'{e}} M. Mart{\'{\i}}nez and Josef Kittler and Junfei Zhuang and Junyu Gao and Klemen Grm and Lichao Zhang and Lijun Wang and Lingxiao Yang and Litu Rout and Liu Si and Luca Bertinetto and Lutao Chu and Manqiang Che and Mario Edoardo Maresca and Martin Danelljan and Ming{-}Hsuan Yang and Mohamed H. Abdelpakey and Mohamed S. Shehata and Myunggu Kang and Namhoon Lee and Ning Wang and Ondrej Miksik and Payman Moallem and Pablo Vicente{-}Mo{\~{n}}ivar and Pedro Senna and Peixia Li and Philip H. S. Torr and Priya Mariam Raju and Ruihe Qian and Qiang Wang and Qin Zhou and Qing Guo and Rafael Martin Nieto and Rama Krishna Sai Subrahmanyam Gorthi and Ran Tao and Richard Bowden and Richard M. Everson and Runling Wang and Sangdoo Yun and Seokeon Choi and Sergio Vivas and Shuai Bai and Shuangping Huang and Sihang Wu and Simon Hadfield and Siwen Wang and Stuart Golodetz and Ming Tang and Tianyang Xu and Tianzhu Zhang and Tobias Fischer and Vincenzo Santopietro and Vitomir Struc and Wei Wang and Wangmeng Zuo and Wei Feng and Wei Wu and Wei Zou and Weiming Hu and Wengang Zhou and Wenjun Zeng and Xiaofan Zhang and Xiaohe Wu and Xiao{-}Jun Wu and Xinmei Tian and Yan Li and Yan Lu and Yee Wei Law and Yi Wu and Yiannis Demiris and Yicai Yang and Yifan Jiao and Yuhong Li and Yunhua Zhang and Yuxuan Sun and Zheng Zhang and Zheng Zhu and Zhen{-}Hua Feng and Zhihui Wang and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {The Sixth Visual Object Tracking {VOT2018} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11129}, pages = {3--53}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11009-3\_1}, doi = {10.1007/978-3-030-11009-3\_1}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPZVBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/KimHSMKPYRYLCLL18, author = {Dae{-}Ub Kim and Kyeong{-}Eun Han and Jongtae Song and Sang{-}Rok Moon and Bup{-}Joong Kim and Chansung Park and Sanghwa Yoo and Yongwook Ra and JiWook Youn and Young{-}Wuk Lee and Junseok Choi and Jongmin Lim and Daehun Lim and Changhyun Kang and Kwangjoon Kim}, title = {Photonic Frame Based Packet Switching Optical Network}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535297}, doi = {10.1109/ECOC.2018.8535297}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/KimHSMKPYRYLCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/KimHLHLK18, author = {Taejin Kim and Sangwook Shane Hahn and Sungjin Lee and Joo Young Hwang and Jongyoul Lee and Jihong Kim}, editor = {Ashvin Goel and Nisha Talagala}, title = {PCStream: Automatic Stream Allocation Using Program Contexts}, booktitle = {10th {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2018, Boston, MA, USA, July 9-10, 2018}, publisher = {{USENIX} Association}, year = {2018}, url = {https://www.usenix.org/conference/hotstorage18/presentation/kim-taejin}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotstorage/KimHLHLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/YooHKP18, author = {Sung Min Yoo and Sung Wook Hwang and Deok Ha Kim and Jong Hyeon Park}, title = {Biped Robot Walking on Uneven Terrain Using Impedance Control and Terrain Recognition Algorithm}, booktitle = {18th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2018, Beijing, China, November 6-9, 2018}, pages = {293--298}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HUMANOIDS.2018.8624996}, doi = {10.1109/HUMANOIDS.2018.8624996}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/YooHKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/KimL18, author = {Jongwan Kim and Jih{-}Sheng Lai}, title = {Analysis of a Shunt Phase-Shift Transformer for Multi-Generator Harmonic Elimination}, booktitle = {{IEEE} Industry Applications Society Annual Meeting, {IAS} 2018, Portland, OR, USA, September 23-27, 2018}, pages = {1--16}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IAS.2018.8544556}, doi = {10.1109/IAS.2018.8544556}, timestamp = {Tue, 06 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iasam/KimL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icegov/ParkKCS18, author = {Jong Sou Park and Young Sik Kim and Chang{-}hak Choi and JangSup Shim}, title = {How to Define Value on Data under Blockchain Driven Open Data System for E-Government}, booktitle = {Proceedings of the 11th International Conference on Theory and Practice of Electronic Governance, {ICEGOV} 2018, Galway, Ireland, April 04-06, 2018}, pages = {670--672}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3209415.3209436}, doi = {10.1145/3209415.3209436}, timestamp = {Wed, 21 Nov 2018 12:44:05 +0100}, biburl = {https://dblp.org/rec/conf/icegov/ParkKCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/Ryu018, author = {Jongha Ryu and Young{-}Han Kim}, title = {Conditional Distribution Learning with Neural Networks and its Application to Universal Image Denoising}, booktitle = {2018 {IEEE} International Conference on Image Processing, {ICIP} 2018, Athens, Greece, October 7-10, 2018}, pages = {3214--3218}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIP.2018.8451573}, doi = {10.1109/ICIP.2018.8451573}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/Ryu018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc2/LeeKJK18, author = {Hansoo Lee and Jonggeun Kim and Yeongsang Jeong and Sungshin Kim}, title = {Ensemble of Radial Basis Neural Networks with Chinese Restaurant Process}, booktitle = {Proceedings of the 10th International Conference on Machine Learning and Computing, {ICMLC} 2018, Macau, China, February 26-28, 2018}, pages = {21--25}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195106.3195125}, doi = {10.1145/3195106.3195125}, timestamp = {Fri, 30 Nov 2018 02:24:54 +0100}, biburl = {https://dblp.org/rec/conf/icmlc2/LeeKJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icssa/KimL18, author = {Hyung{-}Jong Kim and Hae Young Lee}, title = {A Study on the Privacy Protection Layer for Android IoT Services (Lightning Talk)}, booktitle = {International Conference on Software Security and Assurance, {ICSSA} 2018, Seoul, Korea (South), July 26-27, 2018}, pages = {53--54}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSSA45270.2018.00022}, doi = {10.1109/ICSSA45270.2018.00022}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icssa/KimL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChangHCKJK18, author = {Ho{-}Jong Chang and Byunghun Han and Gyuseong Cho and Yongho Kim and Woosook Jeon and Hyunduk Kim}, title = {Optimization of the effect of radiation on {ASIC} chip through detector}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1485--1487}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539458}, doi = {10.1109/ICTC.2018.8539458}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChangHCKJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKK18, author = {Sunoh Choi and Youngsoo Kim and Jonghyun Kim}, title = {Similarity Hash Index}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1298--1300}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539650}, doi = {10.1109/ICTC.2018.8539650}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimY18, author = {Myeung Un Kim and Hyun Jong Yang}, title = {RNN-Based Node Selection for Sensor Networks with Energy Harvesting}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1316--1318}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539707}, doi = {10.1109/ICTC.2018.8539707}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiHPK18, author = {Sung{-}Chan Choi and Hassen Redwan Hussen and Jong{-}Hong Park and Jaeho Kim}, title = {Geolocation-Based Routing Protocol for Flying Ad Hoc Networks (FANETs)}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {50--52}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436724}, doi = {10.1109/ICUFN.2018.8436724}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiHPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/HanK18, author = {GyuBeom Han and Jong{-}Kook Kim}, title = {Classifier Comparison for Failure Detection of Induction Motors Using Current Signal}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {28--31}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436977}, doi = {10.1109/ICUFN.2018.8436977}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/HanK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/HussenCPK18, author = {Hassen Redwan Hussen and Sung{-}Chan Choi and Jong{-}Hong Park and Jaeho Kim}, title = {Performance Analysis of {MANET} Routing Protocols for {UAV} Communications}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {70--72}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436694}, doi = {10.1109/ICUFN.2018.8436694}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/HussenCPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KimKLC18, author = {Haecheon Kim and Dongwoo Kang and Kwanghee Lee and Jongmoo Choi}, title = {Fragmentation-Less FAT-compatible File System for Internet of Things}, booktitle = {Proceedings of the 12th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2018, Langkawi, Malaysia, January 05-07, 2018}, pages = {61:1--61:5}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3164541.3164554}, doi = {10.1145/3164541.3164554}, timestamp = {Wed, 21 Nov 2018 12:44:20 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/KimKLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/KimC18, author = {Jongdeog Kim and Yo{-}Han Choi}, title = {Differential Angle Scanning Surface Plasmon Resonance Detection}, booktitle = {2018 {IEEE} SENSORS, New Delhi, India, October 28-31, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSENS.2018.8589578}, doi = {10.1109/ICSENS.2018.8589578}, timestamp = {Mon, 19 Dec 2022 11:25:47 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/KimC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KimCPHKK18, author = {Juntae Kim and Heejin Choi and Jinuk Park and Minsoo Hahn and Sang{-}Jin Kim and Jong{-}Jin Kim}, editor = {B. Yegnanarayana}, title = {Korean Singing Voice Synthesis Based on an {LSTM} Recurrent Neural Network}, booktitle = {19th Annual Conference of the International Speech Communication Association, Interspeech 2018, Hyderabad, India, September 2-6, 2018}, pages = {1551--1555}, publisher = {{ISCA}}, year = {2018}, url = {https://doi.org/10.21437/Interspeech.2018-1575}, doi = {10.21437/INTERSPEECH.2018-1575}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KimCPHKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irc/ChoKLKPLLS18, author = {Hyeon Cho and Tae Jin Kim and Jae Hong Lee and Hang{-}Keun Kim and Jong{-}Oh Park and Jong Hee Lee and Cheong Lee and Young{-}Don Son}, title = {Simulation Study of Autonomous Drive for Active Capsule Endoscopy}, booktitle = {Second {IEEE} International Conference on Robotic Computing, {IRC} 2018, Laguna Hills, CA, USA, January 31 - February 2, 2018}, pages = {403--406}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IRC.2018.00083}, doi = {10.1109/IRC.2018.00083}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irc/ChoKLKPLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimSPCP18, author = {Heejin Kim and Hayeon Shin and Jiyoung Park and Youngtae Choi and Jongwoo Park}, title = {Statistical modeling and reliability prediction for transient luminance degradation of flexible OLEDs}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353566}, doi = {10.1109/IRPS.2018.8353566}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/KimSPCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LimBELKKL18, author = {Suhwan Lim and Jong{-}Ho Bae and Jai{-}Ho Eum and Sungtae Lee and Chul{-}Heung Kim and Dongseok Kwon and Jong{-}Ho Lee}, title = {Hardware-based Neural Networks using a Gated Schottky Diode as a Synapse Device}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351152}, doi = {10.1109/ISCAS.2018.8351152}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/LimBELKKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscram/MusaevSK18, author = {Aibek Musaev and Kimberly Stowers and Jonghun Kam}, editor = {Kees Boersma and Brian M. Tomaszewski}, title = {Harnessing Data to Create an Effective Drought Management System}, booktitle = {Proceedings of the 15th International Conference on Information Systems for Crisis Response and Management, Rochester, NY, USA, May 20-23, 2018}, publisher = {{ISCRAM} Association}, year = {2018}, url = {http://idl.iscram.org/files/aibekmusaev/2018/1578\_AibekMusaev\_etal2018.pdf}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscram/MusaevSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiK018, author = {Byungjun Choi and Bohun Kim and Jongsun Park}, title = {Low Cost Hardware Implementation of {LEA-128} Encryption using Bit-Serial Technique}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {46--47}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649937}, doi = {10.1109/ISOCC.2018.8649937}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChoiK018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HwangKBKKLLYCJL18, author = {Kyu{-}Dong Hwang and Boram Kim and Sang{-}Yeon Byeon and Kyu{-}Young Kim and Dae{-}Han Kwon and Hyun{-}Bae Lee and Geun{-}Il Lee and Sang{-}Sic Yoon and Jin{-}Youp Cha and Soo{-}Young Jang and Seung{-}Hun Lee and Yongsuk Joo and Gang{-}Sik Lee and Sung{-}Soo Xi and Soo{-}Bin Lim and Kyung{-}Ho Chu and Joohwan Cho and Junhyun Chun and Jonghoon Oh and Jinkook Kim and Seok Hee Lee}, title = {A 16Gb/s/pin 8Gb {GDDR6} {DRAM} with bandwidth extension techniques for high-speed applications}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {210--212}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310258}, doi = {10.1109/ISSCC.2018.8310258}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HwangKBKKLLYCJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonYCJYKKKJWB18, author = {Kyeongha Kwon and Jong{-}Hyeok Yoon and Hanho Choi and Younho Jeon and Jaehyeok Yang and Bongjin Kim and Soon{-}Won Kwon and Minsik Kim and Sejun Jeon and Hyosup Won and Hyeon{-}Min Bae}, title = {A 28Gb/s transceiver with chirp-managed {EDC} for {DML} systems}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {264--266}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310285}, doi = {10.1109/ISSCC.2018.8310285}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonYCJYKKKJWB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NomiyamaYCKHJBL18, author = {Takahiro Nomiyama and Yong{-}Sik Youn and Young{-}Hwan Choo and Dong{-}Su Kim and Jae{-}Yeol Han and Jun{-}Hee Jung and Jongbeom Baek and Sung{-}Jun Lee and Euiyoung Park and Jeong{-}Hyun Choi and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A 2TX supply modulator for envelope-tracking power amplifier supporting intra- and inter-band uplink carrier aggregation and power class-2 high-power user equipment}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {434--436}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310370}, doi = {10.1109/ISSCC.2018.8310370}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NomiyamaYCKHJBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShimKBKLKKLHKPK18, author = {Seokbo Shim and Sungho Kim and Jooyoung Bae and Keunsik Ko and Eunryeong Lee and Kwidong Kim and Kyeongtae Kim and Sangho Lee and Jinhoon Hyun and Insung Koh and Joonhong Park and Minjeong Kim and Sunhye Shin and Dongha Lee and Yunyoung Lee and Sangah Hyun and Wonjohn Choi and Dain Im and Dongheon Lee and Jieun Jang and Sangho Lee and Junhyun Chun and Jonghoon Oh and Jinkook Kim and Seok Hee Lee}, title = {A 16Gb 1.2V 3.2Gb/s/pin {DDR4} {SDRAM} with improved power distribution and repair strategy}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {212--214}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310259}, doi = {10.1109/ISSCC.2018.8310259}, timestamp = {Thu, 15 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ShimKBKLKKLHKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/SongMPKH18, author = {Seungmok Song and Kyushik Min and Jongwon Park and Hayoung Kim and Kunsoo Huh}, editor = {Wei{-}Bin Zhang and Alexandre M. Bayen and Javier J. S{\'{a}}nchez Medina and Matthew J. Barth}, title = {Estimating the Maximum Road Friction Coefficient with Uncertainty Using Deep Learning}, booktitle = {21st International Conference on Intelligent Transportation Systems, {ITSC} 2018, Maui, HI, USA, November 4-7, 2018}, pages = {3156--3161}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ITSC.2018.8569965}, doi = {10.1109/ITSC.2018.8569965}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/SongMPKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LiPAYQPWSEK18, author = {Youjie Li and Jongse Park and Mohammad Alian and Yifan Yuan and Zheng Qu and Peitian Pan and Ren Wang and Alexander G. Schwing and Hadi Esmaeilzadeh and Nam Sung Kim}, title = {A Network-Centric Hardware/Algorithm Co-Design to Accelerate Distributed Training of Deep Neural Networks}, booktitle = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018}, pages = {175--188}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MICRO.2018.00023}, doi = {10.1109/MICRO.2018.00023}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/LiPAYQPWSEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LeeKY18, author = {Harim Lee and Hyoil Kim and Hyun Jong Yang}, editor = {Rajeev Shorey and Rohan Murty and Yingying (Jennifer) Chen and Kyle Jamieson}, title = {Poster: Development of an {LAA-LTE} Transmitter with Lightweight Wi-Fi Frame Detection}, booktitle = {Proceedings of the 24th Annual International Conference on Mobile Computing and Networking, MobiCom 2018, New Delhi, India, October 29 - November 02, 2018}, pages = {729--731}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3241539.3267754}, doi = {10.1145/3241539.3267754}, timestamp = {Mon, 19 Apr 2021 12:42:35 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/LeeKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/MunLSH18, author = {Jonghwan Mun and Kimin Lee and Jinwoo Shin and Bohyung Han}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {Learning to Specialize with Knowledge Distillation for Visual Question Answering}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {8092--8102}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/0f2818101a7ac4b96ceeba38de4b934c-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/MunLSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeeHKKJCYHKB18, author = {Seo{-}Young Lee and Young{-}Tak Han and Jong{-}Hoi Kim and Young{-}Ho Ko and Hyun{-}Do Jung and Joong{-}Seon Choe and Chun Ju Youn and Won{-}Seok Han and Seok{-}Tae Kim and Yongsoon Baek}, title = {Low-Cost Hybrid-Integrated Micro-Intradyne Coherent Receiver Using {FPCB} wirings}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8385881}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeeHKKJCYHKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SongHKPK18, author = {Jongtae Song and Kyeong{-}Eun Han and Dae{-}Ub Kim and Chansung Park and Kwangjoon Kim}, title = {Scheduling Algorithm for All-optical Switch under Non-uniform Traffic Condition}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8386080}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SongHKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/LimLHK18, author = {Kyung{-}Soo Lim and Seoung{-}Hyeon Lee and Jong Wook Han and Geon Woo Kim}, editor = {Jong Hyuk Park and Hong Shen and Yunsick Sung and Hui Tian}, title = {Design Considerations for an Intelligent Video Surveillance System Using Cloud Computing}, booktitle = {Parallel and Distributed Computing, Applications and Technologies, 19th International Conference, {PDCAT} 2018, Jeju Island, South Korea, August 20-22, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {931}, pages = {84--89}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-5907-1\_9}, doi = {10.1007/978-981-13-5907-1\_9}, timestamp = {Thu, 28 Nov 2019 09:18:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/LimLHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prni/VuKL18, author = {Hanh Vu and Hyun{-}Chul Kim and Jong{-}Hwan Lee}, title = {3D convolutional neural network for feature extraction and classification of fMRI volumes}, booktitle = {2018 International Workshop on Pattern Recognition in Neuroimaging, {PRNI} 2018, Singapore, Singapore, June 12-14, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PRNI.2018.8423964}, doi = {10.1109/PRNI.2018.8423964}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prni/VuKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/LeeKKK18, author = {Hansoo Lee and Jonggeun Kim and Baekcheon Kim and Sungshin Kim}, title = {Convolutional Autoencoder Based Feature Extraction in Radar Data Analysis}, booktitle = {2018 Joint 10th International Conference on Soft Computing and Intelligent Systems {(SCIS)} and 19th International Symposium on Advanced Intelligent Systems (ISIS), Toyama, Japan, December 5-8, 2018}, pages = {81--84}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SCIS-ISIS.2018.00023}, doi = {10.1109/SCIS-ISIS.2018.00023}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/LeeKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggrapha/JangKYKK18, author = {Hanyoung Jang and Byungjun Kwon and Moonwon Yu and Seong Uk Kim and Jongmin Kim}, editor = {Nafees Bin Zafar and Kun Zhou}, title = {A variational U-Net for motion retargeting}, booktitle = {{SIGGRAPH} Asia 2018 Posters, Tokyo, Japan, December 04-07, 2018}, pages = {1:1--1:2}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283289.3283316}, doi = {10.1145/3283289.3283316}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggrapha/JangKYKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/KimKCPKWMHGPMGP18, author = {Mark Kim and James Kress and Jong Choi and Norbert Podhorszki and Scott Klasky and Matthew Wolf and Kshitij Mehta and Kevin A. Huck and Berk Geveci and Sujin Phillip and Robert Maynard and Hanqi Guo and Tom Peterka and Kenneth Moreland and Choong{-}Seock Chang and Julien Dominski and Michael Churchill and David Pugmire}, editor = {Rio Yokota and Mich{\`{e}}le Weiland and John Shalf and Sadaf R. Alam}, title = {In Situ Analysis and Visualization of Fusion Simulations: Lessons Learned}, booktitle = {High Performance Computing - {ISC} High Performance 2018 International Workshops, Frankfurt/Main, Germany, June 28, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11203}, pages = {230--242}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02465-9\_16}, doi = {10.1007/978-3-030-02465-9\_16}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/KimKCPKWMHGPMGP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JeongKPPSCTWSYP18, author = {Seongjae Jeong and Byungheon Kim and Sumin Park and Eugene Park and Alexander Spinos and Devin Carroll and Thulani Tsabedze and Yulai Weng and TaeWon Seo and Mark Yim and Frank C. Park and JongWon Kim}, title = {Variable Topology Truss: Hardware Overview, Reconfiguration Planning and Locomotion}, booktitle = {15th International Conference on Ubiquitous Robots, {UR} 2018, Honolulu, HI, USA, June 26-30, 2018}, pages = {610--615}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/URAI.2018.8441880}, doi = {10.1109/URAI.2018.8441880}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/JeongKPPSCTWSYP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/Choi0KPS18, author = {Woong Choi and Jongsun Park and Hoonki Kim and Changnam Park and Taejoong Song}, title = {Half-and-Half Compare Content Addressable Memory with Charge-Sharing Based Selective Match-Line Precharge Scheme}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {17--18}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502311}, doi = {10.1109/VLSIC.2018.8502311}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/Choi0KPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimKSHK18, author = {Doyun Kim and Sung Kim and Mingoo Seok and Hyunju Ham and Jongwhan Kim}, title = {0.5V-VIN, 165-MA/MM\({}^{\mbox{2}}\) Fully-Integrated Digital {LDO} Based on Event-Driven Self-Trisuerina Control}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {109--110}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502272}, doi = {10.1109/VLSIC.2018.8502272}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/KimKSHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/MoonPK18, author = {Jong Ho Moon and Jong Jin Park and Dong In Kim}, title = {New Reconfigurable Nonlinear Energy Harvester: Boosting Rate-Energy Tradeoff}, booktitle = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VTCSpring.2018.8417658}, doi = {10.1109/VTCSPRING.2018.8417658}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/MoonPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/LeeJK18, author = {Sanghak Lee and Sangwoo Ji and Jong Kim}, editor = {Brent ByungHoon Kang and Jin Soo Jang}, title = {Security Analysis of Mobile Web Browser Hardware Accessibility: Study with Ambient Light Sensors}, booktitle = {Information Security Applications - 19th International Conference, {WISA} 2018, Jeju Island, Korea, August 23-25, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11402}, pages = {3--15}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-17982-3\_1}, doi = {10.1007/978-3-030-17982-3\_1}, timestamp = {Tue, 14 May 2019 10:00:35 +0200}, biburl = {https://dblp.org/rec/conf/wisa/LeeJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-00694, author = {Hoyeon Lee and Jongha Lee and Hyeongseok Kim and Byungchul Cho and Seungryong Cho}, title = {Deep-neural-network based sinogram synthesis for sparse-view {CT} image reconstruction}, journal = {CoRR}, volume = {abs/1803.00694}, year = {2018}, url = {http://arxiv.org/abs/1803.00694}, eprinttype = {arXiv}, eprint = {1803.00694}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-00694.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-08342, author = {Shouvik Ganguly and Jongha Ryu and Young{-}Han Kim and Yung{-}Kyun Noh and Daniel D. Lee}, title = {Nearest neighbor density functional estimation based on inverse Laplace transform}, journal = {CoRR}, volume = {abs/1805.08342}, year = {2018}, url = {http://arxiv.org/abs/1805.08342}, eprinttype = {arXiv}, eprint = {1805.08342}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-08342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-01732, author = {Sergei Alyamkin and Matthew Ardi and Achille Brighton and Alexander C. Berg and Yiran Chen and Hsin{-}Pai Cheng and Bo Chen and Zichen Fan and Chen Feng and Bo Fu and Kent Gauen and Jongkook Go and Alexander Goncharenko and Xuyang Guo and Hong Hanh Nguyen and Andrew Howard and Yuanjun Huang and Donghyun Kang and Jaeyoun Kim and Alexander Kondratyev and Seungjae Lee and Suwoong Lee and Junhyeok Lee and Zhiyu Liang and Xin Liu and Juzheng Liu and Zichao Li and Yang Lu and Yung{-}Hsiang Lu and Deeptanshu Malik and Eunbyung Park and Denis Repin and Tao Sheng and Liang Shen and Fei Sun and David Svitov and George K. Thiruvathukal and Baiwu Zhang and Jingchi Zhang and Xiaopeng Zhang and Shaojie Zhuo}, title = {2018 Low-Power Image Recognition Challenge}, journal = {CoRR}, volume = {abs/1810.01732}, year = {2018}, url = {http://arxiv.org/abs/1810.01732}, eprinttype = {arXiv}, eprint = {1810.01732}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-01732.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-02358, author = {Hyeonwoo Noh and Taehoon Kim and Jonghwan Mun and Bohyung Han}, title = {Transfer Learning via Unsupervised Task Discovery for Visual Question Answering}, journal = {CoRR}, volume = {abs/1810.02358}, year = {2018}, url = {http://arxiv.org/abs/1810.02358}, eprinttype = {arXiv}, eprint = {1810.02358}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-02358.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-09886, author = {Jongsoo Park and Maxim Naumov and Protonu Basu and Summer Deng and Aravind Kalaiah and Daya Shanker Khudia and James Law and Parth Malani and Andrey Malevich and Nadathur Satish and Juan Miguel Pino and Martin Schatz and Alexander Sidorov and Viswanath Sivakumar and Andrew Tulloch and Xiaodong Wang and Yiming Wu and Hector Yuen and Utku Diril and Dmytro Dzhulgakov and Kim M. Hazelwood and Bill Jia and Yangqing Jia and Lin Qiao and Vijay Rao and Nadav Rotem and Sungjoo Yoo and Mikhail Smelyanskiy}, title = {Deep Learning Inference in Facebook Data Centers: Characterization, Performance Optimizations and Hardware Implications}, journal = {CoRR}, volume = {abs/1811.09886}, year = {2018}, url = {http://arxiv.org/abs/1811.09886}, eprinttype = {arXiv}, eprint = {1811.09886}, timestamp = {Mon, 16 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-09886.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BaekJKH17, author = {Jongchan Baek and Hayeong Jeon and Gwangjin Kim and Soohee Han}, title = {Visualizing Quaternion Multiplication}, journal = {{IEEE} Access}, volume = {5}, pages = {8948--8955}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2705196}, doi = {10.1109/ACCESS.2017.2705196}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BaekJKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungCKKHM17, author = {Sungwook Jung and Hoon Cho and Donghoon Kim and Kyukwang Kim and Jong{-}In Han and Hyun Myung}, title = {Development of Algal Bloom Removal System Using Unmanned Aerial Vehicle and Surface Vehicle}, journal = {{IEEE} Access}, volume = {5}, pages = {22166--22176}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2764328}, doi = {10.1109/ACCESS.2017.2764328}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JungCKKHM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KaLHCSKKJ17, author = {Sojeong Ka and Sunho Lee and Jonghee Hong and Yangrae Cho and Joohon Sung and Han{-}Na Kim and Hyung{-}Lae Kim and Jongsun Jung}, title = {HLAscan: genotyping of the {HLA} region using next-generation sequencing data}, journal = {{BMC} Bioinform.}, volume = {18}, number = {1}, pages = {258:1--258:11}, year = {2017}, url = {https://doi.org/10.1186/s12859-017-1671-3}, doi = {10.1186/S12859-017-1671-3}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/KaLHCSKKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KimJHPL17, author = {Baeksoo Kim and Jihoon Jo and Jonghyun Han and Chungoo Park and Hyunju Lee}, title = {In silico re-identification of properties of drug target proteins}, journal = {{BMC} Bioinform.}, volume = {18}, number = {7}, pages = {35--44}, year = {2017}, url = {https://doi.org/10.1186/s12859-017-1639-3}, doi = {10.1186/S12859-017-1639-3}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/KimJHPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/ChunSK17, author = {JongSerl Chun and HaiSun Shim and Soyoun Kim}, title = {A Meta-Analysis of Treatment Interventions for Internet Addiction Among Korean Adolescents}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {20}, number = {4}, pages = {225--231}, year = {2017}, url = {https://doi.org/10.1089/cyber.2016.0188}, doi = {10.1089/CYBER.2016.0188}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/ChunSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KimKHP17, author = {Dae{-}Young Kim and Seokhoon Kim and Houcine Hassan and Jong Hyuk Park}, title = {Radio resource management for data transmission in low power wide area networks integrated with large scale cyber physical systems}, journal = {Clust. Comput.}, volume = {20}, number = {2}, pages = {1831--1842}, year = {2017}, url = {https://doi.org/10.1007/s10586-017-0841-4}, doi = {10.1007/S10586-017-0841-4}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/KimKHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/LimKC17, author = {Byungnam Lim and Jong Wook Kim and Yon Dohn Chung}, title = {{CATS:} cache-aware task scheduling for Hadoop-based systems}, journal = {Clust. Comput.}, volume = {20}, number = {4}, pages = {3691--3705}, year = {2017}, url = {https://doi.org/10.1007/s10586-017-0920-6}, doi = {10.1007/S10586-017-0920-6}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/LimKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/ChoiJCLKJJLHKS17, author = {Hoon Sik Choi and Guang Sub Jo and Jong Pyo Chae and Sang Bong Lee and Chul Hang Kim and Bae Kwon Jeong and Hojin Jeong and Yun Hee Lee and In Bong Ha and Ki Mun Kang and Jin Ho Song}, title = {Defining the Optimal Time of Adaptive Replanning in Prostate Cancer Patients with Weight Change during Volumetric Arc Radiotherapy: {A} Dosimetric and Mathematical Analysis Using the Gamma Index}, journal = {Comput. Math. Methods Medicine}, volume = {2017}, pages = {4149591:1--4149591:8}, year = {2017}, url = {https://doi.org/10.1155/2017/4149591}, doi = {10.1155/2017/4149591}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/ChoiJCLKJJLHKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/KimHL17, author = {Jaekwon Kim and Youngshin Han and Jong Sik Lee}, title = {Particle swarm optimization-deep belief network-based rare class prediction model for highly class imbalance problem}, journal = {Concurr. Comput. Pract. Exp.}, volume = {29}, number = {11}, year = {2017}, url = {https://doi.org/10.1002/cpe.4128}, doi = {10.1002/CPE.4128}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/KimHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/PlataniaSCKKP17, author = {Richard Platania and Shayan Shams and Chui{-}Hui Chiu and Nayong Kim and Joohyun Kim and Seung{-}Jong Park}, title = {Hadoop-based replica exchange over heterogeneous distributed cyberinfrastructures}, journal = {Concurr. Comput. Pract. Exp.}, volume = {29}, number = {4}, year = {2017}, url = {https://doi.org/10.1002/cpe.3878}, doi = {10.1002/CPE.3878}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/PlataniaSCKKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cryptography/JeongAKL17, author = {Hae{-}Duck J. Jeong and WonHwi Ahn and Hyeonggeun Kim and Jong{-}Suk Ruth Lee}, title = {Anomalous Traffic Detection and Self-Similarity Analysis in the Environment of ATMSim}, journal = {Cryptogr.}, volume = {1}, number = {3}, pages = {24}, year = {2017}, url = {https://doi.org/10.3390/cryptography1030024}, doi = {10.3390/CRYPTOGRAPHY1030024}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cryptography/JeongAKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/ChaKMP17, author = {ByungRae Cha and JongWon Kim and Hae{-}Min Moon and Sung Bum Pan}, title = {Global experimental verification of Docker-based secured mVoIP to protect against eavesdropping and DoS attacks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2017}, pages = {63}, year = {2017}, url = {https://doi.org/10.1186/s13638-017-0843-1}, doi = {10.1186/S13638-017-0843-1}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/ChaKMP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/YoonHK17, author = {Junsub Yoon and Seo Weon Heo and Jongsun Kim}, title = {A fast-locking harmonic-free digital {DLL} for {DDR3} and {DDR4} SDRAMs}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {2}, pages = {20161020}, year = {2017}, url = {https://doi.org/10.1587/elex.13.20161020}, doi = {10.1587/ELEX.13.20161020}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/YoonHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/KimAH17, author = {Jong Kyu Kim and Pham N. Anh and Trinh Ngoc Hai}, title = {The Bruck's ergodic iteration method for the Ky Fan inequality over the fixed point set}, journal = {Int. J. Comput. Math.}, volume = {94}, number = {12}, pages = {2466--2480}, year = {2017}, url = {https://doi.org/10.1080/00207160.2017.1283414}, doi = {10.1080/00207160.2017.1283414}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/KimAH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/LeeKK17, author = {Hansoo Lee and Jonggeun Kim and Sungshin Kim}, title = {Gaussian-Based {SMOTE} Algorithm for Solving Skewed Class Distributions}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {17}, number = {4}, pages = {229--234}, year = {2017}, url = {https://doi.org/10.5391/IJFIS.2017.17.4.229}, doi = {10.5391/IJFIS.2017.17.4.229}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/LeeKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/KimHL17, author = {M. S. Hyunwoo Kim and Jong Ho Han and Jangmyung Lee}, title = {Optimal Trajectory Control for Capturing a Mobile Sound Source by a Mobile Robot}, journal = {Int. J. Humanoid Robotics}, volume = {14}, number = {4}, pages = {1750025:1--1750025:17}, year = {2017}, url = {https://doi.org/10.1142/S0219843617500256}, doi = {10.1142/S0219843617500256}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhr/KimHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/ChoKLLY17, author = {Haehyun Cho and Hyunki Kim and Jongsu Lim and Junghwan Lee and Jeong Hyun Yi}, title = {Empirical analysis of anti-reversing schemes for protecting mobile codes in the internet-of-things}, journal = {Int. J. Serv. Technol. Manag.}, volume = {23}, number = {1/2}, pages = {21--31}, year = {2017}, url = {https://doi.org/10.1504/IJSTM.2017.10002693}, doi = {10.1504/IJSTM.2017.10002693}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/ChoKLLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imds/KimJ17, author = {Jong{-}Min Kim and Sunghae Jun}, title = {Integer-valued {GARCH} processes for Apple technology analysis}, journal = {Ind. Manag. Data Syst.}, volume = {117}, number = {10}, pages = {2381--2399}, year = {2017}, url = {https://doi.org/10.1108/IMDS-01-2017-0023}, doi = {10.1108/IMDS-01-2017-0023}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/imds/KimJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/LeeCKKLPHYPPKK17, author = {Sue{-}Hyun Lee and Jiyeob Choi and Hun{-}Sung Kim and Grace Juyun Kim and Kye Hwa Lee and Chan Hee Park and Jongsoo Han and Dukyong Yoon and Man Young Park and Rae Woong Park and Hye{-}Ryun Kang and Ju Han Kim}, title = {Standard-based comprehensive detection of adverse drug reaction signals from nursing statements and laboratory results in electronic health records}, journal = {J. Am. Medical Informatics Assoc.}, volume = {24}, number = {4}, pages = {697--708}, year = {2017}, url = {https://doi.org/10.1093/jamia/ocw168}, doi = {10.1093/JAMIA/OCW168}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/LeeCKKLPHYPPKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/HwangKKMSHP17, author = {Youngmee Hwang and Kwangsun Kim and Ohyoung Kwon and Ilyoung Moon and Gangho Shin and Jongho Ham and Jintae Park}, title = {Analyzing Box-Office Hit Factors Using Big Data: Focusing on Korean Films for the Last 5 Years}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {15}, number = {4}, year = {2017}, url = {https://doi.org/10.6109/jicce.2017.15.4.217}, doi = {10.6109/JICCE.2017.15.4.217}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/HwangKKMSHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jis/ShonHKCR17, author = {Ho{-}Sun Shon and Sang Hun Han and Kyung{-}Ah Kim and Eun Jong Cha and Keun Ho Ryu}, title = {Proposal reviewer recommendation system based on big data for a national research management institute}, journal = {J. Inf. Sci.}, volume = {43}, number = {2}, pages = {147--158}, year = {2017}, url = {https://doi.org/10.1177/0165551516644168}, doi = {10.1177/0165551516644168}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jis/ShonHKCR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/ChoiKLK17, author = {Young Ju Choi and Ji{-}Hae Kim and Jong{-}Hyeok Lee and Byung{-}Gyu Kim}, title = {Performance Analysis of Future Video Coding {(FVC)} Standard Technology}, journal = {J. Multim. Inf. Syst.}, volume = {4}, number = {2}, pages = {73--78}, year = {2017}, url = {https://doi.org/10.9717/JMIS.2017.4.2.73}, doi = {10.9717/JMIS.2017.4.2.73}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/ChoiKLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/KimKHP17, author = {Dae{-}Young Kim and Seokhoon Kim and Houcine Hassan and Jong Hyuk Park}, title = {Adaptive data rate control in low power wide area networks for long range IoT services}, journal = {J. Comput. Sci.}, volume = {22}, pages = {171--178}, year = {2017}, url = {https://doi.org/10.1016/j.jocs.2017.04.014}, doi = {10.1016/J.JOCS.2017.04.014}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocs/KimKHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/LeeJYSCKH17, author = {Kyeong{-}Sang Lee and Donghyun Jin and Jong{-}Min Yeom and Minji Seo and Sungwon Choi and Jae{-}Jin Kim and Kyung{-}Soo Han}, title = {New Approach for Snow Cover Detection through Spectral Pattern Recognition with {MODIS} Data}, journal = {J. Sensors}, volume = {2017}, pages = {4820905:1--4820905:15}, year = {2017}, url = {https://doi.org/10.1155/2017/4820905}, doi = {10.1155/2017/4820905}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/LeeJYSCKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/KimKKILK17, author = {Jong{-}Hyun Kim and Wook Kim and Young Bin Kim and Jaeho Im and Jung Lee and Sun{-}Jeong Kim}, title = {Robust handling of clumping and stiffness in wet hair animation}, journal = {Comput. Animat. Virtual Worlds}, volume = {28}, number = {6}, year = {2017}, url = {https://doi.org/10.1002/cav.1796}, doi = {10.1002/CAV.1796}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/KimKKILK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/masa/KimRLJ17, author = {Jong{-}Min Kim and Jea{-}Bok Ryu and Seung{-}Joo Lee and Sunghae Jun}, title = {Penalized regression models for patent keyword analysis}, journal = {Model. Assist. Stat. Appl.}, volume = {12}, number = {3}, pages = {239--244}, year = {2017}, url = {https://doi.org/10.3233/MAS-170398}, doi = {10.3233/MAS-170398}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/masa/KimRLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/ChungHCKRK17, author = {Taejoong Chung and Jinyoung Han and Daejin Choi and Ted Taekyoung Kwon and Jong{-}Youn Rha and Hyunchul Kim}, title = {Privacy Leakage in Event-based Social Networks: {A} Meetup Case Study}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {1}, number = {{CSCW}}, pages = {35:1--35:22}, year = {2017}, url = {https://doi.org/10.1145/3134670}, doi = {10.1145/3134670}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/ChungHCKRK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/JongeneelAAAAAA17, author = {C. Victor Jongeneel and Ovokeraye Achinike{-}Oduaran and Ezekiel F. Adebiyi and Marion O. Adebiyi and Seun Adeyemi and Bola Akanle and Shaun Aron and Efejiro Ashano and Hocine Bendou and Gerrit Botha and Emile R. Chimusa and Ananyo Choudhury and Ravikiran Donthu and Jenny Drnevich and Oluwadamilare Falola and Christopher J. Fields and Scott Hazelhurst and Liesl Hendry and Itunuoluwa Isewon and Radhika S. Khetani and Judit Kumuthini and Magambo Phillip Kimuda and Lerato E. Magosi and Liudmila S. Mainzer and Suresh Maslamoney and Mamana Mbiyavanga and Ayton Meintjes and Danny Mugutso and Phelelani T. Mpangase and Richard Munthali and Victoria Nembaware and Andrew Ndhlovu and Trust Odia and Adaobi Okafor and Olaleye Oladipo and Sumir Panji and Venesa Pillay and Gloria Rendon and Dhriti Sengupta and Nicola J. Mulder}, title = {Assessing computational genomics skills: Our experience in the H3ABioNet African bioinformatics network}, journal = {PLoS Comput. Biol.}, volume = {13}, number = {6}, year = {2017}, url = {https://doi.org/10.1371/journal.pcbi.1005419}, doi = {10.1371/JOURNAL.PCBI.1005419}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/JongeneelAAAAAA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PyoPBKKLPCHNPC17, author = {Jong Cheol Pyo and Yakov Pachepsky and Sang{-}Soo Baek and YongSeong Kwon and Minjeong Kim and Hyuk Lee and Sanghyun Park and YoonKyung Cha and Rim Ha and Gibeom Nam and Yongeun Park and Kyung Hwa Cho}, title = {Optimizing Semi-Analytical Algorithms for Estimating \emph{Chlorophyll-a} and \emph{Phycocyanin} Concentrations in Inland Waters in Korea}, journal = {Remote. Sens.}, volume = {9}, number = {6}, pages = {542}, year = {2017}, url = {https://doi.org/10.3390/rs9060542}, doi = {10.3390/RS9060542}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/PyoPBKKLPCHNPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/KimSKK17, author = {Hee Eun Kim and Han Seong Son and Jonghyun Kim and Hyun Gook Kang}, title = {Systematic development of scenarios caused by cyber-attack-induced human errors in nuclear power plants}, journal = {Reliab. Eng. Syst. Saf.}, volume = {167}, pages = {290--301}, year = {2017}, url = {https://doi.org/10.1016/j.ress.2017.05.046}, doi = {10.1016/J.RESS.2017.05.046}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/KimSKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HanKLKKHCH17, author = {Kook In Han and Seungdu Kim and In Gyu Lee and Jong Pil Kim and Jung{-}Ha Kim and Suck Won Hong and Byung Jin Cho and Wan Sik Hwang}, title = {Compliment Graphene Oxide Coating on Silk Fiber Surface via Electrostatic Force for Capacitive Humidity Sensor Applications}, journal = {Sensors}, volume = {17}, number = {2}, pages = {407}, year = {2017}, url = {https://doi.org/10.3390/s17020407}, doi = {10.3390/S17020407}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HanKLKKHCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HeoKKKL17, author = {Jin{-}Chul Heo and Beomjoon Kim and Yoon{-}Nyun Kim and Dae{-}Kwang Kim and Jong{-}Ha Lee}, title = {Induction of Inflammation In Vivo by Electrocardiogram Sensor Operation Using Wireless Power Transmission}, journal = {Sensors}, volume = {17}, number = {12}, pages = {2905}, year = {2017}, url = {https://doi.org/10.3390/s17122905}, doi = {10.3390/S17122905}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HeoKKKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHJRJHHY17, author = {Tae{-}Hoon Kim and Dong{-}Woon Heo and Chang{-}Won Jeong and Jong{-}Hyun Ryu and Hong Young Jun and Seung{-}Jun Han and Taeuk Ha and Kwon{-}Ha Yoon}, title = {Development of Portable Digital Radiography System with a Device for Monitoring X-ray Source-Detector Angle and Its Application in Chest Imaging}, journal = {Sensors}, volume = {17}, number = {3}, pages = {531}, year = {2017}, url = {https://doi.org/10.3390/s17030531}, doi = {10.3390/S17030531}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimHJRJHHY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LimKMOYHK17, author = {Jongguk Lim and Giyoung Kim and Changyeun Mo and Kyoungmin Oh and Hyeonchae Yoo and Hyeonheui Ham and Moon S. Kim}, title = {Classification of \emph{Fusarium}-Infected Korean Hulled Barley Using Near-Infrared Reflectance Spectroscopy and Partial Least Squares Discriminant Analysis}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2258}, year = {2017}, url = {https://doi.org/10.3390/s17102258}, doi = {10.3390/S17102258}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LimKMOYHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/HanKCKS17, author = {Sang Hun Han and Kyoung Ok Kim and Eun Jong Cha and Kyung Ah Kim and Ho{-}Sun Shon}, title = {System Framework for Cardiovascular Disease Prediction Based on Big Data Technology}, journal = {Symmetry}, volume = {9}, number = {12}, pages = {293}, year = {2017}, url = {https://doi.org/10.3390/sym9120293}, doi = {10.3390/SYM9120293}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/HanKCKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimHPJ17, author = {Hyun{-}Woo Kim and Jaekyung Han and Jong Hyuk Park and Young{-}Sik Jeong}, title = {DIaaS: Resource Management System for the Intra-Cloud with On-Premise Desktops}, journal = {Symmetry}, volume = {9}, number = {1}, pages = {8}, year = {2017}, url = {https://doi.org/10.3390/sym9010008}, doi = {10.3390/SYM9010008}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KimHPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/KimIJ17, author = {Jong{-}Min Kim and Dong Man Im and Sunghae Jun}, title = {Factor analysis and structural equation model for patent analysis: a case study of Apple's technology}, journal = {Technol. Anal. Strateg. Manag.}, volume = {29}, number = {7}, pages = {717--734}, year = {2017}, url = {https://doi.org/10.1080/09537325.2016.1227067}, doi = {10.1080/09537325.2016.1227067}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taasm/KimIJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KimKOL17, author = {Haksub Kim and Jongyoo Kim and Taegeun Oh and Sanghoon Lee}, title = {Blind Sharpness Prediction for Ultrahigh-Definition Video Based on Human Visual Resolution}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {27}, number = {5}, pages = {951--964}, year = {2017}, url = {https://doi.org/10.1109/TCSVT.2016.2515303}, doi = {10.1109/TCSVT.2016.2515303}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KimKOL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ShinJKHP17, author = {Dong{-}Hun Shin and Dae{-}Hwan Jung and Dong{-}Chan Kim and Jong{-}Wook Ham and Seong{-}Ook Park}, title = {A Distributed {FMCW} Radar System Based on Fiber-Optic Links for Small Drone Detection}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {2}, pages = {340--347}, year = {2017}, url = {https://doi.org/10.1109/TIM.2016.2626038}, doi = {10.1109/TIM.2016.2626038}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ShinJKHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/JunLLLKKSKJKC17, author = {Dong{-}San Jun and Sung{-}Chang Lim and Jinho Lee and Hahyun Lee and Jongho Kim and Jungwon Kang and Jinwook Seok and Younhee Kim and Soon{-}Heung Jung and Hui Yong Kim and Jin Soo Choi}, title = {Development of an ultra-HD {HEVC} encoder using {SIMD} implementation and fast encoding schemes for smart surveillance system}, journal = {J. Supercomput.}, volume = {73}, number = {3}, pages = {940--960}, year = {2017}, url = {https://doi.org/10.1007/s11227-016-1807-7}, doi = {10.1007/S11227-016-1807-7}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/JunLLLKKSKJKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeK17a, author = {Jong Han Lee and Seungjoo Kim}, title = {Analysis and Security Evaluation of Security Threat on Broadcasting Service}, journal = {Wirel. Pers. Commun.}, volume = {95}, number = {4}, pages = {4149--4169}, year = {2017}, url = {https://doi.org/10.1007/s11277-017-4056-z}, doi = {10.1007/S11277-017-4056-Z}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LeeK17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeKL17a, author = {Dong Chun Lee and Kuinam J. Kim and Jong Chan Lee}, title = {Location and Direction Estimation-Based Resource Reservation Scheme for the Handoff in Micro Cellular Multimedia Networks}, journal = {Wirel. Pers. Commun.}, volume = {94}, number = {2}, pages = {187--208}, year = {2017}, url = {https://doi.org/10.1007/s11277-015-3166-8}, doi = {10.1007/S11277-015-3166-8}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LeeKL17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/RhoLKJKJL17, author = {Saeyoung Rho and Injung Lee and Hankyung Kim and Jonghyuk Jung and Hyungi Kim and Bong Gwan Jun and Youn{-}Kyung Lim}, editor = {Oli H. Mival and Michael Smyth and Peter Dalsgaard}, title = {FutureSelf: What Happens When We Forecast Self-Trackers? Future Health Statuses?}, booktitle = {Proceedings of the 2017 Conference on Designing Interactive Systems, {DIS} '17, Edinburgh, United Kingdom, June 10-14, 2017}, pages = {637--648}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3064663.3064676}, doi = {10.1145/3064663.3064676}, timestamp = {Sat, 19 Mar 2022 22:55:57 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/RhoLKJKJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/OgbanufeK17, author = {Obi Ogbanufe and Dan J. Kim}, title = {Hackers Delight: Knowledge Sharing Motives}, booktitle = {23rd Americas Conference on Information Systems, {AMCIS} 2017, Boston, MA, USA, August 10-12, 2017}, publisher = {Association for Information Systems}, year = {2017}, url = {http://aisel.aisnet.org/amcis2017/InformationSystems/Presentations/16}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcis/OgbanufeK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KimKCHK17, author = {Jonghee Kim and Jinsu Kim and Seokeon Choi and Muhammad Abul Hasan and Changick Kim}, title = {Robust template matching using scale-adaptive deep convolutional features}, booktitle = {2017 Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2017, Kuala Lumpur, Malaysia, December 12-15, 2017}, pages = {708--711}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APSIPA.2017.8282124}, doi = {10.1109/APSIPA.2017.8282124}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/KimKCHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibe/ShinLK17, author = {Yoonsu Shin and Jongseo Lee and Songkuk Kim}, title = {Validity of Biosignal Processing System based on Haar Transform in IoT Application}, booktitle = {17th {IEEE} International Conference on Bioinformatics and Bioengineering, {BIBE} 2017, Washington, DC, USA, October 23-25, 2017}, pages = {206--211}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BIBE.2017.00-54}, doi = {10.1109/BIBE.2017.00-54}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibe/ShinLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ChungHKK17, author = {Jonghwan Chung and Dahyeon Han and Jiyoung Kim and Chong{-}kwon Kim}, title = {Machine learning based path management for mobile devices over {MPTCP}}, booktitle = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, pages = {206--209}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIGCOMP.2017.7881739}, doi = {10.1109/BIGCOMP.2017.7881739}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/ChungHKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimKHC17, author = {You Jin Kim and Han{-}Gyu Kim and Jonghwan Hyeon and Ho{-}Jin Choi}, title = {Clinical opinions generation from general blood test results using deep neural network with principle component analysis and regularization}, booktitle = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, pages = {386--389}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIGCOMP.2017.7881740}, doi = {10.1109/BIGCOMP.2017.7881740}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KimKHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/JeonKSKHSPLS17, author = {Sungho Jeon and Junghyun Kim and Yoo{-}Sang Shin and Sanghoon Kim and Sangjin Hahm and Young{-}Woo Suh and Sung Ik Park and Jae{-}Young Lee and Jong{-}Soo Seo}, title = {Preliminary field trial results for {DVB-T2} indoor reception in Seoul: {A} single transmitter case}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BMSB.2017.7986186}, doi = {10.1109/BMSB.2017.7986186}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/JeonKSKHSPLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KimPP17, author = {Jaeyoung Kim and Hanhoon Park and Jong{-}Il Park}, title = {Image steganography based on block matching in {DWT} domain}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BMSB.2017.7986209}, doi = {10.1109/BMSB.2017.7986209}, timestamp = {Tue, 25 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/KimPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/camad/ParkCKKH17, author = {Kwon{-}Yeol Park and Young{-}Bin Chang and Dong{-}Woo Kim and Jong{-}Han Kim and Woon{-}Haing Hur}, title = {Opportunistic discovery scheme for device-to-device communication}, booktitle = {22nd {IEEE} International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, {CAMAD} 2017, Lund, Sweden, June 19-21, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CAMAD.2017.8031635}, doi = {10.1109/CAMAD.2017.8031635}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/camad/ParkCKKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cfi/HanK17, author = {Jung{-}Su Han and Jongwon Kim}, title = {Design of SaaS OverCloud for 3-tier SaaS Compatibility over Cloud-based Multiple Boxes}, booktitle = {Proceedings of the 12th International Conference on Future Internet Technologies, {CFI} 2017, Fukuoka, Japan, June 14 - 16, 2017}, pages = {6:1--6:4}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3095786.3095792}, doi = {10.1145/3095786.3095792}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cfi/HanK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/HussenCKP17, author = {Hassen Redwan Hussen and Sung{-}Chan Choi and Jaeho Kim and Jong{-}Hong Park}, title = {Data structure and working logic of scalable geographic multicast scheme in flying ad-hoc networks}, booktitle = {{IEEE} Conference on Standards for Communications and Networking, {CSCN} 2017, Helsinki, Finland, September 18-20, 2017}, pages = {151--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CSCN.2017.8088614}, doi = {10.1109/CSCN.2017.8088614}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cscn/HussenCKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/TimofteAG0ZLSKN17, author = {Radu Timofte and Eirikur Agustsson and Luc Van Gool and Ming{-}Hsuan Yang and Lei Zhang and Bee Lim and Sanghyun Son and Heewon Kim and Seungjun Nah and Kyoung Mu Lee and Xintao Wang and Yapeng Tian and Ke Yu and Yulun Zhang and Shixiang Wu and Chao Dong and Liang Lin and Yu Qiao and Chen Change Loy and Woong Bae and Jae Jun Yoo and Yoseob Han and Jong Chul Ye and Jae{-}Seok Choi and Munchurl Kim and Yuchen Fan and Jiahui Yu and Wei Han and Ding Liu and Haichao Yu and Zhangyang Wang and Honghui Shi and Xinchao Wang and Thomas S. Huang and Yunjin Chen and Kai Zhang and Wangmeng Zuo and Zhimin Tang and Linkai Luo and Shaohui Li and Min Fu and Lei Cao and Wen Heng and Giang Bui and Truc Le and Ye Duan and Dacheng Tao and Ruxin Wang and Xu Lin and Jianxin Pang and Jinchang Xu and Yu Zhao and Xiangyu Xu and Jin{-}shan Pan and Deqing Sun and Yujin Zhang and Xibin Song and Yuchao Dai and Xueying Qin and Xuan{-}Phung Huynh and Tiantong Guo and Hojjat Seyed Mousavi and Tiep Huu Vu and Vishal Monga and Crist{\'{o}}v{\~{a}}o Cruz and Karen O. Egiazarian and Vladimir Katkovnik and Rakesh Mehta and Arnav Kumar Jain and Abhinav Agarwalla and Ch V. Sai Praveen and Ruofan Zhou and Hongdiao Wen and Che Zhu and Zhiqiang Xia and Zhengtao Wang and Qi Guo}, title = {{NTIRE} 2017 Challenge on Single Image Super-Resolution: Methods and Results}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {1110--1121}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPRW.2017.149}, doi = {10.1109/CVPRW.2017.149}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/TimofteAG0ZLSKN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/KimLHCPSHKKB17, author = {Dong Churl Kim and Dong{-}Hoon Lee and Young{-}Tak Han and Byung{-}Seok Choi and Sang{-}Ho Park and Jang{-}Uk Shin and Won{-}Seok Han and Yong{-}Hwan Kwon and Jong{-}Hoi Kim and Yongsoon Baek}, title = {Multi-Layered Asymmetric Waveguide Structure for Gain-Chip and its Application to Polymer-Based Waveguide Grating for High Power Tunable Laser}, booktitle = {European Conference on Optical Communication, {ECOC} 2017, Gothenburg, Sweden, September 17-21, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ECOC.2017.8345903}, doi = {10.1109/ECOC.2017.8345903}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/KimLHCPSHKKB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SongK17, author = {Minsu Song and Jonghyun Kim}, title = {Motor imagery enhancement paradigm using moving rubber hand illusion system}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {1146--1149}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037032}, doi = {10.1109/EMBC.2017.8037032}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/SongK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/KimJKHL17, author = {HyungTae Kim and KyungChan Jin and Jongseok Kim and EungJoo Ha and JinHyeok Lee}, title = {Automatic light control of an arbitrary color source for {AMOLED} inspection using random-search method}, booktitle = {22nd {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2017, Limassol, Cyprus, September 12-15, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ETFA.2017.8247639}, doi = {10.1109/ETFA.2017.8247639}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/etfa/KimJKHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/JeonSLKKY17, author = {Sungho Jeon and Jong{-}Woo Shin and Young{-}Jun Lee and Woong{-}Hee Kim and YoungHyoun Kwon and Hae{-}Yong Yang}, title = {Empirical study of drone sound detection in real-life environment with deep neural networks}, booktitle = {25th European Signal Processing Conference, {EUSIPCO} 2017, Kos, Greece, August 28 - September 2, 2017}, pages = {1858--1862}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/EUSIPCO.2017.8081531}, doi = {10.23919/EUSIPCO.2017.8081531}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/JeonSLKKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hai/KimCK17, author = {Do Yeon Kim and Irvin Steve Cardenas and Jong{-}Hoon Kim}, editor = {Britta Wrede and Yukie Nagai and Takanori Komatsu and Marc Hanheide and Lorenzo Natale}, title = {Engage/Disengage: Control Triggers for Immersive Telepresence Robots}, booktitle = {Proceedings of the 5th International Conference on Human Agent Interaction, {HAI} 2017, Bielefeld, Germany, October 17 - 20, 2017}, pages = {495--499}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125739.3132603}, doi = {10.1145/3125739.3132603}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hai/KimCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimKKHLPPKKW17, author = {Eunseok Kim and Jungi Kim and Kihong Kim and Seungmo Hong and Jongwon Lee and Nohyoung Park and Hyerim Park and Hayun Kim and Jungwha Kim and Woontack Woo}, editor = {Norbert A. Streitz and Panos Markopoulos}, title = {Wearable {AR} Platform for K-Culture Time Machine}, booktitle = {Distributed, Ambient and Pervasive Interactions - 5th International Conference, {DAPI} 2017, Held as Part of {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10291}, pages = {358--370}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58697-7\_26}, doi = {10.1007/978-3-319-58697-7\_26}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/KimKKHLPPKKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimCSYH17, author = {Dong{-}Chul Kim and Seungho Chae and Jonghoon Seo and Yoonsik Yang and Tack{-}Don Han}, title = {Realtime plane detection for projection Augmented Reality in an unknown environment}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {5985--5989}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICASSP.2017.7953305}, doi = {10.1109/ICASSP.2017.7953305}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimCSYH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/YuKLC17, author = {Joonsang Yu and Kyounghoon Kim and Jongeun Lee and Kiyoung Choi}, title = {Accurate and Efficient Stochastic Computing Hardware for Convolutional Neural Networks}, booktitle = {2017 {IEEE} International Conference on Computer Design, {ICCD} 2017, Boston, MA, USA, November 5-8, 2017}, pages = {105--112}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICCD.2017.24}, doi = {10.1109/ICCD.2017.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/YuKLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccip/KimKHS17, author = {Ji{-}Hwan Kim and Won{-}Chang Kim and Jong{-}Gyu Ha and Hyoung{-}Kyu Song}, editor = {Jalel Ben{-}Othman and Feng Gang and Jain{-}Shing Liu and Masayuki Arai}, title = {The internet of signage communication for reliability improvement in the {OFDM} system}, booktitle = {Proceedings of the 3rd International Conference on Communication and Information Processing, {ICCIP} 2017, Tokyo, Japan, November 24-26, 2017}, pages = {301--305}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3162957.3163002}, doi = {10.1145/3162957.3163002}, timestamp = {Tue, 06 Nov 2018 16:57:30 +0100}, biburl = {https://dblp.org/rec/conf/iccip/KimKHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/OgbanufeK17, author = {Obi Ogbanufe and Dan J. Kim}, editor = {Yong Jin Kim and Ritu Agarwal and Jae Kyu Lee}, title = {"Thanks for Sharing": Using Hacker Forum Data for Prediction of Knowledge Sharing and Withholding Behaviors}, booktitle = {Proceedings of the International Conference on Information Systems - Transforming Society with Digital Innovation, {ICIS} 2017, Seoul, South Korea, December 10-13, 2017}, publisher = {Association for Information Systems}, year = {2017}, url = {http://aisel.aisnet.org/icis2017/Security/Presentations/12}, timestamp = {Thu, 08 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icis/OgbanufeK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/HuynhKH17, author = {Cong Viet{-}Ngu Huynh and Jongmin Kim and Jun{-}Ho Huh}, editor = {Kuinam Kim and Nikolai Joukov}, title = {Improving the B+-Tree Construction for Transaction Log Data in Bank System Using Hadoop}, booktitle = {Information Science and Applications 2017 - {ICISA} 2017, Macau, China, 20-23 March 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {424}, pages = {519--525}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-4154-9\_60}, doi = {10.1007/978-981-10-4154-9\_60}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisa/HuynhKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/0012RH0H17, author = {Muhammad Usman and Aris Cahyadi Risdianto and Jung{-}Su Han and JongWon Kim and Nguyen Van Huynh}, title = {Physical-virtual topological visualization of OF@TEIN SDN-enabled multi-site cloud}, booktitle = {2017 International Conference on Information Networking, {ICOIN} 2017, Da Nang, Vietnam, January 11-13, 2017}, pages = {622--624}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICOIN.2017.7899571}, doi = {10.1109/ICOIN.2017.7899571}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/0012RH0H17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/JeongH0L17, author = {Chiwook Jeong and Taejin Ha and JongWon Kim and Hyuk Lim}, title = {Quality-of-service aware resource allocation for virtual machines}, booktitle = {2017 International Conference on Information Networking, {ICOIN} 2017, Da Nang, Vietnam, January 11-13, 2017}, pages = {191--193}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICOIN.2017.7899502}, doi = {10.1109/ICOIN.2017.7899502}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/JeongH0L17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icssa/KimL17, author = {Hyung{-}Jong Kim and Hae Young Lee}, title = {A Quantification of Effectiveness of Simulation Models by Managing Cost of Development}, booktitle = {International Conference on Software Security and Assurance, {ICSSA} 2017, Altoona, PA, USA, July 24-25, 2017}, pages = {110}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.ieeecomputersociety.org/10.1109/ICSSA.2017.32}, doi = {10.1109/ICSSA.2017.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icssa/KimL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icssa/KwonKJKL17, author = {Min Jin Kwon and Gowoon Kwak and Siyoung Jun and Hyung{-}Jong Kim and Hae Young Lee}, title = {Enriching Security Education Hands-on Labs with Practical Exercises}, booktitle = {International Conference on Software Security and Assurance, {ICSSA} 2017, Altoona, PA, USA, July 24-25, 2017}, pages = {100--103}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.ieeecomputersociety.org/10.1109/ICSSA.2017.8}, doi = {10.1109/ICSSA.2017.8}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icssa/KwonKJKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKKKH17, author = {Jong{-}Hoon Lee and Young Soo Kim and Jong{-}Hyun Kim and Ik{-}Kyun Kim and Ki Jun Han}, title = {Building a big data platform for large-scale security data analysis}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {976--980}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190830}, doi = {10.1109/ICTC.2017.8190830}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeKKKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongHKPK17, author = {Jongtae Song and Kyeong{-}Eun Han and Dae{-}Ub Kim and Chansung Park and Kwangjoon Kim}, title = {Low delay switch scheduling for data center optical switch}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1250--1252}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190912}, doi = {10.1109/ICTC.2017.8190912}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SongHKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/HussenCKP17, author = {Hassen Redwan Hussen and Sung{-}Chan Choi and Jaeho Kim and Jong{-}Hong Park}, title = {Stateless and predictive geographic multicast scheme in flying Ad-hoc networks}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {685--690}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993878}, doi = {10.1109/ICUFN.2017.7993878}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/HussenCKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ParkCHK17, author = {Jong{-}Hong Park and Sung{-}Chan Choi and Hassen Redwan Hussen and Jaeho Kim}, title = {Analysis of dynamic cluster head selection for mission-oriented flying Ad hoc network}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {21--23}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993740}, doi = {10.1109/ICUFN.2017.7993740}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/ParkCHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/SeoKC17, author = {Sungwon Seo and Jong{-}Kook Kim and Lynn Choi}, title = {Semantic hashtag relation classification using co-occurrence word information}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {860--862}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993922}, doi = {10.1109/ICUFN.2017.7993922}, timestamp = {Wed, 28 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/SeoKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/KimLK17, author = {Jongpal Kim and Hankyu Lee and Sang Joon Kim}, title = {A 125 dB dynamic range instrumentation amplifier using analog compression technique}, booktitle = {2017 {IEEE} SENSORS, Glasgow, United Kingdom, October 29 - November 1, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSENS.2017.8233995}, doi = {10.1109/ICSENS.2017.8233995}, timestamp = {Thu, 15 Dec 2022 12:09:14 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/KimLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/YunCSPCLKLSYK17, author = {Seok{-}Oh Yun and Han{-}Won Cho and Ji{-}Hoon Suh and Jeong{-}Ho Park and Bong Gill Choi and Tae{-}Jae Lee and Soon{-}Jae Kweon and Jong{-}Kwon Lee and Chang{-}Ho Seo and Hyung{-}Joun Yoo and Choul{-}Young Kim}, title = {Flexible pH sensor and system fabricated using {PET} film}, booktitle = {2017 {IEEE} SENSORS, Glasgow, United Kingdom, October 29 - November 1, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSENS.2017.8233925}, doi = {10.1109/ICSENS.2017.8233925}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/YunCSPCLKLSYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/JeongJKKSRL17, author = {Hae{-}Duck J. Jeong and Gil{-}Seong Jeong and Won{-}Jung Kim and Jinwon Kim and Hanbin Song and Myeong{-}Un Ryu and Jongsuk R. Lee}, editor = {Leonard Barolli and Tomoya Enokido}, title = {A Search for Computationally Efficient Supervised Learning Algorithms of Anomalous Traffic}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 11th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2017), Torino, Italy, 10-12 July 2017}, series = {Advances in Intelligent Systems and Computing}, volume = {612}, pages = {590--600}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61542-4\_58}, doi = {10.1007/978-3-319-61542-4\_58}, timestamp = {Wed, 05 Jul 2017 12:58:46 +0200}, biburl = {https://dblp.org/rec/conf/imis/JeongJKKSRL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/HanKK17, author = {Jaeduk Han and Jonghyun Kim and Moon Gi Kang}, editor = {Sos S. Agaian and Karen O. Egiazarian and Atanas P. Gotchev}, title = {Non-blind Image Deconvolution using Sampling without Replacement}, booktitle = {Image Processing: Algorithms and Systems XV, Burlingame, CA, USA, 29 January 2018 - 2 February 2018}, pages = {125--130}, publisher = {Society for Imaging Science and Technology}, year = {2017}, url = {https://doi.org/10.2352/ISSN.2470-1173.2017.13.IPAS-214}, doi = {10.2352/ISSN.2470-1173.2017.13.IPAS-214}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipas/HanKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/KimHLLP17, author = {Chang{-}Hyun Kim and Chang{-}Wan Ha and Jaewon Lim and Jong{-}Min Lee and Doh Young Park}, title = {Control characteristics of passive maglev transport system}, booktitle = {26th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2017, Edinburgh, United Kingdom, June 19-21, 2017}, pages = {436--441}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISIE.2017.8001286}, doi = {10.1109/ISIE.2017.8001286}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isie/KimHLLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isncc/ParkKKH17, author = {Kwon{-}Yeol Park and Dong{-}Woo Kim and Jong{-}Han Kim and Woon{-}Haing Hur}, title = {Autonomous mode selection scheme for underlay device-to-device communication}, booktitle = {2017 International Symposium on Networks, Computers and Communications, {ISNCC} 2017, Marrakech, Morocco, May 16-18, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISNCC.2017.8071976}, doi = {10.1109/ISNCC.2017.8071976}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isncc/ParkKKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HanLOKMC17, author = {Byungki Han and Jongwoo Lee and Seunghyun Oh and Jae{-}Kwon Kim and Eswar Mamidala and Thomas Byunghak Cho}, title = {A 14nm FinFET analog baseband {SOC} for multi-mode cellular applications with tri-band carrier aggregation}, booktitle = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea, November 5-8, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISOCC.2017.8368772}, doi = {10.1109/ISOCC.2017.8368772}, timestamp = {Mon, 14 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HanLOKMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeLPKC17, author = {Hyoung{-}Ro Lee and Chi{-}Ho Lin and Ki{-}Hyuk Park and Won{-}Jong Kim and Han{-}Jin Cho}, title = {Development of SoC virtual platform for IoT terminals based on OneM2M}, booktitle = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea, November 5-8, 2017}, pages = {320--321}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISOCC.2017.8368917}, doi = {10.1109/ISOCC.2017.8368917}, timestamp = {Fri, 15 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeLPKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiKSKHC17, author = {Woojun Choi and Taewoong Kim and Jongjoo Shim and Hyungsoo Kim and Gunhee Han and Youngcheol Chae}, title = {23.8 {A} 1V 7.8mW 15.6Gb/s {C-PHY} transceiver using tri-level signaling for post-LPDDR4}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {402--403}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870431}, doi = {10.1109/ISSCC.2017.7870431}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiKSKHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKHS17, author = {Doyun Kim and Jonghwan Kim and Hyunju Ham and Mingoo Seok}, title = {20.6 {A} 0.5V-VIN 1.44mA-class event-driven digital {LDO} with a fully integrated 100pF output capacitor}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {346--347}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870403}, doi = {10.1109/ISSCC.2017.7870403}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17, author = {Nohhyup Kwak and Saeng{-}Hwan Kim and Kyong Ha Lee and Chang{-}Ki Baek and Mun Seon Jang and Yongsuk Joo and Seung{-}Hun Lee and Wooyoung Lee and Eunryeong Lee and Donghee Han and Jaeyeol Kang and Jung Ho Lim and Jae{-}Beom Park and Kyung{-}Tae Kim and Sunki Cho and Sung Woo Han and Jee Yeon Keh and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A self-refresh current for IoT applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {392--393}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870426}, doi = {10.1109/ISSCC.2017.7870426}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongKRKPPHYDLLK17, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Yongho Kim and Sunghyun Park and Changnam Park and Minsun Hong and Giyong Yang and Jeongho Do and Jinyoung Lim and Seungyoung Lee and Ingyum Kim and Sanghoon Baek and Jonghoon Jung and Daewon Ha and Hyungsoon Jang and Taejung Lee and Chul{-}Hong Park and Bongjae Kwon and Hyuntaek Jung and Sungwee Cho and Yongjae Choo and Jaeseung Choi}, title = {12.2 {A} 7nm FinFET {SRAM} macro using {EUV} lithography for peripheral repair analysis}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {208--209}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870334}, doi = {10.1109/ISSCC.2017.7870334}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongKRKPPHYDLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/LeeHKKK17, author = {Gyeongmin Lee and Seonyeong Heo and Bongjun Kim and Jong Kim and Hanjun Kim}, editor = {Vijay Nagarajan and Zili Shao}, title = {Integrated IoT programming with selective abstraction}, booktitle = {Proceedings of the 18th {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems, {LCTES} 2017, Barcelona, Spain, June 21-22, 2017}, pages = {51--60}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3078633.3081031}, doi = {10.1145/3078633.3081031}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/LeeHKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/KimCLPK17, author = {Eun{-}Kyeong Kim and Hyunhak Cho and Hansoo Lee and Jongeun Park and Sungshin Kim}, title = {Image brightness adjustment system based on {ANFIS} by {RGB} and {CIE} L{\({_\ast}\)}a{\({_\ast}\)}b{\({_\ast}\)}}, booktitle = {2017 {IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2017, Daegu, Korea (South), November 16-18, 2017}, pages = {471--476}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MFI.2017.8170365}, doi = {10.1109/MFI.2017.8170365}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/KimCLPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/ParkSMKOE17, author = {Jongse Park and Hardik Sharma and Divya Mahajan and Joon Kyung Kim and Preston Olds and Hadi Esmaeilzadeh}, editor = {Hillery C. Hunter and Jaime Moreno and Joel S. Emer and Daniel S{\'{a}}nchez}, title = {Scale-out acceleration for machine learning}, booktitle = {Proceedings of the 50th Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2017, Cambridge, MA, USA, October 14-18, 2017}, pages = {367--381}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123939.3123979}, doi = {10.1145/3123939.3123979}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/ParkSMKOE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/0012RHKK17, author = {Muhammad Usman and Aris Cahyadi Risdianto and Jung{-}Su Han and Moonjoong Kang and JongWon Kim}, title = {SmartX multiview visibility framework leveraging open-source software for SDN-cloud playground}, booktitle = {2017 {IEEE} Conference on Network Softwarization, NetSoft 2017, Bologna, Italy, July 3-7, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NETSOFT.2017.8004242}, doi = {10.1109/NETSOFT.2017.8004242}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netsoft/0012RHKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacrim/RalphJCBBHKMWB17, author = {Rachel Ralph and Derek Jacoby and Yvonne Coady and Deepak Balachandar and Emily Burt and Nathan Hnguyen and JongJik Kim and Krisha Maclang and Steven Wong and Larry Bafia}, title = {Metrics for evaluation of educational experiences: Will virtual reality have impact?}, booktitle = {{IEEE} Pacific Rim Conference on Communications, Computers and Signal Processing, {PACRIM} 2017, Victoria, BC, Canada, August 21-23, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PACRIM.2017.8121919}, doi = {10.1109/PACRIM.2017.8121919}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/pacrim/RalphJCBBHKMWB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LeKKLH0CKP17, author = {Viet Ha Le and Byungjeon Kang and Jayoung Kim and Kyungmin Lee and Manh Cuong Hoang and Van Du Nguyen and Eunpyo Choi and Chang{-}Sei Kim and Jong{-}Oh Park}, title = {Non-invasive active capsule endoscope integrated targeting biopsy function based on electro-mangetic actuation system}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {2309--2313}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324763}, doi = {10.1109/ROBIO.2017.8324763}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/LeKKLH0CKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/LeeHK0K17, author = {Gyeongmin Lee and Seonyeong Heo and Bongjun Kim and Jong Kim and Hanjun Kim}, editor = {Sungjoo Yoo and Fabiano Hessel and Fr{\'{e}}d{\'{e}}ric Rousseau and Kenneth B. Kent and Kyoungwoo Lee}, title = {Rapid prototyping of IoT applications with Esperanto compiler}, booktitle = {International Symposium on Rapid System Prototyping, {RSP} 2017, Shortening the Path from Specification to Prototype, October 19-20, 2017, Seoul, South Korea}, pages = {85--91}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3130265.3138857}, doi = {10.1145/3130265.3138857}, timestamp = {Mon, 04 Jul 2022 08:26:30 +0200}, biburl = {https://dblp.org/rec/conf/rsp/LeeHK0K17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/HeoS0K17, author = {Seonyeong Heo and Seungbin Song and Jong Kim and Hanjun Kim}, title = {{RT-IFTTT:} Real-Time IoT Framework with Trigger Condition-Aware Flexible Polling Intervals}, booktitle = {2017 {IEEE} Real-Time Systems Symposium, {RTSS} 2017, Paris, France, December 5-8, 2017}, pages = {266--276}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/RTSS.2017.00032}, doi = {10.1109/RTSS.2017.00032}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/HeoS0K17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sacmat/LeeCKCLKK17, author = {Sanghak Lee and Jiwon Choi and Jihun Kim and Beumjin Cho and Sangho Lee and Hanjun Kim and Jong Kim}, editor = {Elisa Bertino and Ravi S. Sandhu and Edgar R. Weippl}, title = {{FACT:} Functionality-centric Access Control System for IoT Programming Frameworks}, booktitle = {Proceedings of the 22nd {ACM} on Symposium on Access Control Models and Technologies, {SACMAT} 2017, Indianapolis, IN, USA, June 21-23, 2017}, pages = {43--54}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3078861.3078864}, doi = {10.1145/3078861.3078864}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sacmat/LeeCKCLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/LeeHLKB17, author = {Taeseop Lee and Jonghun Han and Myung{-}Sup Lee and Hyung{-}Sin Kim and Saewoong Bahk}, title = {{CABLE:} Connection Interval Adaptation for {BLE} in Dynamic Wireless Environments}, booktitle = {14th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2017, San Diego, CA, USA, June 12-14, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SAHCN.2017.7964929}, doi = {10.1109/SAHCN.2017.7964929}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/secon/LeeHLKB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ShinKLKLHK17, author = {Jonghoon Shin and Hyunchoong Kim and Dayoung Lee and Yohan Ko and Kyoungwoo Lee and Seong{-}il Hahm and TaeJun Kwon}, title = {Indoor localization in home environments using appearance frequency information}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {2718--2723}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8123037}, doi = {10.1109/SMC.2017.8123037}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/ShinKLKLHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcre/MoonSLK17, author = {Ra{-}Jeong Moon and Kyu{-}Min Shim and Hae Young Lee and Hyung{-}Jong Kim}, editor = {Martin Pinzger and Gabriele Bavota and Andrian Marcus}, title = {Log generation for coding behavior analysis: For focusing on how kids are coding not what they are coding}, booktitle = {{IEEE} 24th International Conference on Software Analysis, Evolution and Reengineering, {SANER} 2017, Klagenfurt, Austria, February 20-24, 2017}, pages = {575--576}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SANER.2017.7884684}, doi = {10.1109/SANER.2017.7884684}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcre/MoonSLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JeonSLKKY17, author = {Sungho Jeon and Jong{-}Woo Shin and Young{-}Jun Lee and Woong{-}Hee Kim and YoungHyoun Kwon and Hae{-}Yong Yang}, title = {Empirical Study of Drone Sound Detection in Real-Life Environment with Deep Neural Networks}, journal = {CoRR}, volume = {abs/1701.05779}, year = {2017}, url = {http://arxiv.org/abs/1701.05779}, eprinttype = {arXiv}, eprint = {1701.05779}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JeonSLKKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LimBELKPL17, author = {Suhwan Lim and Jong{-}Ho Bae and Jai{-}Ho Eum and Sungtae Lee and Chul{-}Heung Kim and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {Adaptive Learning Rule for Hardware-based Deep Neural Networks Using Electronic Synapse Devices}, journal = {CoRR}, volume = {abs/1707.06381}, year = {2017}, url = {http://arxiv.org/abs/1707.06381}, eprinttype = {arXiv}, eprint = {1707.06381}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/LimBELKPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YooHYRKH0Y17, author = {Jaeyoon Yoo and Heonseok Ha and Jihun Yi and Jongha Ryu and Chanju Kim and Jung{-}Woo Ha and Young{-}Han Kim and Sungroh Yoon}, title = {Energy-Based Sequence GANs for Recommendation and Their Connection to Imitation Learning}, journal = {CoRR}, volume = {abs/1706.09200}, year = {2017}, url = {http://arxiv.org/abs/1706.09200}, eprinttype = {arXiv}, eprint = {1706.09200}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YooHYRKH0Y17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-00912, author = {Jae Jun Yoo and Sohail Sabir and Duchang Heo and Kee Hyun Kim and Abdul Wahab and Yoonseok Choi and Seul{-}I Lee and Eun Young Chae and Hak Hee Kim and Young Min Bae and Young{-}Wook Choi and Seungryong Cho and Jong Chul Ye}, title = {Deep Learning Can Reverse Photon Migration for Diffuse Optical Tomography}, journal = {CoRR}, volume = {abs/1712.00912}, year = {2017}, url = {http://arxiv.org/abs/1712.00912}, eprinttype = {arXiv}, eprint = {1712.00912}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-00912.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-01507, author = {Hardik Sharma and Jongse Park and Naveen Suda and Liangzhen Lai and Benson Chau and Joon Kyung Kim and Vikas Chandra and Hadi Esmaeilzadeh}, title = {Bit Fusion: Bit-Level Dynamically Composable Architecture for Accelerating Deep Neural Networks}, journal = {CoRR}, volume = {abs/1712.01507}, year = {2017}, url = {http://arxiv.org/abs/1712.01507}, eprinttype = {arXiv}, eprint = {1712.01507}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-01507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1712-06802, author = {Han{-}mook Yoo and Han{-}joon Kim and Jonghoon Chun}, title = {Estimation of Individual Micro Data from Aggregated Open Data}, journal = {CoRR}, volume = {abs/1712.06802}, year = {2017}, url = {http://arxiv.org/abs/1712.06802}, eprinttype = {arXiv}, eprint = {1712.06802}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1712-06802.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KhanMNAK16, author = {Sheraz Ali Khan and Muhammad Moosa and Farhan Naeem and Muhammad Hamad Alizai and Jong{-}Myon Kim}, title = {Protocols and Mechanisms to Recover Failed Packets in Wireless Networks: History and Evolution}, journal = {{IEEE} Access}, volume = {4}, pages = {4207--4224}, year = {2016}, url = {https://doi.org/10.1109/ACCESS.2016.2593605}, doi = {10.1109/ACCESS.2016.2593605}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KhanMNAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/ParkKYYKHJSLC16, author = {Yu Rang Park and Jae{-}Jung Kim and Young Jo Yoon and Young{-}Kwang Yoon and Ha Yeong Koo and Young Mi Hong and Gi Young Jang and Soo{-}Yong Shin and Jong{-}Keuk Lee}, title = {Establishment of Kawasaki disease database based on metadata standard}, journal = {Database J. Biol. Databases Curation}, volume = {2016}, year = {2016}, url = {https://doi.org/10.1093/database/baw109}, doi = {10.1093/DATABASE/BAW109}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/ParkKYYKHJSLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/AliKKKCP16, author = {Haider Ali and Kyung Won Kim and Moon Kyu Kwak and Jae Sik Kim and Jong Yun Choi and Cheol Woo Park}, title = {Numerical investigation on the flow mixing feature inside a continuously carbonating process tank}, journal = {Comput. Electr. Eng.}, volume = {51}, pages = {343--355}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2015.09.002}, doi = {10.1016/J.COMPELECENG.2015.09.002}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/AliKKKCP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HaKANJKL16, author = {Taejin Ha and Sunghwan Kim and Namwon An and Jargalsaikhan Narantuya and Chiwook Jeong and JongWon Kim and Hyuk Lim}, title = {Suspicious traffic sampling for intrusion detection in software-defined networks}, journal = {Comput. Networks}, volume = {109}, pages = {172--182}, year = {2016}, url = {https://doi.org/10.1016/j.comnet.2016.05.019}, doi = {10.1016/J.COMNET.2016.05.019}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/HaKANJKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/NohLSLKLH16, author = {Wonjong Noh and Jong{-}Bu Lim and Wonjae Shin and Sung Hoon Lim and Taesuk Kim and Tae{-}Dong Lee and Kwanghun Han}, title = {Adaptive Transmission Control in Multiple Interference Neutralization Groups}, journal = {{IEEE} Commun. Lett.}, volume = {20}, number = {3}, pages = {526--529}, year = {2016}, url = {https://doi.org/10.1109/LCOMM.2016.2514497}, doi = {10.1109/LCOMM.2016.2514497}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/NohLSLKLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimCK16, author = {Seon Hwan Kim and Ju Hee Choi and Jong Wook Kwak}, title = {HaWL: Hidden Cold Block-Aware Wear Leveling Using Bit-Set Threshold for {NAND} Flash Memory}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {99-D}, number = {4}, pages = {1242--1245}, year = {2016}, url = {https://doi.org/10.1587/transinf.2015EDL8198}, doi = {10.1587/TRANSINF.2015EDL8198}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/KimCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ShinLKKY16, author = {Seon{-}Ho Shin and Jooyoung Lee and Jong{-}Hyun Kim and Ikkyun Kim and MyungKeun Yoon}, title = {Hash Table with Expanded-Key for High-Speed Networking}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {99-D}, number = {3}, pages = {747--750}, year = {2016}, url = {https://doi.org/10.1587/transinf.2015EDL8201}, doi = {10.1587/TRANSINF.2015EDL8201}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ShinLKKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcip/BoumaRBJH16, author = {Henri Bouma and Jeroen van Rest and Kim van Buul{-}Besseling and Jacomien G. M. de Jong and Anton Havekes}, title = {Integrated roadmap for the rapid finding and tracking of people at large airports}, journal = {Int. J. Crit. Infrastructure Prot.}, volume = {12}, pages = {61--74}, year = {2016}, url = {https://doi.org/10.1016/j.ijcip.2015.11.002}, doi = {10.1016/J.IJCIP.2015.11.002}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcip/BoumaRBJH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmssc/ChoiKKMHL16, author = {Jeongseok Choi and Taeyoung Kim and Jaekwon Kim and Sunghwan Moon and Youngshin Han and Jongsik Lee}, title = {Data collection model in hybrid network for participatory sensing}, journal = {Int. J. Model. Simul. Sci. Comput.}, volume = {7}, number = {4}, pages = {1643002:1--1643002:7}, year = {2016}, url = {https://doi.org/10.1142/S1793962316430029}, doi = {10.1142/S1793962316430029}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmssc/ChoiKKMHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimKHKSK16, author = {Hyun{-}Sik Kim and Inki Kim and Kyungsik Han and Donghyun Kim and Jong{-}Soo Seo and Mingoo Kang}, title = {An Adaptive Buffering Method for Practical {HTTP} Live Streaming on Smart {OTT} STBs}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {10}, number = {3}, pages = {1416--1428}, year = {2016}, url = {https://doi.org/10.3837/tiis.2016.03.028}, doi = {10.3837/TIIS.2016.03.028}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimKHKSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeLK16, author = {Han{-}Byul Lee and Jong{-}Ho Lee and Seong{-}Cheol Kim}, title = {Cooperative Beamformer Design for Improving Physical Layer Security in Multi-Hop Decode-and-Forward Relay Networks}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {10}, number = {1}, pages = {187--199}, year = {2016}, url = {https://doi.org/10.3837/tiis.2016.01.011}, doi = {10.3837/TIIS.2016.01.011}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/KimKPP16, author = {Jung{-}Ho Kim and Najoung Kim and Hancheol Park and Jong{-}Chan Park}, title = {Enhanced Sign Language Transcription System via Hand Tracking and Pose Estimation}, journal = {J. Comput. Sci. Eng.}, volume = {10}, number = {3}, year = {2016}, url = {https://doi.org/10.5626/JCSE.2016.10.3.95}, doi = {10.5626/JCSE.2016.10.3.95}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/KimKPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/HanJJBCYJKNK16, author = {Seungyoon Han and Yeon Hun Jeong and Ju Hae Jung and Alina Begley and Euiji Choi and Sung Jong Yoo and Jong Hyun Jang and Hyoung{-}Juhn Kim and Suk Woo Nam and Jin Young Kim}, title = {Spectrophotometric Analysis of Phosphoric Acid Leakage in High-Temperature Phosphoric Acid-Doped Polybenzimidazole Membrane Fuel Cell Application}, journal = {J. Sensors}, volume = {2016}, pages = {5290510:1--5290510:8}, year = {2016}, url = {https://doi.org/10.1155/2016/5290510}, doi = {10.1155/2016/5290510}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/HanJJBCYJKNK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/KimAHY16, author = {Sang{-}Il Kim and Do{-}Seob Ahn and Kyung{-}Soo Han and Jong{-}Min Yeom}, title = {Improved Vegetation Profiles with {GOCI} Imagery Using Optimized {BRDF} Composite}, journal = {J. Sensors}, volume = {2016}, pages = {7165326:1--7165326:7}, year = {2016}, url = {https://doi.org/10.1155/2016/7165326}, doi = {10.1155/2016/7165326}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/KimAHY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/KimSJH16, author = {Young{-}Joo Kim and Jong{-}Soo Seok and Yung{-}Joon Jung and Ok{-}Kyoon Ha}, title = {Light-Weight and Versatile Monitor for a Self-Adaptive Software Framework for IoT Systems}, journal = {J. Sensors}, volume = {2016}, pages = {8085407:1--8085407:8}, year = {2016}, url = {https://doi.org/10.1155/2016/8085407}, doi = {10.1155/2016/8085407}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/KimSJH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/YeomSKH16, author = {Jong{-}Min Yeom and You{-}Kyung Seo and Dong{-}Su Kim and Kyung{-}Soo Han}, title = {Solar Radiation Received by Slopes Using {COMS} Imagery, a Physically Based Radiation Model, and {GLOBE}}, journal = {J. Sensors}, volume = {2016}, pages = {4834579:1--4834579:15}, year = {2016}, url = {https://doi.org/10.1155/2016/4834579}, doi = {10.1155/2016/4834579}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/YeomSKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsce/SongOKKC16, author = {Byung{-}Keun Song and Jong{-}Seok Oh and Pyunghwa Kim and Soomin Kim and Seung{-}Bok Choi}, title = {Repulsive torque control of a robot-assisted surgery system using a magnetorheological haptic master}, journal = {J. Syst. Control. Eng.}, volume = {230}, number = {10}, pages = {1116--1125}, year = {2016}, url = {https://doi.org/10.1177/0959651816666230}, doi = {10.1177/0959651816666230}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsce/SongOKKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ParkWYKH16, author = {Sungjin Park and Jong{-}Jin Won and Jae Nam Yoon and Kyong Hoon Kim and Taisook Han}, title = {A tiny hypervisor-based trusted geolocation framework with minimized {TPM} operations}, journal = {J. Syst. Softw.}, volume = {122}, pages = {202--214}, year = {2016}, url = {https://doi.org/10.1016/j.jss.2016.09.026}, doi = {10.1016/J.JSS.2016.09.026}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/ParkWYKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaKPJC16, author = {Sohmyung Ha and Chul Kim and Jongkil Park and Siddharth Joshi and Gert Cauwenberghs}, title = {Energy Recycling Telemetry {IC} With Simultaneous 11.5 mW Power and 6.78 Mb/s Backward Data Delivery Over a Single 13.56 MHz Inductive Link}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {11}, pages = {2664--2678}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2600864}, doi = {10.1109/JSSC.2016.2600864}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaKPJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimHLPKK16, author = {Bongjun Kim and Seonyeong Heo and Gyeongmin Lee and Soyeon Park and Hanjun Kim and Jong Kim}, title = {Heterogeneous Distributed Shared Memory for Lightweight Internet of Things Devices}, journal = {{IEEE} Micro}, volume = {36}, number = {6}, pages = {16--24}, year = {2016}, url = {https://doi.org/10.1109/MM.2016.103}, doi = {10.1109/MM.2016.103}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimHLPKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/KimKSELSHKPSLH16, author = {Sung Eun Kim and Min Sung Kim and Yong Cheol Shin and Seong Un Eom and Jong Ho Lee and Dong{-}Myeong Shin and Suck Won Hong and Bongju Kim and Jongchul Park and Bo Sung Shin and Dohyung Lim and Dong{-}Wook Han}, title = {Cell Migration According to Shape of Graphene Oxide Micropatterns}, journal = {Micromachines}, volume = {7}, number = {10}, pages = {186}, year = {2016}, url = {https://doi.org/10.3390/mi7100186}, doi = {10.3390/MI7100186}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/KimKSELSHKPSLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HongKKK16, author = {Deukjo Hong and Dong{-}Chan Kim and Daesung Kwon and Jongsung Kim}, title = {Improved preimage attacks on hash modes of 8-round {AES-256}}, journal = {Multim. Tools Appl.}, volume = {75}, number = {22}, pages = {14525--14539}, year = {2016}, url = {https://doi.org/10.1007/s11042-015-2769-0}, doi = {10.1007/S11042-015-2769-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HongKKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.