default search action
Search dblp for Publications
export results for "Ho-Kyu Kang"
@article{DBLP:journals/access/YookHKJSL24, author = {Hyun Jun Yook and Pyo Min Hong and So Hyun Kang and Ga San Jhun and Jae Eun Seo and Youn Kyu Lee}, title = {Attention Map Is All We Need for Lightweight Fingerprint Liveness Detection}, journal = {{IEEE} Access}, volume = {12}, pages = {130031--130041}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3458908}, doi = {10.1109/ACCESS.2024.3458908}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YookHKJSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeKKKKLKP24, author = {Junho Lee and Jee Young Kwak and Kyobin Keum and Kang Sik Kim and Insoo Kim and Myung{-}Jae Lee and Yong{-}Hoon Kim and Sung Kyu Park}, title = {Recent Advances in Smart Tactile Sensory Systems with Brain-Inspired Neural Networks}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {4}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300631}, doi = {10.1002/AISY.202300631}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeKKKKLKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/YangYLKKKHLHYY24, author = {Su Yang and Ji{-}Yong Yoo and Sang{-}Jeong Lee and Se{-}Ryong Kang and Jun{-}Min Kim and Jo{-}Eun Kim and Kyung{-}Hoe Huh and Sam{-}Sun Lee and Min{-}Suk Heo and Hoon Joo Yang and Won{-}Jin Yi}, title = {MAFNet: {A} deep multi-scale attentive fusion network for virtual osteotomy of maxillofacial bones in {CT} images containing metal artifacts}, journal = {Biomed. Signal Process. Control.}, volume = {95}, pages = {106411}, year = {2024}, url = {https://doi.org/10.1016/j.bspc.2024.106411}, doi = {10.1016/J.BSPC.2024.106411}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/YangYLKKKHLHYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/DhoLMKKLKKKPP24, author = {Yun{-}Sik Dho and Byeong Cheol Lee and HyeongCheol Moon and Kyung Min Kim and Ho Kang and Eun Jung Lee and Min{-}Sung Kim and Jin Wook Kim and Yong Hwy Kim and Sang Joon Park and Chul{-}Kee Park}, title = {Validation of real-time inside-out tracking and depth realization technologies for augmented reality-based neuronavigation}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {19}, number = {1}, pages = {15--25}, year = {2024}, url = {https://doi.org/10.1007/s11548-023-02993-0}, doi = {10.1007/S11548-023-02993-0}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/DhoLMKKLKKKPP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/YeohKKLHH24, author = {Ryna Yeoh and Hye Kyung Kim and Hyunjin Kang and Yujun Amanda Lin and Alvin Daniel Ho and Kai Feng Ho}, title = {What Determines Intentions to Use Mobile Fitness Apps? The Independent and Joint Influence of Social Norms}, journal = {Int. J. Hum. Comput. Interact.}, volume = {40}, number = {2}, pages = {121--130}, year = {2024}, url = {https://doi.org/10.1080/10447318.2022.2111040}, doi = {10.1080/10447318.2022.2111040}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhci/YeohKKLHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChoiKK24, author = {Jae{-}Ho Choi and Ki{-}Bong Kang and Kyung{-}Tae Kim}, title = {RF-Vital: Radio-Based Contactless Respiration Monitoring for a Moving Individual}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {8}, pages = {13137--13151}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2023.3329427}, doi = {10.1109/JIOT.2023.3329427}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChoiKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ChoiKL24, author = {Hyun{-}Ho Choi and Kyu{-}Min Kang and Howon Lee}, title = {NOMA-Based {ALOHA} Protocol for Air-to-Ground Communications With Maximum Transmit Power Limits}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {16}, pages = {27387--27397}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3398196}, doi = {10.1109/JIOT.2024.3398196}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ChoiKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbd/ZhouHZJLKARDSHLH24, author = {Kevin C. Zhou and Mark Harfouche and Maxwell Zheng and Joakim J{\"{o}}nsson and Kyung Chul Lee and Kanghyun Kim and Ron Appel and Paul Reamey and Thomas Doman and Veton Saliu and Gregor Horstmeyer and Seung Ah Lee and Roarke Horstmeyer}, title = {Computational 3D topographic microscopy from terabytes of data per sample}, journal = {J. Big Data}, volume = {11}, number = {1}, pages = {62}, year = {2024}, url = {https://doi.org/10.1186/s40537-024-00901-0}, doi = {10.1186/S40537-024-00901-0}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbd/ZhouHZJLKARDSHLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/LeeMLHP24, author = {Myungho Lee and Kyungduk Moon and Kangbok Lee and Juntaek Hong and Michael L. Pinedo}, title = {A critical review of planning and scheduling in steel-making and continuous casting in the steel industry}, journal = {J. Oper. Res. Soc.}, volume = {75}, number = {8}, pages = {1421--1455}, year = {2024}, url = {https://doi.org/10.1080/01605682.2023.2265416}, doi = {10.1080/01605682.2023.2265416}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/LeeMLHP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JinLMJLYLCKHJPKLKK24, author = {Jahoon Jin and Soo{-}Min Lee and Kyunghwan Min and Sodam Ju and Jihoon Lim and Jisu Yook and Jihoon Lee and Hyunsu Chae and Kwonwoo Kang and Yunji Hong and Yeongcheol Jeong and Sungsik Park and Sang{-}Ho Kim and Jongwoo Lee and Joonsuk Kim and Sung{-}Ung Kwak}, title = {A 4-nm 16-Gb/s/pin Single-Ended {PAM-4} Parallel Transceiver With Switching-Jitter Compensation and Transmitter Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {184--195}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3319637}, doi = {10.1109/JSSC.2023.3319637}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JinLMJLYLCKHJPKLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24, author = {Byeongho Kim and Sanghoon Cha and Sangsoo Park and Jieun Lee and Sukhan Lee and Shinhaeng Kang and Jinin So and Kyungsoo Kim and Jin Jung and Jong{-}Geon Lee and Sunjung Lee and Yoonah Paik and Hyeonsu Kim and Jin{-}Seong Kim and Won{-}Jo Lee and Yuhwan Ro and Yeongon Cho and Jin Hyun Kim and Joon{-}Ho Song and Jaehoon Yu and Seungwon Lee and Jeonghyeon Cho and Kyomin Sohn}, title = {The Breakthrough Memory Solutions for Improved Performance on {LLM} Inference}, journal = {{IEEE} Micro}, volume = {44}, number = {3}, pages = {40--48}, year = {2024}, url = {https://doi.org/10.1109/MM.2024.3375352}, doi = {10.1109/MM.2024.3375352}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeCYCGSJKYJLJLKCKKKKK24, author = {Kyung Hwa Lee and Gwang Hyeon Choi and Jihye Yun and Jonggi Choi and Myung Ji Goh and Dong Hyun Sinn and Young Joo Jin and Minseok Albert Kim and Su Jong Yu and Sangmi Jang and Soon Kyu Lee and Jeong Won Jang and Jae Seung Lee and Do Young Kim and Young Youn Cho and Hyung Joon Kim and Sehwa Kim and Ji Hoon Kim and Namkug Kim and Kang Mo Kim}, title = {Machine learning-based clinical decision support system for treatment recommendation and overall survival prediction of hepatocellular carcinoma: a multi-center study}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-023-00976-8}, doi = {10.1038/S41746-023-00976-8}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeCYCGSJKYJLJLKCKKKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChoiKK24, author = {Jae{-}Ho Choi and Ki{-}Bong Kang and Kyung{-}Tae Kim}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Fusion-Vital: Video-RF Fusion Transformer for Advanced Remote Physiological Measurement}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {1344--1352}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i2.27898}, doi = {10.1609/AAAI.V38I2.27898}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChoiKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-clinicalnlp/KimKLJLLKK24, author = {Hajung Kim and Chanhwi Kim and Hoonick Lee and Kyochul Jang and Jiwoo Lee and Kyungjae Lee and Gangwoo Kim and Jaewoo Kang}, editor = {Tristan Naumann and Asma Ben Abacha and Steven Bethard and Kirk Roberts and Danielle S. Bitterman}, title = {{KU-DMIS} at {EHRSQL} 2024 : Generating {SQL} query via question templatization in {EHR}}, booktitle = {Proceedings of the 6th Clinical Natural Language Processing Workshop, ClinicalNLP@NAACL 2024, Mexico City, Mexico, June 21, 2024}, pages = {672--686}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.clinicalnlp-1.64}, doi = {10.18653/V1/2024.CLINICALNLP-1.64}, timestamp = {Thu, 26 Sep 2024 17:06:35 +0200}, biburl = {https://dblp.org/rec/conf/acl-clinicalnlp/KimKLJLLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24, author = {Jeongyeol Bae and Sangsung Lee and Joonggeun Lee and Ikkyun Jo and Heesoo Kim and Kyunghyun Yoon and Taejong Kim and Jiyoung Lee and Myunghun Lee and Jaeseung Lee and Jongmin Jeong and Sungjun Lee and Taewan Kim and Sungjoo Kim and Gwangsik Cho and Duksoo Kim and Sangyun Lee and Pilsung Jang and Euibong Yang and Jeongmin Song and Gwangchun Park and Se{-}Eun Choi and Juhee Son and Won Ko and Jonghyun Kim and Seong Ho Park and Sangho Lee and Yoonki Lee and Euiyoung Park and Pillseong Kang and Taeyeon Kim and Hyojin Lee and Byungki Han and Joonhee Lee and Jongsoo Lee and Sangmin Yoo}, title = {4.3 {A} 43mm\({}^{\mbox{2}}\) Fully Integrated Legacy Cellular and 5G {FR1} {RF} Transceiver with 24RX/3TX Supporting Inter-Band 7CA/5CA 4{\texttimes}4 {MIMO} with 1K-QAM}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {80--82}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454281}, doi = {10.1109/ISSCC49657.2024.10454281}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24, author = {Jaehyeok Yang and Hyeongjun Ko and Kyunghoon Kim and Hyunsu Park and Jihwan Park and Ji{-}Hyo Kang and Jin{-}Youp Cha and Seongjin Kim and Youngtaek Kim and Minsoo Park and Gangsik Lee and Keonho Lee and Sanghoon Lee and Gyunam Jeon and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Seonwoo Hwang and Boram Kim and Sang{-}Yeon Byeon and Sungkwon Lee and Hyeonyeol Park and Joohwan Cho and Jonghwan Kim}, title = {13.1 {A} 35.4Gb/s/pin 16Gb {GDDR7} with a Low-Power Clocking Architecture and {PAM3} {IO} Circuitry}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {232--234}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454560}, doi = {10.1109/ISSCC49657.2024.10454560}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/ShimPLPK24, author = {Ho Sung Shim and Hyoungjun Park and Kyuhan Lee and Jang{-}Sun Park and Seonhye Kang}, editor = {Tat{-}Seng Chua and Chong{-}Wah Ngo and Roy Ka{-}Wei Lee and Ravi Kumar and Hady W. Lauw}, title = {Data Augmentation for Smishing Detection: {A} Theory-based Prompt Engineering Approach}, booktitle = {Companion Proceedings of the {ACM} on Web Conference 2024, {WWW} 2024, Singapore, Singapore, May 13-17, 2024}, pages = {1327--1328}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3589335.3651903}, doi = {10.1145/3589335.3651903}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/ShimPLPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-00014, author = {Hajung Kim and Chanhwi Kim and Hoonick Lee and Kyochul Jang and Jiwoo Lee and Kyungjae Lee and Gangwoo Kim and Jaewoo Kang}, title = {{KU-DMIS} at {EHRSQL} 2024: Generating {SQL} query via question templatization in {EHR}}, journal = {CoRR}, volume = {abs/2406.00014}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.00014}, doi = {10.48550/ARXIV.2406.00014}, eprinttype = {arXiv}, eprint = {2406.00014}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-00014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-15664, author = {Sungjun Lim and Jeyoon Yeom and Sooyon Kim and Hoyoon Byun and Jinho Kang and Yohan Jung and Jiyoung Jung and Kyungwoo Song}, title = {Flat Posterior Does Matter For Bayesian Transfer Learning}, journal = {CoRR}, volume = {abs/2406.15664}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.15664}, doi = {10.48550/ARXIV.2406.15664}, eprinttype = {arXiv}, eprint = {2406.15664}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-15664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-20021, author = {Kanghyun Choi and Hyeyoon Lee and Dain Kwon and Sunjong Park and Kyuyeun Kim and Noseong Park and Jinho Lee}, title = {MimiQ: Low-Bit Data-Free Quantization of Vision Transformers with Encouraging Inter-Head Attention Similarity}, journal = {CoRR}, volume = {abs/2407.20021}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.20021}, doi = {10.48550/ARXIV.2407.20021}, eprinttype = {arXiv}, eprint = {2407.20021}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-20021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-10923, author = {Kangjun Noh and Baekryun Seong and Hoyoon Byun and Youngjun Choi and Sungjin Song and Kyungwoo Song}, title = {{LBC:} Language-Based-Classifier for Out-Of-Variable Generalization}, journal = {CoRR}, volume = {abs/2408.10923}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.10923}, doi = {10.48550/ARXIV.2408.10923}, eprinttype = {arXiv}, eprint = {2408.10923}, timestamp = {Tue, 24 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-10923.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangAJCKJBK23, author = {Jung Heum Kang and Muhammad Salman Ali and Hyewon Jeong and Chang{-}Kyun Choi and Younhee Kim and Se{-}Yoon Jeong and Sung{-}Ho Bae and Hui Yong Kim}, title = {A Super-Resolution-Based Feature Map Compression for Machine-Oriented Video Coding}, journal = {{IEEE} Access}, volume = {11}, pages = {34198--34209}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3260223}, doi = {10.1109/ACCESS.2023.3260223}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangAJCKJBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCKBPLPHH23, author = {Seong Min Kim and Kyeong Min Kim and Ji Hoon Choi and Seung Man Kang and Min Kyung Bang and So Hee Park and Eon Gyeong Lee and Seong Bae Park and Choong Seon Hong and Sang Hoon Hong}, title = {A Digital Processing in Memory Architecture Using {TCAM} for Rapid Learning and Inference Based on a Spike Location Dependent Plasticity}, journal = {{IEEE} Access}, volume = {11}, pages = {3416--3430}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3234323}, doi = {10.1109/ACCESS.2023.3234323}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKCKBPLPHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/KangJEKP23, author = {Kyung{-}Pyo Kang and Ga Hyeon Jung and Jung Hoon Eom and Soon Beom Kwon and Jae Hong Park}, title = {Application of deep metric learning in the verification process of wheel design similarity: Hyundai motor company case}, journal = {{AI} Mag.}, volume = {44}, number = {4}, pages = {406--417}, year = {2023}, url = {https://doi.org/10.1002/aaai.12127}, doi = {10.1002/AAAI.12127}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aim/KangJEKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KangSYKHLHY23, author = {Se{-}Ryong Kang and Woncheol Shin and Su Yang and Jo{-}Eun Kim and Kyung{-}Hoe Huh and Sam{-}Sun Lee and Min{-}Suk Heo and Won{-}Jin Yi}, title = {Structure-preserving quality improvement of cone beam {CT} images using contrastive learning}, journal = {Comput. Biol. Medicine}, volume = {158}, pages = {106803}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106803}, doi = {10.1016/J.COMPBIOMED.2023.106803}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KangSYKHLHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimKJPPCHKKKKSKLBK23, author = {In{-}Hwan Kim and Junsik Kim and Jiheon Jeong and Jae{-}Woo Park and Kanggil Park and Jin{-}Hyoung Cho and Mihee Hong and Kyung{-}Hwa Kang and Minji Kim and Su{-}Jung Kim and Yoon{-}Ji Kim and Sang{-}Jin Sung and Young Ho Kim and Sung{-}Hoon Lim and Seung{-}Hak Baek and Namkug Kim}, title = {Orthognathic surgical planning using graph {CNN} with dual embedding module: External validations with multi-hospital datasets}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107853}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107853}, doi = {10.1016/J.CMPB.2023.107853}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimKJPPCHKKKKSKLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimLH23, author = {Jeong{-}Kyun Kim and Kangbok Lee and Sang Gi Hong}, title = {Detection of important features and comparison of datasets for fall detection based on wrist-wearable devices}, journal = {Expert Syst. Appl.}, volume = {234}, pages = {121034}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.121034}, doi = {10.1016/J.ESWA.2023.121034}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/KimLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/YoonCALKP23, author = {Heewon Yoon and Yongwon Cho and Kyung{-}Sik Ahn and Hee{-}Gone Lee and Chang Ho Kang and Beom Jin Park}, title = {Using a convolutional neural network model to derive imaging landmarks for lumbar spine numbering on axial magnetic resonance images}, journal = {Int. J. Imaging Syst. Technol.}, volume = {33}, number = {2}, pages = {547--555}, year = {2023}, url = {https://doi.org/10.1002/ima.22828}, doi = {10.1002/IMA.22828}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/YoonCALKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcde/KimUKSJKLY23, author = {Hyo{-}Jin Kim and Seung{-}Hoon Um and Yong Guk Kang and Minwoo Shin and Hojeong Jeon and Beop{-}Min Kim and Deukhee Lee and Kyungho Yoon}, title = {Laser-tissue interaction simulation considering skin-specific data to predict photothermal damage lesions during laser irradiation}, journal = {J. Comput. Des. Eng.}, volume = {10}, number = {3}, pages = {947--958}, year = {2023}, url = {https://doi.org/10.1093/jcde/qwad033}, doi = {10.1093/JCDE/QWAD033}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcde/KimUKSJKLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/KangWLCHAP23, author = {Myeongkyun Kang and Dong Kyu Won and Miguel Luna and Philip Chikontwe and Kyung Soo Hong and June Hong Ahn and Sanghyun Park}, title = {Content preserving image translation with texture co-occurrence and spatial self-similarity for texture debiasing and domain adaptation}, journal = {Neural Networks}, volume = {166}, pages = {722--737}, year = {2023}, url = {https://doi.org/10.1016/j.neunet.2023.07.049}, doi = {10.1016/J.NEUNET.2023.07.049}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/KangWLCHAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChoiOKL23, author = {Hyun{-}Ho Choi and Jinhyung Oh and Kyu{-}Min Kang and Howon Lee}, title = {Idle-Less Slotted {ALOHA} Protocol for Drone Swarm Identification}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {72}, number = {8}, pages = {11080--11085}, year = {2023}, url = {https://doi.org/10.1109/TVT.2023.3261104}, doi = {10.1109/TVT.2023.3261104}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChoiOKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KangJEKP23, author = {Kyung{-}Pyo Kang and Ga Hyeon Jeong and Jeong Hoon Eom and Soon Beom Kwon and Jae Hong Park}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Developing the Wheel Image Similarity Application with Deep Metric Learning: Hyundai Motor Company Case}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {15512--15518}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i13.26839}, doi = {10.1609/AAAI.V37I13.26839}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KangJEKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeYSKLTSK23, author = {Hongki Lee and Hajun Yoo and Gwang Myeong Seo and Kyungnam Kang and Seung Ah Lee and Kar{-}Ann Toh and Jong Hwan Sung and Donghyun Kim}, title = {Nanospeckle Illumination Microscopy of Extracellular Vesicles on Chip}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049929}, doi = {10.1109/ICEIC57457.2023.10049929}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeYSKLTSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YangLYKKKHLHYY23, author = {Su Yang and Sang{-}Jeong Lee and Ji{-}Yong Yoo and Se{-}Ryong Kang and Jun{-}Min Kim and Jo{-}Eun Kim and Kyung{-}Hoe Huh and Sam{-}Sun Lee and Min{-}Suk Heo and Hoon Joo Yang and Won{-}Jin Yi}, title = {V\({}^{\mbox{2}}\)-Net: An Attention-guided Volumetric Regression Network for Tooth Landmark Localization on {CT} Images with Metal Artifacts}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340891}, doi = {10.1109/EMBC40787.2023.10340891}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/YangLYKKKHLHYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23, author = {Jin Hyun Kim and Yuhwan Ro and Jinin So and Sukhan Lee and Shinhaeng Kang and YeonGon Cho and Hyeonsu Kim and Byeongho Kim and Kyungsoo Kim and Sangsoo Park and Jin{-}Seong Kim and Sanghoon Cha and Won{-}Jo Lee and Jin Jung and Jonggeon Lee and Jieun Lee and Joon{-}Ho Song and Seungwon Lee and Jeonghyeon Cho and Jaehoon Yu and Kyomin Sohn}, title = {Samsung {PIM/PNM} for Transfmer Based {AI} : Energy Efficiency on {PIM/PNM} Cluster}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--31}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254711}, doi = {10.1109/HCS59251.2023.10254711}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongKKKL23, author = {Pyo Min Hong and So Hyun Kang and Jinhyeon Kim and Ji Hoo Kim and Youn Kyu Lee}, title = {Adversarial2Adversarial: Defending against Adversarial Fingerprint Attacks without Clean Images}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1278--1282}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392544}, doi = {10.1109/ICTC58733.2023.10392544}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongKKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangS23, author = {Hyunduk Kang and Ho{-}Kyung Son}, title = {Service Availability of {HAPS} considering its elevation angle, density, and altitude}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1263--1266}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393260}, doi = {10.1109/ICTC58733.2023.10393260}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KangS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JinLMJLCKHJKLK23, author = {Jahoon Jin and Soo{-}Min Lee and Kyunghwan Min and Sodam Ju and Jihoon Lim and Hyunsu Chae and Kwonwoo Kang and Yunji Hong and Yeongcheol Jeong and Sang{-}Ho Kim and Jongwoo Lee and Joonsuk Kim}, title = {A 4nm 16Gb/s/pin Single-Ended {PAM4} Parallel Transceiver with Switching-Jitter Compensation and Transmitter Optimization}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {404--405}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067738}, doi = {10.1109/ISSCC42615.2023.10067738}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JinLMJLCKHJKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/JeongKNCKHK23, author = {Jiheon Jeong and Ki Duk Kim and Yujin Nam and Kyungjin Cho and Jiseon Kang and Gil{-}Sun Hong and Namkug Kim}, editor = {Hayit Greenspan and Anant Madabhushi and Parvin Mousavi and Septimiu E. Salcudean and James Duncan and Tanveer F. Syeda{-}Mahmood and Russell H. Taylor}, title = {Generating High-Resolution 3D {CT} with 12-Bit Depth Using a Diffusion Model with Adjacent Slice and Intensity Calibration Network}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2023 - 26th International Conference, Vancouver, BC, Canada, October 8-12, 2023, Proceedings, Part {X}}, series = {Lecture Notes in Computer Science}, volume = {14229}, pages = {366--375}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-43999-5\_35}, doi = {10.1007/978-3-031-43999-5\_35}, timestamp = {Tue, 13 Aug 2024 14:18:07 +0200}, biburl = {https://dblp.org/rec/conf/miccai/JeongKNCKHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/AgrawalKKRJMHZH23, author = {Ankur Agrawal and Monodeep Kar and Kyu{-}Hyoun Kim and Sergey V. Rylov and Jinwook Jung and Seiji Munetoh and Kohji Hosokawa and Xin Zhang and Bahman Hekmatshoartabari and Fabio Carta and Martin Cochet and Robert Casatuta and Mingu Kang and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A Switched-Capacitor Integer Compute Unit with Decoupled Storage and Arithmetic for Cloud {AI} Inference in 5nm {CMOS}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185275}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185275}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/AgrawalKKRJMHZH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-08140, author = {Lucas Kreiss and Shaowei Jiang and Xiang Li and Shiqi Xu and Kevin C. Zhou and Alexander M{\"{u}}hlberg and Kyung Chul Lee and Kanghyun Kim and Amey Chaware and D. Michael Ando and Laura Barisoni and Seung Ah Lee and Guoan Zheng and Kyle Lafata and Oliver Friedrich and Roarke Horstmeyer}, title = {Digital staining in optical microscopy using deep learning - a review}, journal = {CoRR}, volume = {abs/2303.08140}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.08140}, doi = {10.48550/ARXIV.2303.08140}, eprinttype = {arXiv}, eprint = {2303.08140}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-08140.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AnCLKKKLYW22, author = {Chang{-}Gyun An and Bong{-}Yeon Choi and Hoon Lee and Tae{-}Gyu Kim and Kyung{-}Min Kang and Mina Kim and Yoon{-}Seong Lee and Junsin Yi and Chung{-}Yuen Won}, title = {Space Vector Pulse-Width Modulation Control Strategy for Four-Leg Inverters Under Single Line-to-Ground Faults in Islanded Microgrids}, journal = {{IEEE} Access}, volume = {10}, pages = {18557--18569}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3151066}, doi = {10.1109/ACCESS.2022.3151066}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AnCLKKKLYW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ByunUK22, author = {Kyungguen Byun and Seyun Um and Hong{-}Goo Kang}, title = {Length-Normalized Representation Learning for Speech Signals}, journal = {{IEEE} Access}, volume = {10}, pages = {60362--60372}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3181298}, doi = {10.1109/ACCESS.2022.3181298}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ByunUK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JunKLKKJ22, author = {Sung{-}Bae Jun and Chan{-}ho Kim and Jin Hwan Lee and Jun{-}Kyu Kang and Yong{-}Jae Kim and Sang{-}Yong Jung}, title = {Parameter Optimization for Reducing Torque Ripple and Harmonic Losses of Multi-Layered Interior Permanent-Magnet Synchronous Motors}, journal = {{IEEE} Access}, volume = {10}, pages = {10536--10552}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3145186}, doi = {10.1109/ACCESS.2022.3145186}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JunKLKKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YooLLSK22, author = {Jun{-}Sang Yoo and Kang{-}Kyu Lee and Chan{-}Ho Lee and Ji{-}Min Seo and Jong{-}Ok Kim}, title = {Deep Spatio-Temporal Illuminant Estimation Under Time-Varying {AC} Lights}, journal = {{IEEE} Access}, volume = {10}, pages = {15528--15538}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3147252}, doi = {10.1109/ACCESS.2022.3147252}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YooLLSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/ParkSYHCKK22, author = {Kyung Ho Park and Hyun Min Song and Jeong Do Yoo and Su{-}Youn Hong and Byoungmo Cho and Kwangsoo Kim and Huy Kang Kim}, title = {Unsupervised malicious domain detection with less labeling effort}, journal = {Comput. Secur.}, volume = {116}, pages = {102662}, year = {2022}, url = {https://doi.org/10.1016/j.cose.2022.102662}, doi = {10.1016/J.COSE.2022.102662}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/ParkSYHCKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/HongMLLP22, author = {Juntaek Hong and Kyungduk Moon and Kangbok Lee and Kwansoo Lee and Michael L. Pinedo}, title = {An iterated greedy matheuristic for scheduling in steelmaking-continuous casting process}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {2}, pages = {623--643}, year = {2022}, url = {https://doi.org/10.1080/00207543.2021.1975839}, doi = {10.1080/00207543.2021.1975839}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/HongMLLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangYKCLBKKKCJJ22, author = {Jihyo Kang and Jaehyeok Yang and Kyunghoon Kim and Joo{-}Hyung Chae and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Boram Kim and Dong{-}Hyun Kim and Youngtaek Kim and Yeongmuk Cho and Junghwan Ji and Sera Jeong and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Sunho Kim and Hae{-}Kang Jung and Jieun Jang and Sangkwon Lee and Hyungsoo Kim and Joo{-}Hwan Cho and Junhyun Chun and Seon{-}Yong Cha}, title = {A 24-Gb/s/Pin 8-Gb {GDDR6} With a Half-Rate Daisy-Chain-Based Clocking Architecture and {I/O} Circuitry for Low-Noise Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {212--223}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3114205}, doi = {10.1109/JSSC.2021.3114205}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangYKCLBKKKCJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeASZKVCFGCMOL22, author = {Sae Kyu Lee and Ankur Agrawal and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matthew Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and Monodeep Kar and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 7-nm Four-Core Mixed-Precision {AI} Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS {INT4} Inference, and Workload-Aware Throttling}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {182--197}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3120113}, doi = {10.1109/JSSC.2021.3120113}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeASZKVCFGCMOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LiuZSLKLHCKKKJY22, author = {Liu Ke and Xuan Zhang and Jinin So and Jong{-}Geon Lee and Shinhaeng Kang and Sukhan Lee and Songyi Han and YeonGon Cho and Jin Hyun Kim and Yongsuk Kwon and KyungSoo Kim and Jin Jung and IlKwon Yun and Sung Joo Park and Hyunsun Park and Joon{-}Ho Song and Jeonghyeon Cho and Kyomin Sohn and Nam Sung Kim and Hsien{-}Hsin S. Lee}, title = {Near-Memory Processing in Action: Accelerating Personalized Recommendation With AxDIMM}, journal = {{IEEE} Micro}, volume = {42}, number = {1}, pages = {116--127}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3097700}, doi = {10.1109/MM.2021.3097700}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LiuZSLKLHCKKKJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KimLKKHJMKJ22, author = {Dong{-}Yun Kim and Soo Jin Lee and Eun{-}Kyu Kim and Eunyoung Kang and Chan Yeong Heo and Jae Hoon Jeong and Yujin Myung and In Ah Kim and Bum{-}Sup Jang}, title = {Author Correction: Feasibility of anomaly score detected with deep learning in irradiated breast cancer patients with reconstruction}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00688-5}, doi = {10.1038/S41746-022-00688-5}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KimLKKHJMKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KimLKKHJMKJ22a, author = {Dong{-}Yun Kim and Soo Jin Lee and Eun{-}Kyu Kim and Eunyoung Kang and Chan Yeong Heo and Jae Hoon Jeong and Yujin Myung and In Ah Kim and Bum{-}Sup Jang}, title = {Feasibility of anomaly score detected with deep learning in irradiated breast cancer patients with reconstruction}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00671-0}, doi = {10.1038/S41746-022-00671-0}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KimLKKHJMKJ22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HongLKJO22, author = {Mineui Hong and Kyungjae Lee and Minjae Kang and Wonsuhk Jung and Songhwai Oh}, title = {Dynamics-Aware Metric Embedding: Metric Learning in a Latent Space for Visual Planning}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {3388--3395}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3146917}, doi = {10.1109/LRA.2022.3146917}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HongLKJO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HejaziRACYHKJL22, author = {Arash Hejazi and Reza E. Rad and Seyed Ali Hosseini Asl and Kyung{-}Duk Choi and Joon{-}Mo Yoo and Hyungki Huh and Seokkee Kim and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A High-Power 3P3T Cross Antenna Switch with Low Harmonic Distortion and Enhanced Isolation Using T-Type Pull-Down Path for Cellular Mobile Devices}, journal = {Sensors}, volume = {22}, number = {14}, pages = {5461}, year = {2022}, url = {https://doi.org/10.3390/s22145461}, doi = {10.3390/S22145461}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HejaziRACYHKJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangCYYCB22, author = {Taeyoung Kang and Yongjun Cho and Kyeong Min Yuk and Chan Yeong Yu and Seung Ho Choi and Kyung Min Byun}, title = {Fabrication and Characterization of Novel Silk Fiber-Optic {SERS} Sensor with Uniform Assembly of Gold Nanoparticles}, journal = {Sensors}, volume = {22}, number = {22}, pages = {9012}, year = {2022}, url = {https://doi.org/10.3390/s22229012}, doi = {10.3390/S22229012}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangCYYCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RyuYPKHKCL22, author = {Jin Hwa Ryu and Hoesung Yang and Soyoung Park and Soocheol Kim and Kyuwon Han and Hyunseok Kim and Kwangsoo Cho and Kang Bok Lee}, title = {PLC-Based Integrated Refractive Index Sensor Probe with Partially Exposed Waveguide}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5672}, year = {2022}, url = {https://doi.org/10.3390/s22155672}, doi = {10.3390/S22155672}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RyuYPKHKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KangAKKNELML22, author = {Mina Kang and Myoung Hwan Ahn and Dai Ho Ko and Jhoon Kim and Dennis Nicks and Mijin Eo and Yeeun Lee and Kyung Jung Moon and Dong{-}Won Lee}, title = {Characteristics of the Spectral Response Function of Geostationary Environment Monitoring Spectrometer Analyzed by Ground and In-Orbit Measurements}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {60}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.1109/TGRS.2021.3091677}, doi = {10.1109/TGRS.2021.3091677}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/KangAKKNELML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/KimJJKKLSJY22, author = {Eun Kyu Kim and Jae Woo Jung and Sang Won Jung and Yoon Sik Kwon and Bo Min Kang and Chang Ho Lee and Kwangseop Sim and Ok Hyun Jo and Jun Young Yoon}, title = {Sensitivity Function Shaping Method for Non-collocated Active Damping System in Ram-type Milling Machine}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2022, Sapporo, Japan, July 11-15, 2022}, pages = {415--420}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AIM52237.2022.9863258}, doi = {10.1109/AIM52237.2022.9863258}, timestamp = {Tue, 30 Aug 2022 20:49:01 +0200}, biburl = {https://dblp.org/rec/conf/aimech/KimJJKKLSJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/JeongSKK22, author = {Hongbi Jeong and Junggab Son and Hyunbum Kim and Kyungtae Kang}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {Defensive Adversarial Training for Enhancing Robustness of {ECG} based User Identification}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {3362--3369}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995697}, doi = {10.1109/BIBM55620.2022.9995697}, timestamp = {Wed, 21 Aug 2024 07:35:25 +0200}, biburl = {https://dblp.org/rec/conf/bibm/JeongSKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chiplay/ParkLK22, author = {Kyung Ho Park and Eunjo Lee and Huy Kang Kim}, editor = {Kathrin Gerling and Jo Iacovides and Rainer Malaka and Beth Bonsignore and Julian Frommel}, title = {Cashflow Tracing: Detecting Online game bots leveraging financial analysis with Recurrent Neural Networks}, booktitle = {Extended Abstracts of the Annual Symposium on Computer-Human Interaction in Play, {CHI} {PLAY} 2022, Bremen, Germany, November 2-5, 2022}, pages = {189--195}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3505270.3558329}, doi = {10.1145/3505270.3558329}, timestamp = {Thu, 22 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chiplay/ParkLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SongWLYCLCK22, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {21393--21398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.02074}, doi = {10.1109/CVPR52688.2022.02074}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SongWLYCLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChoiKK22, author = {Jae{-}Ho Choi and Ki{-}Bong Kang and Kyung{-}Tae Kim}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Remote Respiration Monitoring of Moving Person Using Radio Signals}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXXVII}}, series = {Lecture Notes in Computer Science}, volume = {13697}, pages = {253--270}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19836-6\_15}, doi = {10.1007/978-3-031-19836-6\_15}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ChoiKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/YuSKLKKL22, author = {Changsoo Yu and Beomju Shin and Chung G. Kang and Jung Ho Lee and Hankyeol Kyung and Teahun Kim and Taikjin Lee}, title = {{RF} signal shape reconstruction technology on the 2D space for indoor localization}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748389}, doi = {10.1109/ICEIC54506.2022.9748389}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/YuSKLKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ShinHWCSKKLHP22, author = {Young Ha Shin and Seungwoo Hong and Sangyoung Woo and Jonghun Choe and Harim Son and Gijeong Kim and Joon{-}Ha Kim and Kang Kyu Lee and Jemin Hwangbo and Hae{-}Won Park}, title = {Design of {KAIST} HOUND, a Quadruped Robot Platform for Fast and Efficient Locomotion with Mixed-Integer Nonlinear Optimization of a Gear Train}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {6614--6620}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811755}, doi = {10.1109/ICRA46639.2022.9811755}, timestamp = {Wed, 20 Jul 2022 18:22:23 +0200}, biburl = {https://dblp.org/rec/conf/icra/ShinHWCSKKLHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKHKKBC22, author = {Kyungdon Choi and Yongho Kim and Byunghun Han and Heejung Kang and Youngsang Kun and Kyungho Byoun and Hojong Chang}, title = {Activity and environmental sensor application: Tonometry aided arrhythmia detection system}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2022--2025}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952394}, doi = {10.1109/ICTC55196.2022.9952394}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKHKKBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhJKLH22, author = {Da{-}Som Oh and Ji Hun Jeon and Jeong{-}Kyun Kim and Kang Bok Lee and Sang Gi Hong}, title = {Inspection System of Firefighting Facilities Based on YOLOv4 Using Augmented Reality Glass}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1604--1608}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952959}, doi = {10.1109/ICTC55196.2022.9952959}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/OhJKLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangJCSLKKKSCL22, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Shin{-}Wuk Kang and Uijong Song and Chang{-}Yeon Cho and Junseo Lee and Kyungduck Seo and Seongwook Song and Sung{-}Ung Kwak}, title = {A 1.05A/m Minimum Magnetic Field Strength Single-Chip Fully Integrated Biometric Smart Card SoC Achieving 1014.7ms Transaction Time with Anti-Spoofing Fingerprint Authentication}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {504--506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731106}, doi = {10.1109/ISSCC42614.2022.9731106}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangJCSLKKKSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/KimOLH22, author = {Jeong{-}Kyun Kim and Da{-}Som Oh and Kang Bok Lee and Sang Gi Hong}, title = {Fall detection based on interpretation of important features with wrist-wearable sensors}, booktitle = {{ACM} MobiCom '22: The 28th Annual International Conference on Mobile Computing and Networking, Sydney, NSW, Australia, October 17 - 21, 2022}, pages = {823--825}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3495243.3558250}, doi = {10.1145/3495243.3558250}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/KimOLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/KimKKHM22, author = {Jeonghwan Kim and Junmo Kang and Kyung{-}Min Kim and Giwon Hong and Sung{-}Hyon Myaeng}, editor = {Marine Carpuat and Marie{-}Catherine de Marneffe and Iv{\'{a}}n Vladimir Meza Ru{\'{\i}}z}, title = {Exploiting Numerical-Contextual Knowledge to Improve Numerical Reasoning in Question Answering}, booktitle = {Findings of the Association for Computational Linguistics: {NAACL} 2022, Seattle, WA, United States, July 10-15, 2022}, pages = {1811--1821}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-naacl.138}, doi = {10.18653/V1/2022.FINDINGS-NAACL.138}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/naacl/KimKKHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06421, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, journal = {CoRR}, volume = {abs/2205.06421}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06421}, doi = {10.48550/ARXIV.2205.06421}, eprinttype = {arXiv}, eprint = {2205.06421}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KangAJOK21, author = {Hyejin Kang and Hongryul Ahn and Kyuri Jo and Minsik Oh and Sun Kim}, title = {mirTime: identifying condition-specific targets of microRNA in time-series transcript data using Gaussian process model and spherical vector clustering}, journal = {Bioinform.}, volume = {37}, number = {11}, pages = {1544--1553}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btz306}, doi = {10.1093/BIOINFORMATICS/BTZ306}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KangAJOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChoiSJPKCJK21, author = {Hongrok Choi and Sangwon Seo and Daeyoung Jung and Sangheon Pack and Min Wook Kang and Yun Won Chung and Hyungjun Joo and Kyungran Kang}, title = {Design and implementation of integrated tactical mobility testbed}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {23--27}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2021.01.011}, doi = {10.1016/J.ICTE.2021.01.011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ChoiSJPKCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KounlaxaySKKK21, author = {Kalaphath Kounlaxay and YoonSik Shim and Shin{-}Jin Kang and Ho{-}Young Kwak and Soo Kyun Kim}, title = {Learning Media on Mathematical Education based on Augmented Reality}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {15}, number = {3}, pages = {1015--1029}, year = {2021}, url = {https://doi.org/10.3837/tiis.2021.03.011}, doi = {10.3837/TIIS.2021.03.011}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/KounlaxaySKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ChikontweLKHAP21, author = {Philip Chikontwe and Miguel Luna and Myeongkyun Kang and Kyung Soo Hong and June Hong Ahn and Sang Hyun Park}, title = {Dual attention multiple instance learning with unsupervised complementary loss for {COVID-19} screening}, journal = {Medical Image Anal.}, volume = {72}, pages = {102105}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.102105}, doi = {10.1016/J.MEDIA.2021.102105}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/ChikontweLKHAP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KangCH21, author = {Ji{-}Soo Kang and Kyungyong Chung and Ellen J. Hong}, title = {Multimedia knowledge-based bridge health monitoring using digital twin}, journal = {Multim. Tools Appl.}, volume = {80}, number = {26}, pages = {34609--34624}, year = {2021}, url = {https://doi.org/10.1007/s11042-021-10649-x}, doi = {10.1007/S11042-021-10649-X}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/KangCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HaNLKKKJLCK21, author = {Jae Kwon Ha and Chang Kyun Noh and Jin Seop Lee and Ho Jin Kang and Yu Min Kim and Tae Hyun Kim and Ha Neul Jung and Sang Hwan Lee and Choon Sik Cho and Young Jin Kim}, title = {{RF} Transceiver for the Multi-Mode Radar Applications}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1563}, year = {2021}, url = {https://doi.org/10.3390/s21051563}, doi = {10.3390/S21051563}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HaNLKKKJLCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangLCKKKS21, author = {Ji{-}Won Kang and Jae{-}Eun Lee and Jang{-}Hwan Choi and Woosuk Kim and Jin{-}Kyum Kim and Dong{-}Wook Kim and Young{-}Ho Seo}, title = {Digital Hologram Watermarking Based on Multiple Deep Neural Networks Training Reconstruction and Attack}, journal = {Sensors}, volume = {21}, number = {15}, pages = {4977}, year = {2021}, url = {https://doi.org/10.3390/s21154977}, doi = {10.3390/S21154977}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangLCKKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimBLH21, author = {Jeong{-}Kyun Kim and Myungnam Bae and Kang Bok Lee and Sang Gi Hong}, title = {Identification of Patients with Sarcopenia Using Gait Parameters Based on Inertial Sensors}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1786}, year = {2021}, url = {https://doi.org/10.3390/s21051786}, doi = {10.3390/S21051786}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimBLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKHYLKKL21, author = {Dongkyu Lee and Deawook Kim and Jounghyuk Han and Jongsu Yun and Kang{-}Ho Lee and Gyu Man Kim and Ohwon Kwon and Jaejong Lee}, title = {Integrated, Automated, Fast {PCR} System for Point-Of-Care Molecular Diagnosis of Bacterial Infection}, journal = {Sensors}, volume = {21}, number = {2}, pages = {377}, year = {2021}, url = {https://doi.org/10.3390/s21020377}, doi = {10.3390/S21020377}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeKHYLKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MohamedKKMKK21, author = {Nourelhuda Mohamed and Hyun{-}Seok Kim and Kyu{-}Min Kang and Manal Mohamed and Sung{-}Hoon Kim and Jae Gwan Kim}, title = {Heart and Lung Sound Measurement Using an Esophageal Stethoscope with Adaptive Noise Cancellation}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6757}, year = {2021}, url = {https://doi.org/10.3390/s21206757}, doi = {10.3390/S21206757}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MohamedKKMKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkPK21, author = {Kyung Ho Park and Eunji Park and Huy Kang Kim}, title = {Unsupervised Fault Detection on Unmanned Aerial Vehicles: Encoding and Thresholding Approach}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2208}, year = {2021}, url = {https://doi.org/10.3390/s21062208}, doi = {10.3390/S21062208}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RheeKLKL21, author = {Jeong Hoon Rhee and Sang Il Kim and Kang Min Lee and Moon Kyum Kim and Yun Mook Lim}, title = {Optimization of Position and Number of Hotspot Detectors Using Artificial Neural Network and Genetic Algorithm to Estimate Material Levels Inside a Silo}, journal = {Sensors}, volume = {21}, number = {13}, pages = {4427}, year = {2021}, url = {https://doi.org/10.3390/s21134427}, doi = {10.3390/S21134427}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RheeKLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCAYPKHJL21, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Seyed Ali Hosseini Asl and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A Low-Band Multi-Gain {LNA} Design for Diversity Receive Module with 1.2 dB {NF}}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8340}, year = {2021}, url = {https://doi.org/10.3390/s21248340}, doi = {10.3390/S21248340}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCAYPKHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoLSLKKL21, author = {Ji{-}Hyeon Seo and Hyuk{-}Jin Lee and Dong{-}Wook Seo and Dong{-}Kyu Lee and Ohwon Kwon and Moon{-}Kyu Kwak and Kang{-}Ho Lee}, title = {A Prosthetic Socket with Active Volume Compensation for Amputated Lower Limb}, journal = {Sensors}, volume = {21}, number = {2}, pages = {407}, year = {2021}, url = {https://doi.org/10.3390/s21020407}, doi = {10.3390/S21020407}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SeoLSLKKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/KangCCLK21, author = {Ki{-}Bong Kang and Jae{-}Ho Choi and Byung{-}Lae Cho and Jung{-}Soo Lee and Kyung{-}Tae Kim}, title = {Analysis of Micro-Doppler Signatures of Small UAVs Based on Doppler Spectrum}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {57}, number = {5}, pages = {3252--3267}, year = {2021}, url = {https://doi.org/10.1109/TAES.2021.3074208}, doi = {10.1109/TAES.2021.3074208}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/KangCCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/JeongLKEK21, author = {Young Hoon Jeong and Ming Yue Li and Su Min Kang and Yun Kyung Eum and Byeong Kwu Kang}, editor = {Minghui Dong and Yanhui Gu and Jia{-}Fei Hong}, title = {Automatic Prediction and Linguistic Interpretation of Chinese Directional Complements Based on {BERT} Model}, booktitle = {Chinese Lexical Semantics - 22nd Workshop, {CLSW} 2021, Nanjing, China, May 15-16, 2021, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13249}, pages = {411--422}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-06703-7\_31}, doi = {10.1007/978-3-031-06703-7\_31}, timestamp = {Sat, 30 Sep 2023 09:37:20 +0200}, biburl = {https://dblp.org/rec/conf/clsw/JeongLKEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimHKKM21, author = {Jeonghwan Kim and Giwon Hong and Kyung{-}Min Kim and Junmo Kang and Sung{-}Hyon Myaeng}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Have You Seen That Number? Investigating Extrapolation in Question Answering Models}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {7031--7037}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.563}, doi = {10.18653/V1/2021.EMNLP-MAIN.563}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/KimHKKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaart/ParkPK21, author = {Eunji Park and Kyung Ho Park and Huy Kang Kim}, editor = {Ana Paula Rocha and Luc Steels and H. Jaap van den Herik}, title = {Understand Watchdogs: Discover How Game Bot Get Discovered}, booktitle = {Proceedings of the 13th International Conference on Agents and Artificial Intelligence, {ICAART} 2021, Volume 2, Online Streaming, February 4-6, 2021}, pages = {924--931}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010264609240931}, doi = {10.5220/0010264609240931}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/icaart/ParkPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLKH21, author = {Jeong{-}Kyun Kim and Kang Bok Lee and Jae{-}Chul Kim and Sang Gi Hong}, title = {Patient Identification based on Physical Rehabilitation Movements using Skeleton Data}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1572--1574}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621049}, doi = {10.1109/ICTC52510.2021.9621049}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimLKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/HongLLM21, author = {Juntaek Hong and Kwansoo Lee and Kangbok Lee and Kyungduk Moon}, editor = {Alexandre Dolgui and Alain Bernard and David Lemoine and Gregor von Cieminski and David Romero}, title = {An Iterated Greedy Matheuristic for Scheduling in Steelmaking-Continuous Casting Process}, booktitle = {Advances in Production Management Systems. Artificial Intelligence for Sustainable and Resilient Production Systems - {IFIP} {WG} 5.7 International Conference, {APMS} 2021, Nantes, France, September 5-9, 2021, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {630}, pages = {62--72}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85874-2\_7}, doi = {10.1007/978-3-030-85874-2\_7}, timestamp = {Fri, 12 Apr 2024 12:51:34 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/HongLLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChangKKHHJK21, author = {Jaesik Chang and Minju Kim and Seongmin Kang and Heungwoo Han and Sunpyo Hong and Kyunghun Jang and Sungchul Kang}, title = {GhostPose*: Multi-view Pose Estimation of Transparent Objects for Robot Hand Grasping}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {5749--5755}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636459}, doi = {10.1109/IROS51168.2021.9636459}, timestamp = {Wed, 22 Dec 2021 12:36:19 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChangKKHHJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HanLSNJOHJLLSHJ21, author = {Shinhee Han and Junghyuk Lee and Kiseok Suh and Kyungtae Nam and Daeeun Jeong and Sechung Oh and Sohee Hwang and Yongsung Ji and Kilho Lee and Kangho Lee and Yoonjong Song and Yeongki Hong and Gitae Jeong}, title = {Reliability of {STT-MRAM} for various embedded applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2021, Monterey, CA, USA, March 21-25, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IRPS46558.2021.9405094}, doi = {10.1109/IRPS46558.2021.9405094}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HanLSNJOHJLLSHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/VenkataramaniSW21, author = {Swagath Venkataramani and Vijayalakshmi Srinivasan and Wei Wang and Sanchari Sen and Jintao Zhang and Ankur Agrawal and Monodeep Kar and Shubham Jain and Alberto Mannari and Hoang Tran and Yulong Li and Eri Ogawa and Kazuaki Ishizaki and Hiroshi Inoue and Marcel Schaal and Mauricio J. Serrano and Jungwook Choi and Xiao Sun and Naigang Wang and Chia{-}Yu Chen and Allison Allain and James Bonanno and Nianzheng Cao and Robert Casatuta and Matthew Cohen and Bruce M. Fleischer and Michael Guillorn and Howard Haynie and Jinwook Jung and Mingu Kang and Kyu{-}Hyoun Kim and Siyu Koswatta and Sae Kyu Lee and Martin Lutz and Silvia M. Mueller and Jinwook Oh and Ashish Ranjan and Zhibin Ren and Scot Rider and Kerstin Schelm and Michael Scheuermann and Joel Silberman and Jie Yang and Vidhi Zalani and Xin Zhang and Ching Zhou and Matthew M. Ziegler and Vinay Shah and Moriyoshi Ohara and Pong{-}Fei Lu and Brian W. Curran and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {RaPiD: {AI} Accelerator for Ultra-low Precision Training and Inference}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {153--166}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00021}, doi = {10.1109/ISCA52012.2021.00021}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/VenkataramaniSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AgrawalLSZKVCFG21, author = {Ankur Agrawal and Sae Kyu Lee and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matt Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Monodeep Kar and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {A 7nm 4-Core {AI} Chip with 25.6TFLOPS Hybrid {FP8} Training, 102.4TOPS {INT4} Inference and Workload-Aware Throttling}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {144--146}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365791}, doi = {10.1109/ISSCC42613.2021.9365791}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AgrawalLSZKVCFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLLSJLLKYLMLJ21, author = {Tae Sung Kim and Seokhyun Lee and Kyungkoo Lee and Sunyoung Shin and SeungSick Jun and YongMi Lee and Seungyong Lee and Homin Kang and Changhyun Yim and Yohan Lim and Eikyung Moon and Sukhwan Lim and Kyung{-}Ah Jeong and Inyup Kang}, title = {4.8 An Area and Energy Efficient 0.12nJ/Pixel 8K 30fps {AV1} Video Decoder in 5nm {CMOS} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {68--70}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366011}, doi = {10.1109/ISSCC42613.2021.9366011}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLLSJLLKYLMLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkJLLLJLKJSLK21, author = {Jun{-}Seok Park and Jun{-}Woo Jang and Heonsoo Lee and Dongwoo Lee and Sehwan Lee and Hanwoong Jung and Seungwon Lee and Suknam Kwon and Kyung{-}Ah Jeong and Joon{-}Ho Song and Sukhwan Lim and Inyup Kang}, title = {9.5 {A} 6K-MAC Feature-Map-Sparsity-Aware Neural Processing Unit in 5nm Flagship Mobile SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {152--154}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365928}, doi = {10.1109/ISSCC42613.2021.9365928}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkJLLLJLKJSLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPCLLKLKJIPK21, author = {Jongeun Park and Sungbong Park and Kwansik Cho and Taehun Lee and Changkyu Lee and Donghyun Kim and Beomsuk Lee and SungIn Kim and Ho{-}Chul Ji and Dongmo Im and Haeyong Park and Jinyoung Kim and Jungho Cha and Tae{-}Hoon Kim and Insung Joe and Soojin Hong and Chongkwang Chang and Jingyun Kim and WooGwan Shim and Taehee Kim and Jamie Lee and Donghyuk Park and Euiyeol Kim and Howoo Park and Jaekyu Lee and Yitae Kim and JungChak Ahn and Youngki Chung and ChungSam Jun and Hyunchul Kim and Chang{-}Rok Moon and Ho{-}Kyu Kang}, title = {7.9 1/2.74-inch 32Mpixel-Prototype {CMOS} Image Sensor with 0.64{\(\mu\)} m Unit Pixels Separated by Full-Depth Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {122--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365751}, doi = {10.1109/ISSCC42613.2021.9365751}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPCLLKLKJIPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/ParkPK21, author = {Kyung Ho Park and Eunji Park and Huy Kang Kim}, title = {Stay As You Were!: Unsupervised Driver Behavior Profiling through Discovering Normality on Smartphone Sensor Measurements}, booktitle = {24th {IEEE} International Intelligent Transportation Systems Conference, {ITSC} 2021, Indianapolis, IN, USA, September 19-22, 2021}, pages = {278--284}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITSC48978.2021.9564814}, doi = {10.1109/ITSC48978.2021.9564814}, timestamp = {Wed, 03 Nov 2021 08:38:45 +0100}, biburl = {https://dblp.org/rec/conf/itsc/ParkPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KangCLHAP21, author = {Myeongkyun Kang and Philip Chikontwe and Miguel Luna and Kyung Soo Hong and June Hong Ahn and Sang Hyun Park}, editor = {Islem Rekik and Ehsan Adeli and Sang Hyun Park and Julia A. Schnabel}, title = {Mixing-AdaSIN: Constructing a De-biased Dataset Using Adaptive Structural Instance Normalization and Texture Mixing}, booktitle = {Predictive Intelligence in Medicine - 4th International Workshop, {PRIME} 2021, Held in Conjunction with {MICCAI} 2021, Strasbourg, France, October 1, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12928}, pages = {37--46}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87602-9\_4}, doi = {10.1007/978-3-030-87602-9\_4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/KangCLHAP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JoeLPKKKKLHRKPK21, author = {Insung Joe and Yunki Lee and Hye Yeon Park and Jong Uk Kim and Dongyeon Kang and Taehoon Kim and Minkwan Kim and Kwangmin Lee and Minsung Heo and Inho Ro and Jinhyung Kim and Inyong Park and Seokjin Kwon and Kisang Yoon and Dami Park and Changkyu Lee and Eunyoung Jo and Minhwan Jeon and Chanho Park and Kyung Rae Byun and Chong Kwang Chang and JaeSung Hur and Kijoong Yoon and Taeksoo Jeon and Jaehak Lee and Jungho Park and Bumsuk Kim and JungChak Ahn and Hyunchul Kim and Chang{-}Rok Moon and Hyoung{-}Sub Kim}, title = {Development of Advanced Inter-Color-Filter Grid on Sub-Micron-Pixel {CMOS} Image Sensor for Mobile Cameras with High Sensitivity and High Resolution}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492339}, doi = {10.23919/VLSICIRCUITS52068.2021.9492339}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JoeLPKKKKLHRKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangKCLCLKLSCS21, author = {Kiseo Kang and ByungJun Kim and Gahyun Choi and Sun{-}Kyung Lee and Jisoo Choi and Jaeho Lee and Seokhyeong Kang and Moonjoo Lee and Ho{-}Jin Song and Yonuk Chong and Jae{-}Yoon Sim}, title = {A 5.5mW/Channel 2-to-7 GHz Frequency Synthesizable Qubit-Controlling Cryogenic Pulse Modulator for Scalable Quantum Computers}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492343}, doi = {10.23919/VLSICIRCUITS52068.2021.9492343}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KangKCLCLKLSCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkAK21, author = {Kyung Ho Park and Dong Hyun Ahn and Huy Kang Kim}, title = {Traffic Accident Recognition in First-Person Videos by Learning a Spatio-Temporal Visual Pattern}, booktitle = {93rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2021, Helsinki, Finland, April 25-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Spring51267.2021.9448683}, doi = {10.1109/VTC2021-SPRING51267.2021.9448683}, timestamp = {Fri, 18 Jun 2021 10:49:22 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ChoiPPK21, author = {Young Ah Choi and Kyung Ho Park and Eunji Park and Huy Kang Kim}, editor = {Hyoungshick Kim}, title = {Unsupervised Driver Behavior Profiling Leveraging Recurrent Neural Networks}, booktitle = {Information Security Applications - 22nd International Conference, {WISA} 2021, Jeju Island, South Korea, August 11-13, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13009}, pages = {28--38}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89432-0\_3}, doi = {10.1007/978-3-030-89432-0\_3}, timestamp = {Fri, 29 Oct 2021 16:42:38 +0200}, biburl = {https://dblp.org/rec/conf/wisa/ChoiPPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-14255, author = {Myeongkyun Kang and Philip Chikontwe and Miguel Luna and Kyung Soo Hong and June Hong Ahn and Sang Hyun Park}, title = {Mixing-AdaSIN: Constructing a de-biased dataset using Adaptive Structural Instance Normalization and texture Mixing}, journal = {CoRR}, volume = {abs/2103.14255}, year = {2021}, url = {https://arxiv.org/abs/2103.14255}, eprinttype = {arXiv}, eprint = {2103.14255}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-14255.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-12003, author = {Seyun Um and Jihyun Kim and Jihyun Lee and Sangshin Oh and Kyungguen Byun and Hong{-}Goo Kang}, title = {Facetron: Multi-speaker Face-to-Speech Model based on Cross-modal Latent Representations}, journal = {CoRR}, volume = {abs/2107.12003}, year = {2021}, url = {https://arxiv.org/abs/2107.12003}, eprinttype = {arXiv}, eprint = {2107.12003}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-12003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-05079, author = {Young Ah Choi and Kyung Ho Park and Eunji Park and Huy Kang Kim}, title = {Unsupervised Driver Behavior Profiling leveraging Recurrent Neural Networks}, journal = {CoRR}, volume = {abs/2108.05079}, year = {2021}, url = {https://arxiv.org/abs/2108.05079}, eprinttype = {arXiv}, eprint = {2108.05079}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-05079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-07920, author = {Myeongkyun Kang and Dong Kyu Won and Miguel Luna and Kyung Soo Hong and June Hong Ahn and Sang Hyun Park}, title = {Data Generation using Texture Co-occurrence and Spatial Self-Similarity for Debiasing}, journal = {CoRR}, volume = {abs/2110.07920}, year = {2021}, url = {https://arxiv.org/abs/2110.07920}, eprinttype = {arXiv}, eprint = {2110.07920}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-07920.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangHJL20, author = {Jihyeon Kang and Jong{-}Uk Hou and Sang{-}Keun Ji and Heung{-}Kyu Lee}, title = {Robust Spherical Panorama Image Watermarking Against Viewpoint Desynchronization}, journal = {{IEEE} Access}, volume = {8}, pages = {127477--127490}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3006980}, doi = {10.1109/ACCESS.2020.3006980}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangHJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooKCKSPBPL20, author = {Sung Yun Woo and Dongseok Kwon and Nagyong Choi and Won{-}Mook Kang and Young{-}Tak Seo and Min Kyu Park and Jong{-}Ho Bae and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {Low-Power and High-Density Neuron Device for Simultaneous Processing of Excitatory and Inhibitory Signals in Neuromorphic Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {202639--202647}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3036088}, doi = {10.1109/ACCESS.2020.3036088}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WooKCKSPBPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YooKMKP20, author = {Jae Ik Yoo and Yong Cheol Kang and Eduard Muljadi and Kyu{-}Ho Kim and Jung{-}Wook Park}, title = {Frequency Stability Support of a {DFIG} to Improve the Settling Frequency}, journal = {{IEEE} Access}, volume = {8}, pages = {22473--22482}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2969051}, doi = {10.1109/ACCESS.2020.2969051}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YooKMKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/DoanLLK20, author = {Quoc Hoan Doan and Dongkyu Lee and Jaehong Lee and Joowon Kang}, title = {Multi-material structural topology optimization with decision making of stiffness design criteria}, journal = {Adv. Eng. Informatics}, volume = {45}, pages = {101098}, year = {2020}, url = {https://doi.org/10.1016/j.aei.2020.101098}, doi = {10.1016/J.AEI.2020.101098}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/DoanLLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HaselimashhadiM20, author = {Hamed Haselimashhadi and Jeremy C. Mason and Violeta Mu{\~{n}}oz{-}Fuentes and Federico L{\'{o}}pez{-}G{\'{o}}mez and Kolawole O. Babalola and Elif F. Acar and Vivek Kumar and Jacqui White and Ann M. Flenniken and Ruairidh King and Ewan Straiton and John Richard Seavitt and Angelina Gaspero and Arturo Garza and Audrey E. Christianson and Chih{-}Wei Hsu and Corey L. Reynolds and Denise G. Lanza and Isabel Lorenzo and Jennie R. Green and Juan J. Gallegos and Ritu Bohat and Rodney C. Samaco and Surabi Veeraragavan and Jong Kyoung Kim and Gregor Miller and Helmut Fuchs and Lillian Garrett and Lore Becker and Yeon Kyung Kang and David Clary and Soo Young Cho and Masaru Tamura and Nobuhiko Tanaka and Kyung Dong Soo and Alexandr Bezginov and Ghina Bou About and Marie{-}France Champy and Laurent Vasseur and Sophie Leblanc and Hamid Meziane and Mohammed Selloum and Patrick T. Reilly and Nadine Spielmann and Holger Maier and Val{\'{e}}rie Gailus{-}Durner and Tania Sorg and Hiroshi Masuya and Yuichi Obata and Jason D. Heaney and Mary E. Dickinson and Wolfgang Wurst and Glauco P. Tocchini{-}Valentini and Kevin C. Kent Lloyd and Colin McKerlie and Je Kyung Seong and Yann Herault and Martin Hrab{\'{e}} de Angelis and Steve D. M. Brown and Damian Smedley and Paul Flicek and Ann{-}Marie Mallon and Helen E. Parkinson and Terrence F. Meehan}, title = {Soft windowing application to improve analysis of high-throughput phenotyping data}, journal = {Bioinform.}, volume = {36}, number = {5}, pages = {1492--1500}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btz744}, doi = {10.1093/BIOINFORMATICS/BTZ744}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HaselimashhadiM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/OhPK20, author = {Dong Bin Oh and Kyung Ho Park and Huy Kang Kim}, title = {De-Wipimization: Detection of data wiping traces for investigating {NTFS} file system}, journal = {Comput. Secur.}, volume = {99}, pages = {102034}, year = {2020}, url = {https://doi.org/10.1016/j.cose.2020.102034}, doi = {10.1016/J.COSE.2020.102034}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/OhPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/LeeCCHKKLLLOPPP20, author = {Hyun{-}Kyung Lee and Sue{-}Yeon Chae and Seung{-}Yeon Choi and Dong{-}Hwan Hong and Sang{-}Gu Kang and Gyomin Koo and Seo{-}Hyeon Lee and Sun{-}Woo Lee and Young{-}Seo Lee and Myung{-}Woo Oh and Geena Park and Ji{-}Hyun Park and Sangha Park}, title = {Design Thinking with Appropriate Technology for Improving Social Sustainability: Critical and Comprehensive Criteria}, journal = {J. Integr. Des. Process. Sci.}, volume = {24}, number = {2}, pages = {29--51}, year = {2020}, url = {https://doi.org/10.3233/JID200012}, doi = {10.3233/JID200012}, timestamp = {Thu, 24 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jid/LeeCCHKKLLLOPPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/KhanKCHKPKH20, author = {Mohammad Azam Khan and Soonwook Kwon and Jaegul Choo and Seok Min Hong and Sung Hun Kang and Il{-}Ho Park and Sung Kyun Kim and Seok Jin Hong}, title = {Automatic detection of tympanic membrane and middle ear infection from oto-endoscopic images via convolutional neural networks}, journal = {Neural Networks}, volume = {126}, pages = {384--394}, year = {2020}, url = {https://doi.org/10.1016/j.neunet.2020.03.023}, doi = {10.1016/J.NEUNET.2020.03.023}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/KhanKCHKPKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PyoDLKBKLKKCC20, author = {Jong Cheol Pyo and Hongtao Duan and Mayzonee Ligaray and Minjeong Kim and Sang{-}Soo Baek and Yong Sung Kwon and Hyuk Lee and Taegu Kang and Kyunghyun Kim and YoonKyung Cha and Kyung Hwa Cho}, title = {An Integrative Remote Sensing Application of Stacked Autoencoder for Atmospheric Correction and Cyanobacteria Estimation Using Hyperspectral Imagery}, journal = {Remote. Sens.}, volume = {12}, number = {7}, pages = {1073}, year = {2020}, url = {https://doi.org/10.3390/rs12071073}, doi = {10.3390/RS12071073}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/PyoDLKBKLKKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPYSHKYY20, author = {Do Hun Kim and Yang Soo Lee and Won Kyu Park and Jin Sun Yoo and Changup Shim and Young Joon Hong and Bong Kyun Kang and Dae Ho Yoon and Woo Seok Yang}, title = {Flexible Graphite/PPG Hybrid Composite-Based Resistive Sensor for Sensing Organic Compounds}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2651}, year = {2020}, url = {https://doi.org/10.3390/s20092651}, doi = {10.3390/S20092651}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLPYSHKYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeOKC20, author = {Sangjae Lee and Mi{-}Kyung Oh and Yousung Kang and Dooho Choi}, title = {Design of Resistor-Capacitor Physically Unclonable Function for Resource-Constrained IoT Devices}, journal = {Sensors}, volume = {20}, number = {2}, pages = {404}, year = {2020}, url = {https://doi.org/10.3390/s20020404}, doi = {10.3390/S20020404}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeOKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ParkKME20, author = {Jun Young Park and Tae An Kang and Yong Ho Moon and Il Kyu Eom}, title = {Copy-Move Forgery Detection Using Scale Invariant Feature and Reduced Local Binary Pattern Histogram}, journal = {Symmetry}, volume = {12}, number = {4}, pages = {492}, year = {2020}, url = {https://doi.org/10.3390/sym12040492}, doi = {10.3390/SYM12040492}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ParkKME20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/OhLKC20, author = {Mi{-}Kyung Oh and Sangjae Lee and Yousung Kang and Dooho Choi}, title = {Wireless Transceiver Aided Run-Time Secret Key Extraction for IoT Device Security}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {66}, number = {1}, pages = {11--21}, year = {2020}, url = {https://doi.org/10.1109/TCE.2019.2959593}, doi = {10.1109/TCE.2019.2959593}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/OhLKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/WuGAHBBRKNXLFXT20, author = {Dufan Wu and Kuang Gong and Chiara Daniela Arru and Fatemeh Homayounieh and Bernardo Bizzo and Varun Buch and Hui Ren and Kyung Sang Kim and Nir Neumark and Pengcheng Xu and Zhiyuan Liu and Wei Fang and Nuobei Xie and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Alessandro Carriero and Luca Saba and Mahsa Masjedi and Hamidreza Talari and Rosa Babaei and Hadi Karimi Mobin and Shadi Ebrahimian and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Severity and Consolidation Quantification of {COVID-19} From {CT} Images Using Deep Learning Based on Hybrid Weak Labels}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {24}, number = {12}, pages = {3529--3538}, year = {2020}, url = {https://doi.org/10.1109/JBHI.2020.3030224}, doi = {10.1109/JBHI.2020.3030224}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/WuGAHBBRKNXLFXT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/LimOBK20, author = {Hyungseob Lim and Suhyeon Oh and Kyungguen Byun and Hong{-}Goo Kang}, editor = {Michael B. Matthews}, title = {A Study on Conditional Features for a Flow-based Neural Vocoder}, booktitle = {54th Asilomar Conference on Signals, Systems, and Computers, {ACSCC} 2020, Pacific Grove, CA, USA, November 1-4, 2020}, pages = {662--666}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IEEECONF51394.2020.9443273}, doi = {10.1109/IEEECONF51394.2020.9443273}, timestamp = {Tue, 08 Jun 2021 13:24:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/LimOBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/KoKPWH20, author = {Kyungchan Ko and Changhoon Kang and Youngbok Park and Jongsoo Woo and James Won{-}Ki Hong}, title = {Towards Blockchain-based Stainless Steel Tracking}, booktitle = {21st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2020, Daegu, South Korea, September 22-25, 2020}, pages = {318--321}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/APNOMS50412.2020.9237041}, doi = {10.23919/APNOMS50412.2020.9237041}, timestamp = {Thu, 29 Oct 2020 12:28:14 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/KoKPWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/OhLBHSK20, author = {Suhyeon Oh and Hyungseob Lim and Kyungguen Byun and Min{-}Jae Hwang and Eunwoo Song and Hong{-}Goo Kang}, title = {ExcitGlow: Improving a WaveGlow-based Neural Vocoder with Linear Prediction Analysis}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2020, Auckland, New Zealand, December 7-10, 2020}, pages = {831--836}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9306395}, timestamp = {Thu, 11 Feb 2021 11:44:30 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/OhLBHSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ShinHBK20, author = {Hyeon{-}Kyeong Shin and Hyewon Han and Kyungguen Byun and Hong{-}Goo Kang}, title = {Speaker-invariant Psychological Stress Detection Using Attention-based Network}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2020, Auckland, New Zealand, December 7-10, 2020}, pages = {308--313}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9306384}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ShinHBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/HostalleroKMSKY20, author = {David Earl Hostallero and Daewoo Kim and Sangwoo Moon and Kyunghwan Son and Wan Ju Kang and Yung Yi}, editor = {Amal El Fallah Seghrouchni and Gita Sukthankar and Bo An and Neil Yorke{-}Smith}, title = {Inducing Cooperation through Reward Reshaping based on Peer Evaluations in Deep Multi-Agent Reinforcement Learning}, booktitle = {Proceedings of the 19th International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} '20, Auckland, New Zealand, May 9-13, 2020}, pages = {520--528}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems}, year = {2020}, url = {https://dl.acm.org/doi/10.5555/3398761.3398825}, doi = {10.5555/3398761.3398825}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atal/HostalleroKMSKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blocksys/KangLKWH20, author = {Changhoon Kang and Chaehyeon Lee and Kyungchan Ko and Jongsoo Woo and James Won{-}Ki Hong}, editor = {Zibin Zheng and Hong{-}Ning Dai and Xiaodong Fu and Benhui Chen}, title = {De-Anonymization of the Bitcoin Network Using Address Clustering}, booktitle = {Blockchain and Trustworthy Systems - Second International Conference, BlockSys 2020, Dali, China, August 6-7, 2020, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1267}, pages = {489--501}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-9213-3\_38}, doi = {10.1007/978-981-15-9213-3\_38}, timestamp = {Fri, 13 Nov 2020 16:02:12 +0100}, biburl = {https://dblp.org/rec/conf/blocksys/KangLKWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LugmayrDTABCCCC20, author = {Andreas Lugmayr and Martin Danelljan and Radu Timofte and Namhyuk Ahn and Dongwoon Bai and Jie Cai and Yun Cao and Junyang Chen and Kaihua Cheng and Se Young Chun and Wei Deng and Mostafa El{-}Khamy and Chiu Man Ho and Xiaozhong Ji and Amin Kheradmand and Gwantae Kim and Hanseok Ko and Kanghyu Lee and Jungwon Lee and Hao Li and Ziluan Liu and Zhi{-}Song Liu and Shuai Liu and Yunhua Lu and Zibo Meng and Pablo Navarrete Michelini and Christian Micheloni and Kalpesh Prajapati and Haoyu Ren and Yonghyeok Seo and Wan{-}Chi Siu and Kyung{-}Ah Sohn and Ying Tai and Rao Muhammad Umer and Shuangquan Wang and Huibing Wang and Timothy Haoning Wu and Haoning Wu and Biao Yang and Fuzhi Yang and Jaejun Yoo and Tongtong Zhao and Yuanbo Zhou and Haijie Zhuo and Ziyao Zong and Xueyi Zou}, title = {{NTIRE} 2020 Challenge on Real-World Image Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2058--2076}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Lugmayr\_NTIRE\_2020\_Challenge\_on\_Real-World\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00255}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LugmayrDTABCCCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/UmOBJAK20, author = {Se{-}Yun Um and Sangshin Oh and Kyungguen Byun and Inseon Jang and Chunghyun Ahn and Hong{-}Goo Kang}, title = {Emotional Speech Synthesis with Rich and Granularized Control}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {7254--7258}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053732}, doi = {10.1109/ICASSP40776.2020.9053732}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/UmOBJAK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SimJOLLPO20, author = {Okkee Sim and Hyobin Jeong and Jaesung Oh and Moonyoung Lee and Kang Kyu Lee and Hae{-}Won Park and Jun{-}Ho Oh}, title = {Joint Space Position/Torque Hybrid Control of the Quadruped Robot for Locomotion and Push Reaction}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {2450--2456}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9197230}, doi = {10.1109/ICRA40945.2020.9197230}, timestamp = {Mon, 28 Sep 2020 12:19:08 +0200}, biburl = {https://dblp.org/rec/conf/icra/SimJOLLPO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJKKCLLPHCKL20, author = {Hyunchul Kim and Jongeun Park and Insung Joe and Doowon Kwon and Joo Hyoung Kim and Dongsuk Cho and Taehun Lee and Changkyu Lee and Haeyong Park and Soojin Hong and Chongkwang Chang and Jingyun Kim and Hanjin Lim and Youngsun Oh and Yitae Kim and Seungjoo Nah and Sangil Jung and Jaekyu Lee and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.6 {A} 1/2.65in 44Mpixel {CMOS} Image Sensor with 0.7{\(\mathrm{\mu}\)}m Pixels Fabricated in Advanced Full-Depth Deep-Trench Isolation Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {104--106}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062924}, doi = {10.1109/ISSCC19947.2020.9062924}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJKKCLLPHCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooLPLBKCCYYCKJ20, author = {Byoung{-}Joo Yoo and Dong{-}Hyuk Lim and Hyonguk Pang and June{-}Hee Lee and Seung{-}Yeob Baek and Naxin Kim and Dong{-}Ho Choi and Young{-}Ho Choi and Hyeyeon Yang and Taehun Yoon and Sang{-}Hyeok Chu and Kangjik Kim and Woochul Jung and Bong{-}Kyu Kim and Jaechol Lee and Gunil Kang and Sang{-}Hune Park and Michael Choi and Jongshin Shin}, title = {6.4 {A} 56Gb/s 7.7mW/Gb/s {PAM-4} Wireline Transceiver in 10nm FinFET Using MM-CDR-Based {ADC} Timing Skew Control and Low-Power {DSP} with Approximate Multiplier}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {122--124}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062964}, doi = {10.1109/ISSCC19947.2020.9062964}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooLPLBKCCYYCKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/SongKBK20, author = {Eunwoo Song and Jin{-}Seob Kim and Kyungguen Byun and Hong{-}Goo Kang}, title = {Speaker-Adaptive Neural Vocoders for Parametric Speech Synthesis Systems}, booktitle = {22nd {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2020, Tampere, Finland, September 21-24, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MMSP48831.2020.9287168}, doi = {10.1109/MMSP48831.2020.9287168}, timestamp = {Wed, 13 Jan 2021 17:58:36 +0100}, biburl = {https://dblp.org/rec/conf/mmsp/SongKBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/OhLKZSAVFGCWMBB20, author = {Jinwook Oh and Sae Kyu Lee and Mingu Kang and Matthew M. Ziegler and Joel Silberman and Ankur Agrawal and Swagath Venkataramani and Bruce M. Fleischer and Michael Guillorn and Jungwook Choi and Wei Wang and Silvia M. Mueller and Shimon Ben{-}Yehuda and James Bonanno and Nianzheng Cao and Robert Casatuta and Chia{-}Yu Chen and Matt Cohen and Ophir Erez and Thomas W. Fox and George Gristede and Howard Haynie and Vicktoria Ivanov and Siyu Koswatta and Shih{-}Hsien Lo and Martin Lutz and Gary W. Maier and Alex Mesh and Yevgeny Nustov and Scot Rider and Marcel Schaal and Michael Scheuermann and Xiao Sun and Naigang Wang and Fanchieh Yee and Ching Zhou and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 3.0 {TFLOPS} 0.62V Scalable Processor Core for High Compute Utilization {AI} Training and Inference}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162917}, doi = {10.1109/VLSICIRCUITS18222.2020.9162917}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/OhLKZSAVFGCWMBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ParkPK20, author = {Kyung Ho Park and Eunji Park and Huy Kang Kim}, editor = {Ilsun You}, title = {Unsupervised Intrusion Detection System for Unmanned Aerial Vehicle with Less Labeling Effort}, booktitle = {Information Security Applications - 21st International Conference, {WISA} 2020, Jeju Island, South Korea, August 26-28, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12583}, pages = {45--58}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-65299-9\_4}, doi = {10.1007/978-3-030-65299-9\_4}, timestamp = {Sat, 12 Dec 2020 14:20:23 +0100}, biburl = {https://dblp.org/rec/conf/wisa/ParkPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/ParkKK20, author = {Kyung Ho Park and Byung Il Kwak and Huy Kang Kim}, title = {This Car is Mine!: Driver Pattern Dataset extracted from CAN-bus}, publisher = {{IEEE} DataPort}, year = {2020}, month = sep, howpublished = {\url{https://doi.org/10.21227/qar8-sd42}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/qar8-sd42}, doi = {10.21227/QAR8-SD42}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/ParkKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01996, author = {Andreas Lugmayr and Martin Danelljan and Radu Timofte and Namhyuk Ahn and Dongwoon Bai and Jie Cai and Yun Cao and Junyang Chen and Kaihua Cheng and Se Young Chun and Wei Deng and Mostafa El{-}Khamy and Chiu Man Ho and Xiaozhong Ji and Amin Kheradmand and Gwantae Kim and Hanseok Ko and Kanghyu Lee and Jungwon Lee and Hao Li and Ziluan Liu and Zhi{-}Song Liu and Shuai Liu and Yunhua Lu and Zibo Meng and Pablo Navarrete Michelini and Christian Micheloni and Kalpesh Prajapati and Haoyu Ren and Yonghyeok Seo and Wan{-}Chi Siu and Kyung{-}Ah Sohn and Ying Tai and Rao Muhammad Umer and Shuangquan Wang and Huibing Wang and Timothy Haoning Wu and Haoning Wu and Biao Yang and Fuzhi Yang and Jaejun Yoo and Tongtong Zhao and Yuanbo Zhou and Haijie Zhuo and Ziyao Zong and Xueyi Zou}, title = {{NTIRE} 2020 Challenge on Real-World Image Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01996}, year = {2020}, url = {https://arxiv.org/abs/2005.01996}, eprinttype = {arXiv}, eprint = {2005.01996}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01996.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-05033, author = {Seongbin Oh and Dongseok Kwon and Gyuho Yeom and Won{-}Mook Kang and Soochang Lee and Sung Yun Woo and Jangsaeng Kim and Min Kyu Park and Jong{-}Ho Lee}, title = {Hardware Implementation of Spiking Neural Networks Using Time-To-First-Spike Encoding}, journal = {CoRR}, volume = {abs/2006.05033}, year = {2020}, url = {https://arxiv.org/abs/2006.05033}, eprinttype = {arXiv}, eprint = {2006.05033}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-05033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-03718, author = {Jeong{-}Kyun Lee and Young Ki Baik and Hankyu Cho and Kang Kim and Duck Hoon Kim}, title = {1-Point RANSAC-Based Method for Ground Object Pose Estimation}, journal = {CoRR}, volume = {abs/2008.03718}, year = {2020}, url = {https://arxiv.org/abs/2008.03718}, eprinttype = {arXiv}, eprint = {2008.03718}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-03718.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-00540, author = {Kyung Ho Park and Eunji Park and Huy Kang Kim}, title = {Unsupervised Intrusion Detection System for Unmanned Aerial Vehicle with Less Labeling Effort}, journal = {CoRR}, volume = {abs/2011.00540}, year = {2020}, url = {https://arxiv.org/abs/2011.00540}, eprinttype = {arXiv}, eprint = {2011.00540}, timestamp = {Fri, 06 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-00540.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangHP19, author = {Jin Kyu Kang and Toan Minh Hoang and Kang Ryoung Park}, title = {Person Re-Identification Between Visible and Thermal Camera Images Based on Deep Residual {CNN} Using Single Input}, journal = {{IEEE} Access}, volume = {7}, pages = {57972--57984}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2914670}, doi = {10.1109/ACCESS.2019.2914670}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangHP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeSPLKWKHPYJLK19, author = {Sangbong Lee and Keunbada Son and Jaeseok Park and Jaeyul Lee and Seok Hyon Kang and Ruchire Eranga Wijesinghe and Pilun Kim and Jun Ho Hwang and Sungjo Park and Byoung{-}Ju Yun and Mansik Jeon and Kyu{-}Bok Lee and Jeehyun Kim}, title = {Non-Ionized, High-Resolution Measurement of Internal and Marginal Discrepancies of Dental Prosthesis Using Optical Coherence Tomography}, journal = {{IEEE} Access}, volume = {7}, pages = {6209--6218}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2889341}, doi = {10.1109/ACCESS.2018.2889341}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeSPLKWKHPYJLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/KangYKK19, author = {Yunku Kang and Seung{-}Hyun Yoon and Min{-}Ho Kyung and Myung{-}Soo Kim}, title = {Fast and robust computation of the Hausdorff distance between triangle mesh and quad mesh for near-zero cases}, journal = {Comput. Graph.}, volume = {81}, pages = {61--72}, year = {2019}, url = {https://doi.org/10.1016/j.cag.2019.03.014}, doi = {10.1016/J.CAG.2019.03.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cg/KangYKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/ChoiJWKH19, author = {Hoyul Choi and Jongmin Jeong and Simon S. Woo and Kyungtae Kang and Junbeom Hur}, title = {Password typographical error resilience in honey encryption}, journal = {Comput. Secur.}, volume = {87}, year = {2019}, url = {https://doi.org/10.1016/j.cose.2018.07.020}, doi = {10.1016/J.COSE.2018.07.020}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/ChoiJWKH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/HongKK19, author = {Seungjin Hong and Sookyun Kim and Shinjin Kang}, title = {Game Sprite Generator Using a Multi Discriminator {GAN}}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {13}, number = {8}, pages = {4255--4269}, year = {2019}, url = {https://doi.org/10.3837/tiis.2019.08.025}, doi = {10.3837/TIIS.2019.08.025}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/HongKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/DiCristinaKSCDI19, author = {Giovanni DiCristina and Kyungrae Kang and Seung Jin Song and Jong Ho Choi and Hyungrok Do and Seong{-}Kyun Im}, title = {Visualization of streamline tracing inlet-isolator flows using a planar laser Rayleigh scattering imaging technique}, journal = {J. Vis.}, volume = {22}, number = {2}, pages = {359--369}, year = {2019}, url = {https://doi.org/10.1007/s12650-018-00541-6}, doi = {10.1007/S12650-018-00541-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/DiCristinaKSCDI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/JeongLSLO19, author = {Hyobin Jeong and In{-}Ho Lee and Okkee Sim and Kang Kyu Lee and Jun{-}Ho Oh}, title = {A robust walking controller optimizing step position and step time that exploit advantages of footed robot}, journal = {Robotics Auton. Syst.}, volume = {113}, pages = {10--22}, year = {2019}, url = {https://doi.org/10.1016/j.robot.2018.12.003}, doi = {10.1016/J.ROBOT.2018.12.003}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ras/JeongLSLO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKLLSKKL19, author = {Kang{-}Ho Lee and Yeong{-}Eun Kwon and Hyukjin Lee and Yongkoo Lee and Joonho Seo and Ohwon Kwon and Shin{-}Won Kang and Dongkyu Lee}, title = {Active Body Pressure Relief System with Time-of-Flight Optical Pressure Sensors for Pressure Ulcer Prevention}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3862}, year = {2019}, url = {https://doi.org/10.3390/s19183862}, doi = {10.3390/S19183862}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKLLSKKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YimLKKALCPC19, author = {Dae Hyeon Yim and Won Hyuk Lee and Johanna Inhyang Kim and Kangryul Kim and Dong Hyun Ahn and Young{-}Hyo Lim and Seok Hyun Cho and Hyun{-}Kyung Park and Sung Ho Cho}, title = {Quantified Activity Measurement for Medical Use in Movement Disorders through {IR-UWB} Radar Sensor}, journal = {Sensors}, volume = {19}, number = {3}, pages = {688}, year = {2019}, url = {https://doi.org/10.3390/s19030688}, doi = {10.3390/S19030688}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YimLKKALCPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/MoonYRKSK19, author = {Yong{-}Hwan Moon and Jae{-}Wook Yoo and Young{-}Soo Ryu and Sang{-}Ho Kim and Kyung{-}Sub Son and Jin{-}Ku Kang}, title = {A 2.41-pJ/bit 5.4-Gb/s Dual-Loop Reference-Less {CDR} With Fully Digital Quarter-Rate Linear Phase Detector for Embedded DisplayPort}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {8}, pages = {2907--2920}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2906877}, doi = {10.1109/TCSI.2019.2906877}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/MoonYRKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/JeongLOLO19, author = {Hyobin Jeong and In{-}Ho Lee and Jaesung Oh and Kang Kyu Lee and Jun{-}Ho Oh}, title = {A Robust Walking Controller Based on Online Optimization of Ankle, Hip, and Stepping Strategies}, journal = {{IEEE} Trans. Robotics}, volume = {35}, number = {6}, pages = {1367--1386}, year = {2019}, url = {https://doi.org/10.1109/TRO.2019.2926487}, doi = {10.1109/TRO.2019.2926487}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/JeongLOLO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/BangOKC19, author = {June{-}ho Bang and Sehchan Oh and Kyungran Kang and Young{-}Jong Cho}, title = {A Bayesian Regression Based {LTE-R} Handover Decision Algorithm for High-Speed Railway Systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {68}, number = {10}, pages = {10160--10173}, year = {2019}, url = {https://doi.org/10.1109/TVT.2019.2935165}, doi = {10.1109/TVT.2019.2935165}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/BangOKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1179--1181}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983160}, doi = {10.1109/BIBM47256.2019.8983160}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangSKLOPKPCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/SongBK19, author = {Eunwoo Song and Kyungguen Byun and Hong{-}Goo Kang}, title = {ExcitNet Vocoder: {A} Neural Excitation Model for Parametric Speech Synthesis Systems}, booktitle = {27th European Signal Processing Conference, {EUSIPCO} 2019, {A} Coru{\~{n}}a, Spain, September 2-6, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/EUSIPCO.2019.8902701}, doi = {10.23919/EUSIPCO.2019.8902701}, timestamp = {Mon, 25 Nov 2019 12:04:51 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/SongBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/ChoKS19, author = {Seong Yun Cho and Chang Ho Kang and Kyungho Shin}, editor = {Oleg Gusikhin and Kurosh Madani and Janan Zaytoon}, title = {Reliability Analysis of the Kalman Filter for {INS/GPS} Integrated Navigation System Applied to Train}, booktitle = {Proceedings of the 16th International Conference on Informatics in Control, Automation and Robotics, {ICINCO} 2019 - Volume 2, Prague, Czech Republic, July 29-31, 2019}, pages = {237--242}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007832602370242}, doi = {10.5220/0007832602370242}, timestamp = {Wed, 18 Sep 2019 16:30:33 +0200}, biburl = {https://dblp.org/rec/conf/icinco/ChoKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimMHKLSY19, author = {Daewoo Kim and Sangwoo Moon and David Hostallero and Wan Ju Kang and Taeyoung Lee and Kyunghwan Son and Yung Yi}, title = {Learning to Schedule Communication in Multi-agent Reinforcement Learning}, booktitle = {7th International Conference on Learning Representations, {ICLR} 2019, New Orleans, LA, USA, May 6-9, 2019}, publisher = {OpenReview.net}, year = {2019}, url = {https://openreview.net/forum?id=SJxu5iR9KQ}, timestamp = {Thu, 25 Jul 2019 13:03:15 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimMHKLSY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SonKKHY19, author = {Kyunghwan Son and Daewoo Kim and Wan Ju Kang and David Hostallero and Yung Yi}, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {{QTRAN:} Learning to Factorize with Transformation for Cooperative Multi-Agent Reinforcement Learning}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, pages = {5887--5896}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/son19a.html}, timestamp = {Wed, 24 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/SonKKHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/YuKJP19, author = {Hosang Yu and Kyunghun Kang and Sungmoon Jeong and Jaechan Park}, editor = {Tom Gedeon and Kok Wai Wong and Minho Lee}, title = {Deep Vision System for Clinical Gait Analysis in and Out of Hospital}, booktitle = {Neural Information Processing - 26th International Conference, {ICONIP} 2019, Sydney, NSW, Australia, December 12-15, 2019, Proceedings, Part {IV}}, series = {Communications in Computer and Information Science}, volume = {1142}, pages = {633--642}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-36808-1\_69}, doi = {10.1007/978-3-030-36808-1\_69}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/YuKJP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LongLKKKM19, author = {Hoang Long and Suk{-}Hwan Lee and Ki{-}Ryong Kwon and Kyung{-}Won Kang and Oh{-}Jun Kwon and Kwang{-}Seok Moon}, title = {Beam reassignment method mitigates the blocking probability of Multi-Service {CDMA}}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {266--271}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806068}, doi = {10.1109/ICUFN.2019.8806068}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LongLKKKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KangHFCZD19, author = {Kevin Kyung{-}Kuk Kang and Marie Hoekstra and Marzieh Foroutan and Amir Masoud Chegoonian and Kiana Zolfaghari and Claude R. Duguay}, title = {Operating Procedures and Calibration of a Hyperspectral Sensor Onboard a Remotely Piloted Aircraft System For Water and Agriculture Monitoring}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {9200--9203}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8900128}, doi = {10.1109/IGARSS.2019.8900128}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KangHFCZD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/LeeKLSR19, author = {Kangwook Lee and Hoon Kim and Kyungmin Lee and Changho Suh and Kannan Ramchandran}, title = {Synthesizing Differentially Private Datasets using Random Mixing}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2019, Paris, France, July 7-12, 2019}, pages = {542--546}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISIT.2019.8849381}, doi = {10.1109/ISIT.2019.8849381}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isit/LeeKLSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KangHLLLCL19, author = {Bumsoo Kang and Inseok Hwang and Jinho Lee and Seungchul Lee and Taegyeong Lee and Youngjae Chang and Min Kyung Lee}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {Towards Peripheral Awareness of Remote Family Member's Context Using Self-mobile Robotic Avatars}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {677--678}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328578}, doi = {10.1145/3307334.3328578}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/KangHLLLCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/ChaSSCHLK19, author = {Jungho Cha and Dongjae Shin and Yongwhak Shin and Kwansik Cho and Kyoungho Ha and Kyupil Lee and Ho{-}Kyu Kang}, title = {Heterogeneous Integration of O-band LDs on bulk-Si Platform}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8817777}, doi = {10.23919/PS.2019.8817777}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ps/ChaSSCHLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeLLKA19, author = {Sang Su Lee and Dhong Hun Lee and Dong Kyu Lee and Hyun Ho Kang and Choon Ki Ahn}, title = {A Novel Mobile Robot Localization Method via Finite Memory Filtering Based on Refined Measurement}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {45--50}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914296}, doi = {10.1109/SMC.2019.8914296}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeLLKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/JangKKUY019, author = {Minsu Jang and Jun Sik Kim and Kyumin Kang and Soong Ho Um and Sungwook Yang and Jinseok Kim}, title = {Development of Wearable Motion Capture System Using Fiber Bragg Grating Sensors for Measuring Arm Motion}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2019, Osaka, Japan, March 23-27, 2019}, pages = {994--995}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/VR.2019.8798045}, doi = {10.1109/VR.2019.8798045}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vr/JangKKUY019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/LeeOKC19, author = {Sangjae Lee and Mi{-}Kyung Oh and Yousung Kang and Dooho Choi}, editor = {Ilsun You}, title = {{RC} {PUF:} {A} Low-Cost and an Easy-to-Design {PUF} for Resource-Constrained IoT Devices}, booktitle = {Information Security Applications - 20th International Conference, {WISA} 2019, Jeju Island, South Korea, August 21-24, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11897}, pages = {275--285}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-39303-8\_21}, doi = {10.1007/978-3-030-39303-8\_21}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wisa/LeeOKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ParkLK19, author = {Kyung Ho Park and Eunjo Lee and Huy Kang Kim}, editor = {Ilsun You}, title = {Show Me Your Account: Detecting {MMORPG} Game Bot Leveraging Financial Analysis with {LSTM}}, booktitle = {Information Security Applications - 20th International Conference, {WISA} 2019, Jeju Island, South Korea, August 21-24, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11897}, pages = {3--13}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-39303-8\_1}, doi = {10.1007/978-3-030-39303-8\_1}, timestamp = {Tue, 28 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisa/ParkLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/KangALYPK19, author = {Hyunjae Kang and Dong Hyun Ahn and Gyung Min Lee and Jeong Do Yoo and Kyung Ho Park and Huy Kang Kim}, title = {IoT network intrusion dataset}, publisher = {{IEEE} DataPort}, year = {2019}, month = sep, howpublished = {\url{https://doi.org/10.21227/q70p-q449}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/q70p-q449}, doi = {10.21227/Q70P-Q449}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/KangALYPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-01554, author = {Daewoo Kim and Sangwoo Moon and David Hostallero and Wan Ju Kang and Taeyoung Lee and Kyunghwan Son and Yung Yi}, title = {Learning to Schedule Communication in Multi-agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/1902.01554}, year = {2019}, url = {http://arxiv.org/abs/1902.01554}, eprinttype = {arXiv}, eprint = {1902.01554}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-01554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-05408, author = {Kyunghwan Son and Daewoo Kim and Wan Ju Kang and David Hostallero and Yung Yi}, title = {{QTRAN:} Learning to Factorize with Transformation for Cooperative Multi-Agent Reinforcement Learning}, journal = {CoRR}, volume = {abs/1905.05408}, year = {2019}, url = {http://arxiv.org/abs/1905.05408}, eprinttype = {arXiv}, eprint = {1905.05408}, timestamp = {Wed, 24 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-05408.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-03748, author = {Kyung Ho Park and Eunjo Lee and Huy Kang Kim}, title = {Show Me Your Account: Detecting {MMORPG} Game Bot Leveraging Financial Analysis with {LSTM}}, journal = {CoRR}, volume = {abs/1908.03748}, year = {2019}, url = {http://arxiv.org/abs/1908.03748}, eprinttype = {arXiv}, eprint = {1908.03748}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-03748.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-08929, author = {Yong Goo Kang and Kyung Ho Park and Huy Kang Kim}, title = {Automobile Theft Detection by Clustering Owner Driver Data}, journal = {CoRR}, volume = {abs/1909.08929}, year = {2019}, url = {http://arxiv.org/abs/1909.08929}, eprinttype = {arXiv}, eprint = {1909.08929}, timestamp = {Tue, 24 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-08929.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-01635, author = {Se{-}Yun Um and Sangshin Oh and Kyungguen Byun and Inseon Jang and Chunghyun Ahn and Hong{-}Goo Kang}, title = {Emotional speech synthesis with rich and granularized control}, journal = {CoRR}, volume = {abs/1911.01635}, year = {2019}, url = {http://arxiv.org/abs/1911.01635}, eprinttype = {arXiv}, eprint = {1911.01635}, timestamp = {Mon, 11 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-01635.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-09870, author = {Kyung Ho Park and Huy Kang Kim}, title = {This Car is Mine!: Automobile Theft Countermeasure Leveraging Driver Identification with Generative Adversarial Networks}, journal = {CoRR}, volume = {abs/1911.09870}, year = {2019}, url = {http://arxiv.org/abs/1911.09870}, eprinttype = {arXiv}, eprint = {1911.09870}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-09870.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ahswn/BangCK18, author = {June{-}ho Bang and Young{-}Jong Cho and Kyungran Kang}, title = {An Energy-Efficient Data Delivery Scheme Exploiting Network Coding and Maximum Node-Disjoint Paths in Wireless Sensor Networks}, journal = {Ad Hoc Sens. Wirel. Networks}, volume = {42}, number = {1-2}, pages = {87--106}, year = {2018}, url = {https://www.oldcitypublishing.com/journals/ahswn-home/ahswn-issue-contents/ahswn-volume-42-number-1-2-2018/ahswn-42-1-2-p-87-106/}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ahswn/BangCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/SimJLOO18, author = {Okkee Sim and Taejin Jung and Kang Kyu Lee and Jaesung Oh and Jun{-}Ho Oh}, title = {Position/torque hybrid control of a rigid, high-gear ratio quadruped robot}, journal = {Adv. Robotics}, volume = {32}, number = {18}, pages = {969--983}, year = {2018}, url = {https://doi.org/10.1080/01691864.2018.1516162}, doi = {10.1080/01691864.2018.1516162}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/SimJLOO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arscom/PakJKR18, author = {Hong{-}Kyung Pak and Joohee Jeong and Dong{-}Jin Kang and Seog{-}Hoon Rim}, title = {Changhee-Genocchi Numbers And Their Applications}, journal = {Ars Comb.}, volume = {136}, pages = {153--159}, year = {2018}, timestamp = {Wed, 14 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arscom/PakJKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeKCKSLPKTK18, author = {Kyubum Lee and Byounggun Kim and Yonghwa Choi and Sunkyu Kim and Won{-}Ho Shin and Sunwon Lee and Sungjoon Park and Seongsoon Kim and Aik Choon Tan and Jaewoo Kang}, title = {Deep learning of mutation-gene-drug relations from the literature}, journal = {{BMC} Bioinform.}, volume = {19}, number = {1}, pages = {21:1--21:13}, year = {2018}, url = {https://doi.org/10.1186/s12859-018-2029-1}, doi = {10.1186/S12859-018-2029-1}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeKCKSLPKTK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cagd/KangKYK18, author = {Yunku Kang and Min{-}Ho Kyung and Seung{-}Hyun Yoon and Myung{-}Soo Kim}, title = {Fast and robust Hausdorff distance computation from triangle mesh to quad mesh in near-zero cases}, journal = {Comput. Aided Geom. Des.}, volume = {62}, pages = {91--103}, year = {2018}, url = {https://doi.org/10.1016/j.cagd.2018.03.017}, doi = {10.1016/J.CAGD.2018.03.017}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cagd/KangKYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/SimOLO18, author = {Okkee Sim and Jaesung Oh and Kang Kyu Lee and Jun{-}Ho Oh}, title = {Collision Detection and Safe Reaction Algorithm for Non-backdrivable Manipulator with Single Force/Torque Sensor}, journal = {J. Intell. Robotic Syst.}, volume = {91}, number = {3-4}, pages = {403--412}, year = {2018}, url = {https://doi.org/10.1007/s10846-017-0695-2}, doi = {10.1007/S10846-017-0695-2}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/SimOLO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/LeeLKKLK18, author = {Seongkee Lee and Sanghoon Lee and Taein Kang and Miyoung Kwon and Nohbok Lee and Hoon{-}Kyu Kim}, title = {Resiliency of mobile {OS} security for secure personal ubiquitous computing}, journal = {Pers. Ubiquitous Comput.}, volume = {22}, number = {1}, pages = {23--34}, year = {2018}, url = {https://doi.org/10.1007/s00779-017-1098-x}, doi = {10.1007/S00779-017-1098-X}, timestamp = {Sat, 09 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/puc/LeeLKKLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoKKPKLKCK18, author = {Seonghee Cho and Kyungmin Kim and Taehoon Kim and Hyoeun Park and Jin{-}Moo Kim and SeungHoon Lee and Yeonsu Kang and Kiyuk Chang and Chulhong Kim}, title = {High-Contrast Imaging of Cholesterol Crystals in Rabbit Arteries Ex Vivo Using LED-Based Polarization Microscopy}, journal = {Sensors}, volume = {18}, number = {4}, pages = {1258}, year = {2018}, url = {https://doi.org/10.3390/s18041258}, doi = {10.3390/S18041258}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoKKPKLKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HongLKY18, author = {Cheol{-}Ho Hong and Kyungwoon Lee and Minkoo Kang and Chuck Yoo}, title = {qCon: QoS-Aware Network Resource Management for Fog Computing}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3444}, year = {2018}, url = {https://doi.org/10.3390/s18103444}, doi = {10.3390/S18103444}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HongLKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKCKOLRKPHJK18, author = {Hanvit Kim and Haena Kim and Se Young Chun and Jae{-}Hwan Kang and Ian Oakley and Youryang Lee and Jun Oh Ryu and Min Joon Kim and In Kyu Park and Hyuck Ki Hong and Young Chang Jo and Sung{-}Phil Kim}, title = {A Wearable Wrist Band-Type System for Multimodal Biometrics Integrated with Multispectral Skin Photomatrix and Electrocardiogram Sensors}, journal = {Sensors}, volume = {18}, number = {8}, pages = {2738}, year = {2018}, url = {https://doi.org/10.3390/s18082738}, doi = {10.3390/S18082738}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKCKOLRKPHJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLYKL18, author = {Kang{-}Ho Lee and Dongkyu Lee and Jongsu Yoon and Ohwon Kwon and Jaejong Lee}, title = {A Sensitive Potentiometric Sensor for Isothermal Amplification-Coupled Detection of Nucleic Acids}, journal = {Sensors}, volume = {18}, number = {7}, pages = {2277}, year = {2018}, url = {https://doi.org/10.3390/s18072277}, doi = {10.3390/S18072277}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeLYKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MoonBKLJJK18, author = {Jeong Moon and Jihyun Byun and Hongki Kim and Eun{-}Kyung Lim and Jinyoung Jeong and Juyuen Jung and Taejoon Kang}, title = {On-Site Detection of Aflatoxin {B1} in Grains by a Palm-Sized Surface Plasmon Resonance Sensor}, journal = {Sensors}, volume = {18}, number = {2}, pages = {598}, year = {2018}, url = {https://doi.org/10.3390/s18020598}, doi = {10.3390/S18020598}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MoonBKLJJK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/JungLBLJO18, author = {Taejin Jung and Jeongsoo Lim and Hyoin Bae and Kang Kyu Lee and Hyun{-}Min Joe and Jun{-}Ho Oh}, title = {Development of the Humanoid Disaster Response Platform {DRC-HUBO+}}, journal = {{IEEE} Trans. Robotics}, volume = {34}, number = {1}, pages = {1--17}, year = {2018}, url = {https://doi.org/10.1109/TRO.2017.2776287}, doi = {10.1109/TRO.2017.2776287}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/JungLBLJO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/HwangSBK18, author = {Min{-}Jae Hwang and Eunwoo Song and Kyungguen Byun and Hong{-}Goo Kang}, title = {Modeling-By-Generation-Structured Noise Compensation Algorithm for Glottal Vocoding Speech Synthesis System}, booktitle = {2018 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2018, Calgary, AB, Canada, April 15-20, 2018}, pages = {5669--5673}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICASSP.2018.8461606}, doi = {10.1109/ICASSP.2018.8461606}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/HwangSBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LeeLKSR18, author = {Kangwook Lee and Kyungmin Lee and Hoon Kim and Changho Suh and Kannan Ramchandran}, title = {{SGD} on Random Mixtures: Private Machine Learning under Data Breach Threats}, booktitle = {6th International Conference on Learning Representations, {ICLR} 2018, Vancouver, BC, Canada, April 30 - May 3, 2018, Workshop Track Proceedings}, publisher = {OpenReview.net}, year = {2018}, url = {https://openreview.net/forum?id=r17\_wzJPM}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iclr/LeeLKSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanMYL18, author = {Kyuwon Han and Sang Hyun Mo and HoeSung Yang and Kang Bok Lee}, title = {An Enhanced Range Estimation Algorithm based on Trimmed {WPD} for Passive {UHF} {RFID} Systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {967--972}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539638}, doi = {10.1109/ICTC.2018.8539638}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanMYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeOKC18, author = {Sangjae Lee and Mi{-}Kyung Oh and Yousung Kang and Dooho Choi}, title = {Implementing a phase detection ring oscillator {PUF} on {FPGA}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {845--847}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539624}, doi = {10.1109/ICTC.2018.8539624}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeOKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhLKC18, author = {Mi{-}Kyung Oh and Sangjae Lee and You Sung Kang and Dooho Choi}, title = {Secure Key Extraction for IoT Devices Integrating {IEEE} 802.15.4g/k Transceiver}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {833--835}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539476}, doi = {10.1109/ICTC.2018.8539476}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/OhLKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/KangKRJL18, author = {Ji Hoon Kang and Oh{-}Kyoung Kwon and Hoon Ryu and Jinwoo Jeong and Kyunghun Lim}, title = {Performance Evaluation of Scientific Applications on Intel Xeon Phi Knights Landing Clusters}, booktitle = {2018 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2018, Orleans, France, July 16-20, 2018}, pages = {338--341}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCS.2018.00063}, doi = {10.1109/HPCS.2018.00063}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeehpcs/KangKRJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/KumariOKC18, author = {Indra Kumari and Mi{-}Kyung Oh and Yousung Kang and Dooho Choi}, title = {Rapid Run-Time {DRAM} {PUF} Based on Bit-Flip Position for Secure IoT Devices}, booktitle = {2018 {IEEE} SENSORS, New Delhi, India, October 28-31, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSENS.2018.8589608}, doi = {10.1109/ICSENS.2018.8589608}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/KumariOKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/BaeJOLO18, author = {Hyoin Bae and Hyobin Jeong and Jaesung Oh and Kang Kyu Lee and Jun{-}Ho Oh}, title = {Humanoid Robot {COM} Kinematics Estimation based on Compliant Inverted Pendulum Model and Robust State Estimator}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {747--753}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8593966}, doi = {10.1109/IROS.2018.8593966}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/BaeJOLO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeSJOBHO18, author = {Kang Kyu Lee and Okkee Sim and Hyobin Jeong and Jaesung Oh and Hyoin Bae and Seungwoo Hong and Jun{-}Ho Oh}, title = {Implementing Full-body Torque Control in Humanoid Robot with High Gear Ratio Using Pulse Width Modulation Voltage}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {726--732}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8593908}, doi = {10.1109/IROS.2018.8593908}, timestamp = {Thu, 10 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeSJOBHO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeNLCKBLLRCKPP18, author = {Kyung{-}Hoon Lee and Sang{-}Pil Nam and Jung{-}Ho Lee and Michael Choi and Hyung{-}Jong Ko and San{-}Ho Byun and Jin{-}chul Lee and Yong{-}Hoon Lee and Yeong{-}Cheol Rhee and Yoon{-}Kyung Choi and Byunghoon Kang and Changbyung Park and Sungsoo Park and Taesung Kim}, title = {A noise-immune stylus analog front-end using adjustable frequency modulation and linear-interpolating data reconstruction for both electrically coupled resonance and active styluses}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {184--186}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310245}, doi = {10.1109/ISSCC.2018.8310245}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeNLCKBLLRCKPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HanBK18, author = {Hyewon Han and Kyunggeun Byun and Hong{-}Goo Kang}, editor = {Adrian Hilton and Hong{-}Goo Kang and Hansung Kim and Kwanghoon Sohn}, title = {A Deep Learning-based Stress Detection Algorithm with Speech Signal}, booktitle = {Proceedings of the 2018 Workshop on Audio-Visual Scene Understanding for Immersive Multimedia, AVSU@MM 2018, Seoul, Republic of Korea, October 26, 2018}, pages = {11--15}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264869.3264875}, doi = {10.1145/3264869.3264875}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/HanBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KangHLLLCL18, author = {Bumsoo Kang and Inseok Hwang and Jinho Lee and Seungchul Lee and Taegyeong Lee and Youngjae Chang and Min Kyung Lee}, editor = {J{\"{o}}rg Ott and Falko Dressler and Stefan Saroiu and Prabal Dutta}, title = {My Being to Your Place, Your Being to My Place: Co-present Robotic Avatars Create Illusion of Living Together}, booktitle = {Proceedings of the 16th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2018, Munich, Germany, June 10-15, 2018}, pages = {54--67}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3210240.3210348}, doi = {10.1145/3210240.3210348}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/KangHLLLCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/KangHLLLCL18a, author = {Bumsoo Kang and Inseok Hwang and Jinho Lee and Seungchul Lee and Taegyeong Lee and Youngjae Chang and Min Kyung Lee}, editor = {J{\"{o}}rg Ott and Falko Dressler and Stefan Saroiu and Prabal Dutta}, title = {HomeMeld: Co-present Robotic Avatar System for Illusion of Living Together}, booktitle = {Proceedings of the 16th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2018, Munich, Germany, June 10-15, 2018}, pages = {545}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3210240.3211117}, doi = {10.1145/3210240.3211117}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/KangHLLLCL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/LeeLSCLHK18, author = {Jaehun Lee and Hochul Lee and Byoungjun Seo and Min Kyung Chae and Young Choon Lee and Hyuck Han and Sooyong Kang}, title = {{SAMD} Apps: Install Once, Run Anywhere Instantly}, booktitle = {2018 {IEEE} International Conference on Pervasive Computing and Communications Workshops, PerCom Workshops 2018, Athens, Greece, March 19-23, 2018}, pages = {445--448}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/PERCOMW.2018.8480287}, doi = {10.1109/PERCOMW.2018.8480287}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/LeeLSCLHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-03311, author = {Eunwoo Song and Jin{-}Seob Kim and Kyungguen Byun and Hong{-}Goo Kang}, title = {Speaker-adaptive neural vocoders for statistical parametric speech synthesis systems}, journal = {CoRR}, volume = {abs/1811.03311}, year = {2018}, url = {http://arxiv.org/abs/1811.03311}, eprinttype = {arXiv}, eprint = {1811.03311}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-03311.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-04769, author = {Eunwoo Song and Kyungguen Byun and Hong{-}Goo Kang}, title = {ExcitNet vocoder: {A} neural excitation model for parametric speech synthesis systems}, journal = {CoRR}, volume = {abs/1811.04769}, year = {2018}, url = {http://arxiv.org/abs/1811.04769}, eprinttype = {arXiv}, eprint = {1811.04769}, timestamp = {Mon, 26 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-04769.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/JungJKAYK17, author = {Inuk Jung and Kyuri Jo and Hyejin Kang and Hongryul Ahn and Youngjae Yu and Sun Kim}, title = {TimesVector: a vectorized clustering approach to the analysis of time series transcriptome data from multiple phenotypes}, journal = {Bioinform.}, volume = {33}, number = {23}, pages = {3827--3835}, year = {2017}, url = {https://doi.org/10.1093/bioinformatics/btw780}, doi = {10.1093/BIOINFORMATICS/BTW780}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/JungJKAYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/BangCK17, author = {June{-}ho Bang and Young{-}Jong Cho and Kyungran Kang}, title = {Anomaly detection of network-initiated {LTE} signaling traffic in wireless sensor and actuator networks based on a Hidden semi-Markov Model}, journal = {Comput. Secur.}, volume = {65}, pages = {108--120}, year = {2017}, url = {https://doi.org/10.1016/j.cose.2016.11.008}, doi = {10.1016/J.COSE.2016.11.008}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/BangCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/BatchuluunKHKP17, author = {Ganbayar Batchuluun and Jong Hyun Kim and Hyung Gil Hong and Jin Kyu Kang and Kang Ryoung Park}, title = {Fuzzy system based human behavior recognition by combining behavior prediction and recognition}, journal = {Expert Syst. Appl.}, volume = {81}, pages = {108--133}, year = {2017}, url = {https://doi.org/10.1016/j.eswa.2017.03.052}, doi = {10.1016/J.ESWA.2017.03.052}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/BatchuluunKHKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimKCCH17, author = {Soo Kyun Kim and Shin{-}Jin Kang and Yoo{-}Joo Choi and Min{-}Hyung Choi and Min Hong}, title = {Augmented-Reality Survey: from Concept to Application}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {2}, pages = {982--1004}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.02.019}, doi = {10.3837/TIIS.2017.02.019}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/KimKCCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/LimLSJJBSOJSJKL17, author = {Jeongsoo Lim and In{-}Ho Lee and Inwook Shim and Hyobin Jung and Hyun{-}Min Joe and Hyoin Bae and Okkee Sim and Jaesung Oh and Taejin Jung and Seunghak Shin and Kyungdon Joo and Mingeuk Kim and Kang Kyu Lee and Yunsu Bok and Dong{-}Geol Choi and Cho Buyoun and Sungwoo Kim and Jungwoo Heo and Inhyeok Kim and Jungho Lee and In So Kweon and Jun{-}Ho Oh}, title = {Robot System of {DRC-HUBO+} and Control Strategy of Team {KAIST} in {DARPA} Robotics Challenge Finals}, journal = {J. Field Robotics}, volume = {34}, number = {4}, pages = {802--829}, year = {2017}, url = {https://doi.org/10.1002/rob.21673}, doi = {10.1002/ROB.21673}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/LimLSJJBSOJSJKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ShimYLK17, author = {Kyu{-}Seok Shim and Sung{-}Ho Yoon and Su{-}Kang Lee and Myung{-}Sup Kim}, title = {SigBox: Automatic Signature Generation Method for Fine-grained Traffic Identification}, journal = {J. Inf. Sci. Eng.}, volume = {33}, number = {2}, pages = {537--569}, year = {2017}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=155\_2012}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ShimYLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/LiKTDDCHZSSLGBM17, author = {Xin Li and Yungil Kim and Emily K. Tsang and Joe R. Davis and Farhan N. Damani and Colby Chiang and Gaelen T. Hess and Zachary Zappala and Benjamin J. Strober and Alexandra J. Scott and Amy Li and Andrea Ganna and Michael C. Bassik and Jason D. Merker and Fran{\c{c}}ois Aguet and Kristin G. Ardlie and Beryl B. Cummings and Ellen T. Gelfand and Gad Getz and Kane Hadley and Robert E. Handsaker and Katherine H. Huang and Seva Kashin and Konrad J. Karczewski and Monkol Lek and Xiao Li and Daniel G. MacArthur and Jared L. Nedzel and Duyen T. Nguyen and Michael S. Noble and Ayellet V. Segr{\`{e}} and Casandra A. Trowbridge and Taru Tukiainen and Nathan S. Abell and Brunilda Balliu and Ruth Barshir and Omer Basha and Alexis J. Battle and Gireesh K. Bogu and Andrew Brown and Christopher D. Brown and Stephane E. Castel and Lin S. Chen and Donald F. Conrad and Nancy J. Cox and Olivier Delaneau and Emmanouil T. Dermitzakis and Barbara E. Engelhardt and Eleazar Eskin and Pedro G. Ferreira and Laure Fr{\'{e}}sard and Eric R. Gamazon and Diego Garrido{-}Mart{\'{\i}}n and Ariel D. H. Gewirtz and Genna Gliner and Michael J. Gloudemans and Roderic Guig{\'{o}} and Ira M. Hall and Buhm Han and Yuan He and Farhad Hormozdiari and Cedric Howald and Hae Kyung Im and Brian Jo and Eun Yong Kang and Sarah Kim{-}Hellmuth and Tuuli Lappalainen and Gen Li and Boxiang Liu and Serghei Mangul and Mark I. McCarthy and Ian C. McDowell and Pejman Mohammadi and Jean Monlong and Stephen B. Montgomery}, title = {The impact of rare variation on gene expression across tissues}, journal = {Nat.}, volume = {550}, number = {7675}, pages = {239--243}, year = {2017}, url = {https://doi.org/10.1038/nature24267}, doi = {10.1038/NATURE24267}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/LiKTDDCHZSSLGBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangHP17, author = {Jin Kyu Kang and Hyung Gil Hong and Kang Ryoung Park}, title = {Pedestrian Detection Based on Adaptive Selection of Visible Light or Far-Infrared Light Camera Image by Fuzzy Inference System and Convolutional Neural Network-Based Verification}, journal = {Sensors}, volume = {17}, number = {7}, pages = {1598}, year = {2017}, url = {https://doi.org/10.3390/s17071598}, doi = {10.3390/S17071598}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangKCN17, author = {Hongki Kang and Jee{-}Yeon Kim and Yang{-}Kyu Choi and Yoonkey Nam}, title = {Feasibility Study of Extended-Gate-Type Silicon Nanowire Field-Effect Transistors for Neural Recording}, journal = {Sensors}, volume = {17}, number = {4}, pages = {705}, year = {2017}, url = {https://doi.org/10.3390/s17040705}, doi = {10.3390/S17040705}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangKCN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/AhnCLKKKP17, author = {DaeHan Ahn and Hyerim Chung and Ho{-}Won Lee and Kyunghun Kang and Pan{-}Woo Ko and Nam Sung Kim and Taejoon Park}, title = {Smart Gait-Aid Glasses for Parkinson's Disease Patients}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {64}, number = {10}, pages = {2394--2402}, year = {2017}, url = {https://doi.org/10.1109/TBME.2017.2655344}, doi = {10.1109/TBME.2017.2655344}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/AhnCLKKKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/PourDSK17, author = {Homa Kheyrollah Pour and Claude R. Duguay and Katharine Andrea Scott and Kyung{-}Kuk Kang}, title = {Improvement of Lake Ice Thickness Retrieval From {MODIS} Satellite Data Using a Thermodynamic Model}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {55}, number = {10}, pages = {5956--5965}, year = {2017}, url = {https://doi.org/10.1109/TGRS.2017.2718533}, doi = {10.1109/TGRS.2017.2718533}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/PourDSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JangCALK17, author = {Jaewon Jang and Minho Cheong and Jin{-}Ho Ahn and Sung Kyu Lim and Sungho Kang}, title = {Chain-Based Approach for Fast Through-Silicon-Via Coupling Delay Estimation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {3}, pages = {1178--1182}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2623810}, doi = {10.1109/TVLSI.2016.2623810}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JangCALK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acpr/ShinKPKS17, author = {Seungwon Shin and Dongkyu Kim and Homin Park and Byungkon Kang and Kyung{-}Ah Sohn}, title = {Finding Compact Class Sets for Korean Font Image Classification}, booktitle = {4th {IAPR} Asian Conference on Pattern Recognition, {ACPR} 2017, Nanjing, China, November 26-29, 2017}, pages = {226--231}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ACPR.2017.97}, doi = {10.1109/ACPR.2017.97}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acpr/ShinKPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ChouKKYCLMCBSMM17, author = {Namsun Chou and YooNa Kang and Ho{-}Seong Kang and Jeong{-}Dae Yun and Wonju Chun and Kyeong Jae Lee and HyunMin Moon and Ik{-}Kyu Choi and Donghak Byun and Inho Song and Dong{-}Jun Moon and Jin{-}Hee Moon and Byeong Han Lee and Jungsuk Kim and Sung{-}Keun You and Sohee Kim}, title = {Development of an intrafascicular neural interface for peripheral nerve implantation}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {847--850}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009354}, doi = {10.1109/ICORR.2017.8009354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ChouKKYCLMCBSMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeOSBO17, author = {Kang Kyu Lee and Jaesung Oh and Okkee Sim and Hyoin Bae and Jun{-}Ho Oh}, title = {Inverse kinematics with strict nonholonomic constraints on mobile manipulator}, booktitle = {2017 {IEEE} International Conference on Robotics and Automation, {ICRA} 2017, Singapore, Singapore, May 29 - June 3, 2017}, pages = {2469--2474}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICRA.2017.7989287}, doi = {10.1109/ICRA.2017.7989287}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeOSBO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/KimLH17, author = {Jeong{-}Kyun Kim and Kang Bok Lee and Sang Gi Hong}, title = {Random forest based-biometric identification using smart shoes}, booktitle = {Eleventh International Conference on Sensing Technology, {ICST} 2017, Sydney, Australia, December 4-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSensT.2017.8304518}, doi = {10.1109/ICSENST.2017.8304518}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/KimLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/JeongSBLOO17, author = {Hyobin Jeong and Okkee Sim and Hyoin Bae and Kang Kyu Lee and Jaesung Oh and Jun{-}Ho Oh}, title = {Biped walking stabilization based on foot placement control using capture point feedback}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {5263--5269}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8206418}, doi = {10.1109/IROS.2017.8206418}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/JeongSBLOO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/OhBJLO17, author = {Jaesung Oh and Hyoin Bae and Hyobin Jeong and Kang Kyu Lee and Jun{-}Ho Oh}, title = {{BLDC} motor current control using filtered single {DC} link current based on adaptive extended Kalman filter}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {2213--2218}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8206041}, doi = {10.1109/IROS.2017.8206041}, timestamp = {Tue, 19 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/OhBJLO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/KimRRLK17, author = {Jae{-}Woo Kim and Je{-}Ho Ryu and Seung{-}Su Ryu and Kang{-}Kyu Lee and Jong{-}Ok Kim}, title = {Optimizing Background Subtraction for {OST-HMD}}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2017 Adjunct, Nantes, France, October 9-13, 2017}, pages = {95--96}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISMAR-Adjunct.2017.40}, doi = {10.1109/ISMAR-ADJUNCT.2017.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/KimRRLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/LeeKRK17, author = {Kang{-}Kyu Lee and Jae{-}Woo Kim and Je{-}Ho Ryu and Jong{-}Ok Kim}, title = {Two-Step Gamut Mapping for Optical See-Through Displays}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2017 Adjunct, Nantes, France, October 9-13, 2017}, pages = {130--131}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISMAR-Adjunct.2017.47}, doi = {10.1109/ISMAR-ADJUNCT.2017.47}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/LeeKRK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChungKCKA17, author = {Bum{-}Sik Chung and Hyeong{-}Kyu Kim and Kang{-}Il Cho and Ho{-}Jin Kim and Gil{-}Cho Ahn}, title = {Analog front-end for {EMG} acquisition system}, booktitle = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea, November 5-8, 2017}, pages = {57--58}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISOCC.2017.8368825}, doi = {10.1109/ISOCC.2017.8368825}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ChungKCKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17, author = {Nohhyup Kwak and Saeng{-}Hwan Kim and Kyong Ha Lee and Chang{-}Ki Baek and Mun Seon Jang and Yongsuk Joo and Seung{-}Hun Lee and Wooyoung Lee and Eunryeong Lee and Donghee Han and Jaeyeol Kang and Jung Ho Lim and Jae{-}Beom Park and Kyung{-}Tae Kim and Sunki Cho and Sung Woo Han and Jee Yeon Keh and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A self-refresh current for IoT applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {392--393}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870426}, doi = {10.1109/ISSCC.2017.7870426}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/LeKKLH0CKP17, author = {Viet Ha Le and Byungjeon Kang and Jayoung Kim and Kyungmin Lee and Manh Cuong Hoang and Van Du Nguyen and Eunpyo Choi and Chang{-}Sei Kim and Jong{-}Oh Park}, title = {Non-invasive active capsule endoscope integrated targeting biopsy function based on electro-mangetic actuation system}, booktitle = {2017 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2017, Macau, China, December 5-8, 2017}, pages = {2309--2313}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ROBIO.2017.8324763}, doi = {10.1109/ROBIO.2017.8324763}, timestamp = {Tue, 07 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/LeKKLH0CKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LeeSKLCKJTK16, author = {Kyubum Lee and Won{-}Ho Shin and Byounggun Kim and Sunwon Lee and Yonghwa Choi and Sunkyu Kim and Minji Jeon and Aik Choon Tan and Jaewoo Kang}, title = {HiPub: translating PubMed and {PMC} texts to networks for knowledge discovery}, journal = {Bioinform.}, volume = {32}, number = {18}, pages = {2886--2888}, year = {2016}, url = {https://doi.org/10.1093/bioinformatics/btw511}, doi = {10.1093/BIOINFORMATICS/BTW511}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/LeeSKLCKJTK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/RyuJKC16, author = {Hoon Ryu and Yosang Jeong and Ji Hoon Kang and Kyunam Cho}, title = {Time-efficient simulations of tight-binding electronic structures with Intel Xeon Phi\({}^{\mbox{TM}}\) many-core processors}, journal = {Comput. Phys. Commun.}, volume = {209}, pages = {79--87}, year = {2016}, url = {https://doi.org/10.1016/j.cpc.2016.08.015}, doi = {10.1016/J.CPC.2016.08.015}, timestamp = {Sat, 16 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cphysics/RyuJKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeongIKNSCYKKPK16, author = {Woopyo Jeong and Jae{-}Woo Im and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Jeong{-}Don Ihm and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Moosung Kim and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {A 128 Gb 3b/cell {V-NAND} Flash Memory With 1 Gb/s {I/O} Rate}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {204--212}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2474117}, doi = {10.1109/JSSC.2015.2474117}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeongIKNSCYKKPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLYJJKKKSP16, author = {Soo{-}Min Lee and Ji{-}Hoon Lim and Il{-}Min Yi and Young Jae Jang and Hae{-}Kang Jung and Kyunghoon Kim and Dae{-}Han Kwon and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Single-Ended Parallel Transceiver With Four-Bit Four-Wire Four-Level Balanced Coding for the Point-to-Point {DRAM} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {8}, pages = {1890--1901}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2559512}, doi = {10.1109/JSSC.2016.2559512}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLYJJKKKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/UddinJKKK16, author = {Jia Uddin and In{-}Kyu Jeong and Myeongsu Kang and Cheol Hong Kim and Jong{-}Myon Kim}, title = {Accelerating {IP} routing algorithm using graphics processing unit for high speed multimedia communication}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {15365--15379}, year = {2016}, url = {https://doi.org/10.1007/s11042-014-2013-3}, doi = {10.1007/S11042-014-2013-3}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/UddinJKKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLLKMC16, author = {Kyungsoo Kim and Sung Ho Lim and Jaeseok Lee and Won{-}Seok Kang and Cheil Moon and Ji{-}Woong Choi}, title = {Joint Maximum Likelihood Time Delay Estimation of Unknown Event-Related Potential Signals for {EEG} Sensor Signal Quality Enhancement}, journal = {Sensors}, volume = {16}, number = {6}, pages = {891}, year = {2016}, url = {https://doi.org/10.3390/s16060891}, doi = {10.3390/S16060891}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLLKMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeCCKLKKJGKK16, author = {Sang{-}Won Lee and Seung{-}Hwan Cha and Kyung{-}Jae Choi and Byoung{-}Ho Kang and Jae{-}Sung Lee and Sae{-}Wan Kim and Ju{-}Seong Kim and Hyun{-}Min Jeong and Sai Anand Gopalan and Dae{-}Hyuk Kwon and Shin{-}Won Kang}, title = {Low Dark-Current, High Current-Gain of PVK/ZnO Nanoparticles Composite-Based {UV} Photodetector by PN-Heterojunction Control}, journal = {Sensors}, volume = {16}, number = {1}, pages = {74}, year = {2016}, url = {https://doi.org/10.3390/s16010074}, doi = {10.3390/S16010074}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeCCKLKKJGKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/VokhidovHKHP16, author = {Husan Vokhidov and Hyung Gil Hong and Jin Kyu Kang and Toan Minh Hoang and Kang Ryoung Park}, title = {Recognition of Damaged Arrow-Road Markings by Visible Light Camera Sensor Based on Convolutional Neural Network}, journal = {Sensors}, volume = {16}, number = {12}, pages = {2160}, year = {2016}, url = {https://doi.org/10.3390/s16122160}, doi = {10.3390/S16122160}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/VokhidovHKHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KangCJKB16, author = {Dong{-}oh Kang and Jang{-}Ho Choi and Joonyoung Jung and Kyuchang Kang and Changseok Bae}, title = {{SDIF:} Social device interaction framework for encounter and play in smart home service}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {62}, number = {1}, pages = {85--93}, year = {2016}, url = {https://doi.org/10.1109/TCE.2016.7448567}, doi = {10.1109/TCE.2016.7448567}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/KangCJKB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimJKC16a, author = {JongMin Kim and Hoill Jung and Myung A. Kang and Kyung{-}Yong Chung}, title = {3D Human-Gesture Interface for Fighting Games Using Motion Recognition Sensor}, journal = {Wirel. Pers. Commun.}, volume = {89}, number = {3}, pages = {927--940}, year = {2016}, url = {https://doi.org/10.1007/s11277-016-3294-9}, doi = {10.1007/S11277-016-3294-9}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KimJKC16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/RyuKLK16, author = {Je{-}Ho Ryu and Jae{-}Woo Kim and Kang{-}Kyu Lee and Jong{-}Ok Kim}, title = {Colorimetric background estimation for color blending reduction of {OST-HMD}}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2016, Jeju, South Korea, December 13-16, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APSIPA.2016.7820764}, doi = {10.1109/APSIPA.2016.7820764}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/RyuKLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/KangLHPHKLCCN16, author = {Dongwoo Kang and Seok Lee and Hyoseok Hwang and Juyong Park and Jingu Heo and Byongmin Kang and Jin{-}Ho Lee and Yoonsun Choi and Kyuhwan Choi and Dongkyung Nam}, editor = {James P. Gilbert and Haim Azhari and Hesham H. Ali and Carla Quint{\~{a}}o and Jan Sliwa and Carolina Ruiz and Ana L. N. Fred and Hugo Gamboa}, title = {Feasibility of Eye-tracking based Glasses-free 3D Autostereoscopic Display Systems for Medical 3D Images}, booktitle = {Proceedings of the 9th International Joint Conference on Biomedical Engineering Systems and Technologies {(BIOSTEC} 2016) - Volume 2: BIOIMAGING, Rome, Italy, February 21-23, 2016}, pages = {134--138}, publisher = {SciTePress}, year = {2016}, url = {https://doi.org/10.5220/0005826901340138}, doi = {10.5220/0005826901340138}, timestamp = {Sat, 21 Sep 2019 10:38:11 +0200}, biburl = {https://dblp.org/rec/conf/biostec/KangLHPHKLCCN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsp/YangBKK16, author = {Haemin Yang and Kyungguen Byun and Hong{-}Goo Kang and Youngsu Kwak}, title = {Parametric-based non-intrusive speech quality assessment by deep neural network}, booktitle = {2016 {IEEE} International Conference on Digital Signal Processing, {DSP} 2016, Beijing, China, October 16-18, 2016}, pages = {99--103}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICDSP.2016.7868524}, doi = {10.1109/ICDSP.2016.7868524}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icdsp/YangBKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLLYH16, author = {Jeong{-}Kyun Kim and Kang Bok Lee and Sangyeoun Lee and HoeSung Yang and Sang Gi Hong}, title = {A novel stress measurement system with handhold electrodes in massage chairs}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {859--863}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763316}, doi = {10.1109/ICTC.2016.7763316}, timestamp = {Thu, 26 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimLLYH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/LeeKRK16, author = {Kang{-}Kyu Lee and Jae{-}Woo Kim and Je{-}Ho Ryu and Jong{-}Ok Kim}, editor = {Eduardo E. Veas and Tobias Langlotz and Jos{\'{e}} Mart{\'{\i}}nez{-}Carranza and Rapha{\"{e}}l Grasset and Maki Sugimoto and Alejandro Mart{\'{\i}}n}, title = {Chromaticity Based Local Linear Regression for Color Distortion Estimation of Optical See-Through Displays}, booktitle = {2016 {IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2016 Adjunct, Merida, Yucatan, Mexico, September 19-23, 2016}, pages = {151--153}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISMAR-Adjunct.2016.0064}, doi = {10.1109/ISMAR-ADJUNCT.2016.0064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/LeeKRK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JoCY16, author = {Kangwook Jo and Kyungseon Cho and Hongil Yoon}, title = {Variation-tolerant and low power look-up table {(LUT)} using spin-torque transfer magnetic {RAM} for non-volatile field programmable gate array {(FPGA)}}, booktitle = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea, October 23-26, 2016}, pages = {101--102}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISOCC.2016.7799753}, doi = {10.1109/ISOCC.2016.7799753}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/JoCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YoonJKKLKSKHKKL16, author = {Young Jun Yoon and Byung Deuk Jeon and Byung Soo Kim and Ki Up Kim and Tae Yong Lee and Nohhyup Kwak and Woo{-}Yeol Shin and Na Yeon Kim and Yunseok Hong and Kyeong Pil Kang and Dong Yoon Ka and Seong Ju Lee and Yong Sun Kim and Young Kyu Noh and Jaehoon Kim and Dong Keum Kang and Ho Uk Song and Hyeon Gon Kim and Jonghoon Oh}, title = {18.4 An 1.1V 68.2GB/s 8Gb Wide-IO2 {DRAM} with non-contact microbump {I/O} test scheme}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {320--322}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418036}, doi = {10.1109/ISSCC.2016.7418036}, timestamp = {Sun, 10 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YoonJKKLKSKHKKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/BaeOLO16, author = {Hyoin Bae and Jaesung Oh and Kang Kyu Lee and Jun{-}Ho Oh}, title = {Low-cost indoor positioning system using {BLE} (bluetooth low energy) based sensor fusion with constrained extended Kalman Filter}, booktitle = {2016 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2016, Qingdao, China, December 3-7, 2016}, pages = {939--945}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ROBIO.2016.7866445}, doi = {10.1109/ROBIO.2016.7866445}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/BaeOLO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/KimLRK16, author = {Jae{-}Woo Kim and Kang{-}Kyu Lee and Je{-}Ho Ryu and Jong{-}Ok Kim}, editor = {Dieter Kranzlm{\"{u}}ller and Gudrun Klinker}, title = {Localized color correction for optical see-through displays via weighted linear regression}, booktitle = {Proceedings of the 22nd {ACM} Conference on Virtual Reality Software and Technology, {VRST} 2016, Munich, Germany, 2-4 November, 2016}, pages = {11--14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2993369.2993406}, doi = {10.1145/2993369.2993406}, timestamp = {Tue, 06 Nov 2018 16:59:04 +0100}, biburl = {https://dblp.org/rec/conf/vrst/KimLRK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KangJRJS15, author = {Dae{-}Hwan Kang and Hyun{-}Goo Jun and Kyung{-}Chang Ryoo and Hongsik Jeong and Hyunchul Sohn}, title = {Emulation of spike-timing dependent plasticity in nano-scale phase change memory}, journal = {Neurocomputing}, volume = {155}, pages = {153--158}, year = {2015}, url = {https://doi.org/10.1016/j.neucom.2014.12.036}, doi = {10.1016/J.NEUCOM.2014.12.036}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/KangJRJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KwonLHKKS15, author = {Bit{-}Na Kwon and Eui{-}Hak Lee and Dae{-}Ki Hong and Sung{-}Jin Kang and Min{-}goo Kang and Hyoung{-}Kyu Song}, title = {Downlink Signal Measurement Algorithm for {WCDMA/HSPA/HSPA+}}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {9}, number = {8}, pages = {3040--3053}, year = {2015}, url = {https://doi.org/10.3837/tiis.2015.08.016}, doi = {10.3837/TIIS.2015.08.016}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KwonLHKKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/LeeKC15, author = {Jinho Lee and Kyungsu Kang and Kiyoung Choi}, title = {{REDELF:} An Energy-Efficient Deadlock-Free Routing for 3D NoCs with Partial Vertical Connections}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {12}, number = {3}, pages = {26:1--26:22}, year = {2015}, url = {https://doi.org/10.1145/2751560}, doi = {10.1145/2751560}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/LeeKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimLCL15, author = {Younjung Kim and Young{-}Ho Lee and Kyung{-}Yong Chung and Kang{-}Dae Lee}, title = {An investigation on the information systems research in supply chain management: an analysis of research topic and methodology}, journal = {Multim. Tools Appl.}, volume = {74}, number = {20}, pages = {8849--8860}, year = {2015}, url = {https://doi.org/10.1007/s11042-013-1632-4}, doi = {10.1007/S11042-013-1632-4}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimLCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/HongLPLCSKOK15, author = {Seongil Hong and Youngwoo Lee and Kyu Hyun Park and Wonsuk Lee and Byunghun Choi and Okkee Sim and Inhyeok Kim and Jun{-}Ho Oh and Youn Sik Kang}, title = {Dynamics based motion optimization and operational space control with an experimental rescue robot, {HUBO} {T-100}}, booktitle = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2015, Busan, South Korea, July 7-11, 2015}, pages = {773--778}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/AIM.2015.7222631}, doi = {10.1109/AIM.2015.7222631}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/HongLPLCSKOK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/YoonSLK15, author = {Sung{-}Ho Yoon and Kyu{-}Seok Shim and Su{-}Kang Lee and Myung{-}Sup Kim}, title = {Framework for multi-level application traffic identification}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {424--427}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275365}, doi = {10.1109/APNOMS.2015.7275365}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/YoonSLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeACK15, author = {Jinho Lee and Junwhan Ahn and Kiyoung Choi and Kyungsu Kang}, title = {{THOR:} Orchestrated thermal management of cores and networks in 3D many-core architectures}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {773--778}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7059104}, doi = {10.1109/ASPDAC.2015.7059104}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeACK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdas/KimKCKK15, author = {Kye Kyung Kim and Sangseung Kang and Suyoung Chi and Jaehong Kim and Jinho Kim}, editor = {Carson K. Leung and Aziz Nasridinov and Jongsup Choi and Sun Hwa Han and Joo{-}Yeoun Lee and Taeho Park and Yoo{-}Sung Kim and Young{-}Koo Lee}, title = {Disguised Face Identification Using Face Graph and {SVM} Classifier}, booktitle = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, pages = {282--283}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2837060.2837118}, doi = {10.1145/2837060.2837118}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdas/KimKCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeLLKKLC15, author = {Dong{-}Uk Lee and Kang Seol Lee and Yongwoo Lee and Kyung Whan Kim and Jong{-}Ho Kang and Jaejin Lee and Jun Hyun Chun}, title = {Design considerations of {HBM} stacked {DRAM} and the memory architecture extension}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338357}, doi = {10.1109/CICC.2015.7338357}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeLLKKLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ParkKHKLKJKLHPS15, author = {Joonheung Park and Taehoon Koh and Jun{-}Ho Huh and Taeyoung Kim and Jeongho Lee and Jaesoon Kang and Donghyun Ju and Jeongdae Kim and Junwon Lee and Taewook Hwang and Youngjoon Park and Kyungryong Seo}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Design of the Real-Time Mobile Push System for Implementation of the Shipboard Smart Working}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {541--548}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_78}, doi = {10.1007/978-981-10-0281-6\_78}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ParkKHKLKJKLHPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ByunSSLK15, author = {Kyungguen Byun and Eunwoo Song and Hwan Shim and Hyungjoon Lim and Hong{-}Goo Kang}, title = {A constrained two-layer compression technique for {ECG} waves}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {6130--6133}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319791}, doi = {10.1109/EMBC.2015.7319791}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ByunSSLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KangBK15, author = {Wonjune Kang and Kyunguen Byun and Hong{-}Goo Kang}, title = {Detection of fiducial points in {ECG} waves using iteration based adaptive thresholds}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {2721--2724}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318954}, doi = {10.1109/EMBC.2015.7318954}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/KangBK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/LeeLSWBO15, author = {In{-}Ho Lee and Kang Kyu Lee and Okkee Sim and Kim Sung Woo and Cho Buyoun and Jun{-}Ho Oh}, title = {Collision detection system for the practical use of the humanoid robot}, booktitle = {15th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2015, Seoul, South Korea, November 3-5, 2015}, pages = {972--976}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HUMANOIDS.2015.7363487}, doi = {10.1109/HUMANOIDS.2015.7363487}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/LeeLSWBO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongLC15, author = {KangWoo Hong and Hyun{-}Woo Lee and Jun Kyun Choi}, title = {Distributed media processing on multiple computing servers}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {950--952}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354709}, doi = {10.1109/ICTC.2015.7354709}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ParkHLSKKKKJHKR15, author = {Jongwoo Park and Jungpyo Hong and Miji Lee and Dongyoon Sun and Kyung Kang and Taesung Kim and Seungwon Kim and Sujin Kwon and Changkyu Joo and Sangsu Ha and Wooyeon Kim and Jongsu Ryu and Sangwoo Pae}, title = {Contact resistance of solder bump with low cost photosensitive polyimide for high performance SoC}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112790}, doi = {10.1109/IRPS.2015.7112790}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ParkHLSKKKKJHKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLLNSKYSLRKKP15, author = {Hyun{-}Jin Kim and Jeong{-}Don Lim and Jang{-}Woo Lee and Dae{-}Hoon Na and Joon{-}Ho Shin and Chae{-}Hoon Kim and Seungwoo Yu and Ji{-}Yeon Shin and Seon{-}Kyoo Lee and Devraj Rajagopal and Sang{-}Tae Kim and Kyeong{-}Tae Kang and Jeong{-}Joon Park and Yongjin Kwon and Min{-}Jae Lee and Sunghoon Kim and Seunghoon Shin and Hyunggon Kim and Jin{-}Tae Kim and Ki{-}Sung Kim and Han{-}Sung Joo and Chanjin Park and Jae{-}Hwan Kim and Man{-}Joong Lee and Do{-}Kook Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.6 1GB/s 2Tb {NAND} flash multi-chip package with frequency-boosting interface chip}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062964}, doi = {10.1109/ISSCC.2015.7062964}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLLNSKYSLRKKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimKKHLK15, author = {Jung{-}Tae Kim and Hyung{-}Joo Kang and Min{-}Kyu Kim and Sung{-}Mun Hong and Ji{-}Hong Li and Min{-}Jae Kim}, title = {Underwater floor pattern matching with an unmanned underwater vehicle}, booktitle = {12th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2015, Goyang, South Korea, October 28-30, 2015}, pages = {118--120}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/URAI.2015.7358874}, doi = {10.1109/URAI.2015.7358874}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimKKHLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacic/KangEHM14, author = {Min Woo Kang and Il Kyu Eom and Seok{-}Wun Ha and Yong Ho Moon}, title = {Advanced Data-Transmission Scheme for a High-Performance Mission Computer}, journal = {J. Aerosp. Inf. Syst.}, volume = {11}, number = {5}, pages = {326--336}, year = {2014}, url = {https://doi.org/10.2514/1.I010130}, doi = {10.2514/1.I010130}, timestamp = {Thu, 05 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jacic/KangEHM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/KimLKHLY14, author = {Kiwoong Kim and Seong{-}Joo Lee and Chan{-}Seok Kang and Seong{-}Min Hwang and Yong{-}Ho Lee and Kwon{-}Kyu Yu}, title = {Toward a brain functional connectivity mapping modality by simultaneous imaging of coherent brainwaves}, journal = {NeuroImage}, volume = {91}, pages = {63--69}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2014.01.030}, doi = {10.1016/J.NEUROIMAGE.2014.01.030}, timestamp = {Tue, 04 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/KimLKHLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/KimLKLHL14, author = {Jinho Kim and Jun Lee and Hyoeng Kyu Kang and Dae Sun Kim and Choong Seon Hong and Sungwon Lee}, title = {An ID/Locator Separation-Based Mobility Management Architecture for WSNs}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {13}, number = {10}, pages = {2240--2254}, year = {2014}, url = {https://doi.org/10.1109/TMC.2013.142}, doi = {10.1109/TMC.2013.142}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/KimLKLHL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JeongCKKLKPK14, author = {Young{-}Seob Jeong and Ho{-}Jin Choi and Yong{-}Jin Kwon and Kyu{-}Chang Kang and Ju{-}Yeon Lee and Hye{-}Hyon Kim and Hyun{-}Ae Park and Ju{-}Han Kim}, title = {Semi-automated lifestyle manager for obesity}, booktitle = {International Conference on Big Data and Smart Computing, {BIGCOMP} 2014, Bangkok, Thailand, January 15-17, 2014}, pages = {229--230}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIGCOMP.2014.6741441}, doi = {10.1109/BIGCOMP.2014.6741441}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/JeongCKKLKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clrimg/KimKPKK14, author = {Yun{-}Tae Kim and Kyuhong Kim and Sung{-}Chan Park and Jooyoung Kang and Jung{-}Ho Kim}, editor = {Reiner Eschbach and Gabriel G. Marcu and Alessandro Rizzi}, title = {Realistic fetus skin color processing for ultrasound volume rendering}, booktitle = {Color Imaging {XIX:} Displaying, Processing, Hardcopy, and Applications, San Francisco, California, USA, February 3-5, 2014}, series = {{SPIE} Proceedings}, volume = {9015}, pages = {901504}, publisher = {{SPIE}}, year = {2014}, url = {https://doi.org/10.1117/12.2037151}, doi = {10.1117/12.2037151}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/clrimg/KimKPKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongJRC14, author = {KangWoo Hong and Il{-}Koo Jung and Won Ryu and Jun Kyun Choi}, title = {A study on {GPU} virtualization in a virtualized server environment}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {472--473}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983183}, doi = {10.1109/ICTC.2014.6983183}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongJRC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imaging/KimCKKKH14, author = {Dae{-}Chul Kim and Bong{-}Seok Choi and Wang{-}Jun Kyung and Dong{-}Wook Kang and Kyung{-}Mo Kim and Yeong{-}Ho Ha}, title = {Enhancement of Visibility by Adjusting Brightness based on App Image Categorization in Mobile Device}, booktitle = {22nd Color and Imaging Conference, {CIC} 2014, Boston, MA, USA, November 3-7, 2014}, pages = {125--130}, publisher = {Society for Imaging Science and Technology}, year = {2014}, url = {https://doi.org/10.2352/CIC.2014.22.1.art00021}, doi = {10.2352/CIC.2014.22.1.ART00021}, timestamp = {Mon, 17 Jul 2023 17:21:12 +0200}, biburl = {https://dblp.org/rec/conf/imaging/KimCKKKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKBJ14, author = {Seok{-}tae Lee and Dong{-}woo Kang and Kyung{-}ho Bae and Yong{-}woo Jeon}, title = {Safety system technologies for commercialized robot shuttle service}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {321--323}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057443}, doi = {10.1109/URAI.2014.7057443}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeKBJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimKCLLLCKJPPH14, author = {Kiduk Kim and Sanghyub Kang and Yoon{-}Kyung Choi and Kyung{-}Hoon Lee and Choong{-}Hoon Lee and Jin{-}chul Lee and Michael Choi and Kyungjun Ko and Joonwoo Jung and Namgu Park and Ho{-}Jin Park and Gyoocheol Hwang}, title = {A fully-differential capacitive touch controller with input common-mode feedback for symmetric display noise cancellation}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858444}, doi = {10.1109/VLSIC.2014.6858444}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimKCLLLCKJPPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKKLBCJNLCH14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Kang Seol Lee and Sang Jin Byeon and Jin{-}Hee Cho and Han Ho Jin and Sang Kyun Nam and Jaejin Lee and Jun Hyun Chun and Sung{-}Joo Hong}, title = {An exact measurement and repair circuit of {TSV} connections for 128GB/s high-bandwidth memory(HBM) stacked {DRAM}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858368}, doi = {10.1109/VLSIC.2014.6858368}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKKLBCJNLCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/ChoiKKYB14, author = {Jang{-}Ho Choi and Kyuchang Kang and Dong{-}oh Kang and Sangkeun Yoo and Changseok Bae}, title = {Towards zero-configuration in device collaboration using device sociality}, booktitle = {{IEEE} World Forum on Internet of Things, WF-IoT 2014, Seoul, South Korea, March 6-8, 2014}, pages = {417--421}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WF-IoT.2014.6803202}, doi = {10.1109/WF-IOT.2014.6803202}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/ChoiKKYB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/ChonPHK13, author = {Kilnam Chon and Hyun Je Park and Jin Ho Hur and Kyungran Kang}, title = {A history of computer networking and the internet in Korea [History of Communications]}, journal = {{IEEE} Commun. Mag.}, volume = {51}, number = {2}, pages = {10--15}, year = {2013}, url = {https://doi.org/10.1109/MCOM.2013.6461175}, doi = {10.1109/MCOM.2013.6461175}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/ChonPHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeKKKYKKLHP13, author = {Yong{-}Ho Lee and Hyukchan Kwon and Jin{-}Mok Kim and Kiwoong Kim and Kwon{-}Kyu Yu and In{-}Seon Kim and Chan{-}Seok Kang and Seong{-}Joo Lee and Seong{-}Min Hwang and Yong{-}Ki Park}, title = {Development and Applications of SQUIDs in Korea}, journal = {{IEICE} Trans. Electron.}, volume = {96-C}, number = {3}, pages = {307--312}, year = {2013}, url = {https://doi.org/10.1587/transele.E96.C.307}, doi = {10.1587/TRANSELE.E96.C.307}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeKKKYKKLHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/KangJSHL13, author = {Jinkeon Kang and Kitae Jeong and Jaechul Sung and Seokhie Hong and Kyungho Lee}, title = {Collision Attacks on AES-192/256, Crypton-192/256, mCrypton-96/128, and Anubis}, journal = {J. Appl. Math.}, volume = {2013}, pages = {713673:1--713673:10}, year = {2013}, url = {https://doi.org/10.1155/2013/713673}, doi = {10.1155/2013/713673}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jam/KangJSHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeNCLK13, author = {Kang{-}Dae Lee and Mi Young Nam and Kyung{-}Yong Chung and Young{-}Ho Lee and Un{-}Gu Kang}, title = {Context and profile based cascade classifier for efficient people detection and safety care system}, journal = {Multim. Tools Appl.}, volume = {63}, number = {1}, pages = {27--44}, year = {2013}, url = {https://doi.org/10.1007/s11042-012-1020-5}, doi = {10.1007/S11042-012-1020-5}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeNCLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimPPKKK13, author = {Kyuhong Kim and Suhyun Park and Sung{-}Chan Park and Jooyoung Kang and Yun{-}Tae Kim and Jung{-}Ho Kim}, title = {Fast transform-based adaptive beamformer for medical ultrasound imaging}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {2329--2333}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738480}, doi = {10.1109/ICIP.2013.6738480}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/KimPPKKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/KangJICL13, author = {Sung{-}Kwan Kang and Hoill Jung and Il Hyeok Im and Kyung{-}Yong Chung and Jung{-}Hyun Lee}, title = {Active Discrete Event Simulation Algorithm Using Probability Distribution of Shipbuilding Process}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579480}, doi = {10.1109/ICISA.2013.6579480}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/KangJICL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rivp/KangPKK13, author = {Jooyoung Kang and Sung{-}Chan Park and Kyuhong Kim and Jung{-}Ho Kim}, editor = {Nasser Kehtarnavaz and Matthias F. Carlsohn}, title = {Fast non-blind deconvolution based on 2D point spread function database for real-time ultrasound imaging}, booktitle = {Real-Time Image and Video Processing 2013, Burlingame, CA, USA, February 6-7, 2013}, series = {{SPIE} Proceedings}, volume = {8656}, pages = {86560R}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2008357}, doi = {10.1117/12.2008357}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rivp/KangPKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimKKLKK13, author = {Kye Kyung Kim and Sangseung Kang and Jaehong Kim and Jaeyeon Lee and Joongbae Kim and Jinho Kim}, title = {Multiple objects recognition for industrial robot applications}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {257--259}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677361}, doi = {10.1109/URAI.2013.6677361}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/KimKKLKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKHR13, author = {Sang{-}Cheol Lee and Youn Tae Kang and Sung Kyung Hong and Young{-}Sun Ryuh}, title = {Simulation based design for position estimation of small robotic fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {442--446}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677306}, doi = {10.1109/URAI.2013.6677306}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeKHR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/KangMW12, author = {Kyungdaw Kang and Ilkyeong Moon and Hongfeng Wang}, title = {A hybrid genetic algorithm with a new packing strategy for the three-dimensional bin packing problem}, journal = {Appl. Math. Comput.}, volume = {219}, number = {3}, pages = {1287--1299}, year = {2012}, url = {https://doi.org/10.1016/j.amc.2012.07.036}, doi = {10.1016/J.AMC.2012.07.036}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/KangMW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LeeMKKH12, author = {Yun{-}Geun Lee and Bob McKay and Kangil Kim and Dong{-}Kyun Kim and Nguyen Xuan Hoai}, title = {Erratum to 'Investigating vesicular selection: {A} selection operator in in-vitro evolution' [Applied Soft Computing 11/8 {(2012)} {[5528-5550]}}, journal = {Appl. Soft Comput.}, volume = {12}, number = {9}, pages = {3112--3113}, year = {2012}, url = {https://doi.org/10.1016/j.asoc.2012.06.001}, doi = {10.1016/J.ASOC.2012.06.001}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/LeeMKKH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bell/KangPK12, author = {Kyungtae Kang and Kyung{-}Joon Park and Hongseok Kim}, title = {Functional-Level Energy Characterization of \emph{{\(\mathrm{\mu}\)}}C/OS-II and Cache Locking for Energy Saving}, journal = {Bell Labs Tech. J.}, volume = {17}, number = {1}, pages = {219--227}, year = {2012}, url = {https://doi.org/10.1002/bltj.21532}, doi = {10.1002/BLTJ.21532}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bell/KangPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/NguyenCKLKKL12, author = {Huy Quang Nguyen and Joon{-}Ho Choi and Tae Gyu Kang and Sang{-}Kyu Lim and Dae Ho Kim and Moonsoo Kang and Chung Ghiu Lee}, title = {Effect of {LED} emission cross-section in indoor visible light communication systems}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2012}, pages = {286}, year = {2012}, url = {https://doi.org/10.1186/1687-1499-2012-286}, doi = {10.1186/1687-1499-2012-286}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/NguyenCKLKKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes} 128 I/Os Using {TSV} Based Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {107--116}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164731}, doi = {10.1109/JSSC.2011.2164731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YoonJCKHKHL12, author = {Dae{-}Young Yoon and Chang{-}Jin Jeong and Justin Cartwright and Ho{-}Yong Kang and Seok{-}Kyun Han and Nae{-}Soo Kim and Dong Sam Ha and Sang{-}Gug Lee}, title = {A New Approach to Low-Power and Low-Latency Wake-Up Receiver System for Wireless Sensor Nodes}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {10}, pages = {2405--2419}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2209778}, doi = {10.1109/JSSC.2012.2209778}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YoonJCKHKHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/KimHCKK12, author = {Hongseok Kim and Sangtae Ha and Mung Chiang and Dae Kyung Kang and Jin Hee Kim}, title = {Iterative resource pooling for bandwidth allocation in {TDM-PON:} algorithm, convergence and experimental evaluation}, journal = {Photonic Netw. Commun.}, volume = {24}, number = {2}, pages = {138--150}, year = {2012}, url = {https://doi.org/10.1007/s11107-012-0374-y}, doi = {10.1007/S11107-012-0374-Y}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/KimHCKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YunCHPK12, author = {Jae{-}Jung Yun and Hyung{-}Jin Choe and Young{-}Ho Hwang and Yong{-}Kyu Park and Bongkoo Kang}, title = {Improvement of Power-Conversion Efficiency of a {DC-DC} Boost Converter Using a Passive Snubber Circuit}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {4}, pages = {1808--1814}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2141095}, doi = {10.1109/TIE.2011.2141095}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YunCHPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/SeoLKLKL12, author = {Hongsuck Seo and Jonghoon Lee and Seokhwan Kim and Kyusong Lee and Sechun Kang and Gary Geunbae Lee}, title = {A Meta Learning Approach to Grammatical Error Correction}, booktitle = {The 50th Annual Meeting of the Association for Computational Linguistics, Proceedings of the Conference, July 8-14, 2012, Jeju Island, Korea - Volume 2: Short Papers}, pages = {328--332}, publisher = {The Association for Computer Linguistics}, year = {2012}, url = {https://aclanthology.org/P12-2064/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/SeoLKLKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KangNLPYS12, author = {Kyungtae Kang and Min{-}Young Nam and Jaemyoun Lee and Juyoung Park and Homin Yoo and Lui Sha}, title = {Model-based design of a wireless telemetry system and QoS assessment using {AADL}}, booktitle = {2012 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2012, Philadelphia, USA, October 4-7, 2012}, pages = {748--749}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBMW.2012.6470230}, doi = {10.1109/BIBMW.2012.6470230}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KangNLPYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/AnLKJYHKLNJKCC12, author = {Hokyun An and Kong{-}Soo Lee and Yoongoo Kang and Seonghoon Jeong and Wonseok Yoo and Jae{-}Jong Han and Bonghyun Kim and Hanjin Lim and Seokwoo Nam and Gi{-}Tae Jeong and Ho{-}Kyu Kang and Chilhee Chung and Byoungdeog Choi}, title = {Current-voltage characteristics of vertical diodes for next generation memories}, booktitle = {Proceedings of the 2012 European Solid-State Device Research Conference, {ESSDERC} 2012, Bordeaux, France, September 17-21, 2012}, pages = {149--152}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ESSDERC.2012.6343355}, doi = {10.1109/ESSDERC.2012.6343355}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/essderc/AnLKJYHKLNJKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ShinLLHHYLPKRKCC12, author = {Sunghwan Shin and In Lee and Hojin Lee and Gabjong Han and Kyungpyo Hong and Sunghoon Yim and Jongwon Lee and Young Jin Park and Byeong Ki Kang and Dae Ho Ryoo and Dae Whan Kim and Seungmoon Choi and Wan Kyun Chung}, title = {Haptic simulation of refrigerator door}, booktitle = {2012 {IEEE} Haptics Symposium, {HAPTICS} 2012, Vancouver, BC, Canada, March 4-7, 2012}, pages = {147--154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HAPTIC.2012.6183783}, doi = {10.1109/HAPTIC.2012.6183783}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/ShinLLHHYLPKRKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/OhKKSSKK12, author = {Yong{-}Kyun Oh and Min{-}Ho Kim and Bang{-}Young Kim and Chul{-}Gyu Song and Jeong{-}Hwan Seo and Kwan Min Kim and Jin Kang}, title = {Real-time surface tracking algorithm used in common-path fourier-domain optical coherence tomography}, booktitle = {4th International Conference on Awareness Science and Technology, iCAST 2012, Seoul, South Korea, August 21-24, 2012}, pages = {180--184}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/iCAwST.2012.6469610}, doi = {10.1109/ICAWST.2012.6469610}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icawst/OhKKSSKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/JungLKKKLCC12, author = {Hoill Jung and Seung{-}Jin Lee and Jeong{-}Hoon Kang and Min{-}Hyun Kim and Jong{-}Wan Kim and Bo{-}Hyun Lee and Eun{-}Young Cho and Kyung{-}Yong Chung}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {Sensibility Extraction for Bicycle Design Using {RFID} Tag-Attached Crayons}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {217--224}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_25}, doi = {10.1007/978-94-007-5860-5\_25}, timestamp = {Wed, 24 May 2017 08:27:16 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/JungLKKKLCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KimLCL12, author = {Younjung Kim and Young{-}Ho Lee and Kyung{-}Yong Chung and Kang{-}Dae Lee}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {An Investigation on the Research Topics in Relation to Information Systems in Supply Chain Management}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {101--110}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_13}, doi = {10.1007/978-94-007-5860-5\_13}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/KimLCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkLPKRJJLYJCK12, author = {Jaeseok Park and Ingeol Lee and Young{-}Seok Park and Sung{-}Geun Kim and Kyungho Ryu and Dong{-}Hoon Jung and Kangwook Jo and Choong Keun Lee and Hongil Yoon and Seong{-}Ook Jung and Woo{-}Young Choi and Sungho Kang}, title = {Integration of dual channel timing formatter system for high speed memory test equipment}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {185--187}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407070}, doi = {10.1109/ISOCC.2012.6407070}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkLPKRJJLYJCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimBCBCPALCLKKCNPSJHL12, author = {Kiduk Kim and San{-}Ho Byun and Yoon{-}Kyung Choi and Jong{-}Hak Baek and Hwa{-}Hyun Cho and Jong Kang Park and Hae{-}Yong Ahn and Chang{-}Ju Lee and Min{-}Soo Cho and Joo{-}Hyeon Lee and Sang{-}Woo Kim and Hyung{-}Dal Kwon and Yong{-}Yeob Choi and Hosuk Na and Junchul Park and Yeon{-}Joong Shin and Kyungsuk Jang and Gyoocheol Hwang and Myunghee Lee}, title = {A capacitive touch controller robust to display noise for ultrathin touch screen displays}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {116--117}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176943}, doi = {10.1109/ISSCC.2012.6176943}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimBCBCPALCLKKCNPSJHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LimJWLKKCKKPJ12, author = {Kyu{-}Nam Lim and Woong{-}Ju Jang and Hyung{-}Sik Won and Kang{-}Yeol Lee and Hyungsoo Kim and Dong{-}Whee Kim and Mi{-}Hyun Cho and Seung{-}Lo Kim and Jong{-}Ho Kang and Keun{-}Woo Park and Byung{-}Tae Jeong}, title = {A 1.2V 23nm 6F\({}^{\mbox{2}}\) 4Gb {DDR3} {SDRAM} with local-bitline sense amplifier, hybrid {LIO} sense amplifier and dummy-less array architecture}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {42--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176870}, doi = {10.1109/ISSCC.2012.6176870}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LimJWLKKCKKPJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LeeMKKH11, author = {Yun{-}Geun Lee and Bob McKay and Kangil Kim and Dong{-}Kyun Kim and Nguyen Xuan Hoai}, title = {Investigating vesicular selection: {A} selection operator in in vitro evolution}, journal = {Appl. Soft Comput.}, volume = {11}, number = {8}, pages = {5528--5550}, year = {2011}, url = {https://doi.org/10.1016/j.asoc.2011.05.006}, doi = {10.1016/J.ASOC.2011.05.006}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/LeeMKKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/RhoKJLBSSLKYKLK11, author = {Kyoohyoung Rho and Bumjin Kim and Youngjun Jang and Sanghyun Lee and Taejeong Bae and Jihae Seo and Chae Hwa Seo and Ji{-}Hyun Lee and Hyunjung Kang and Ungsik Yu and Sunghoon Kim and Sanghyuk Lee and Wan Kyu Kim}, title = {{GARNET} - gene set analysis with exploration of annotation relations}, journal = {{BMC} Bioinform.}, volume = {12}, number = {{S-1}}, pages = {S25}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-S1-S25}, doi = {10.1186/1471-2105-12-S1-S25}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/RhoKJLBSSLKYKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/SungJSKKC11, author = {Shi{-}Joon Sung and Eun{-}Ae Jung and Dae{-}Ho Son and Dae{-}Hwan Kim and Jin{-}Kyu Kang and Kuk Young Cho}, title = {The effect of bi-component acrylate prepolymers on the phase separation and electro-optical properties of pixel-isolated liquid crystals}, journal = {Displays}, volume = {32}, number = {5}, pages = {334--337}, year = {2011}, url = {https://doi.org/10.1016/j.displa.2011.05.002}, doi = {10.1016/J.DISPLA.2011.05.002}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/SungJSKKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/BaeKKJPH11, author = {Jang Pyo Bae and Kwang Gi Kim and Ho Chul Kang and Chang Bu Jeong and Kyu Hyung Park and Jeong{-}Min Hwang}, title = {A Study on Hemorrhage Detection Using Hybrid Method in Fundus Images}, journal = {J. Digit. Imaging}, volume = {24}, number = {3}, pages = {394--404}, year = {2011}, url = {https://doi.org/10.1007/s10278-010-9274-9}, doi = {10.1007/S10278-010-9274-9}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/BaeKKJPH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LimKSLLK11, author = {Jonghyuck Lim and Namkug Kim and Joon Beom Seo and Young Kyung Lee and Youngjoo Lee and Suk{-}Ho Kang}, title = {Regional Context-Sensitive Support Vector Machine Classifier to Improve Automated Identification of Regional Patterns of Diffuse Interstitial Lung Disease}, journal = {J. Digit. Imaging}, volume = {24}, number = {6}, pages = {1133--1140}, year = {2011}, url = {https://doi.org/10.1007/s10278-011-9367-0}, doi = {10.1007/S10278-011-9367-0}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/LimKSLLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/KimKJ11, author = {Nam{-}Hoon Kim and Min{-}Jae Kang and Hoe{-}Kyung Jung}, title = {Package Contents Authoring and Consuming System Based on {MPEG-21} Multimedia Framework}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {9}, number = {1}, pages = {50--54}, year = {2011}, url = {https://doi.org/10.6109/jicce.2011.9.1.050}, doi = {10.6109/JICCE.2011.9.1.050}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/KimKJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKLKKKP11, author = {Kyu{-}Young Kim and Yonghwan Kim and Doo{-}Chan Lee and Yu{-}Ri Kang and Hoon Ki Kim and Soo{-}Won Kim and Jongsun Park}, title = {An Energy Efficient V\({}_{\mbox{PP}}\) Generator With Fast Ramp-Up Time for Mobile {DRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {6}, pages = {1488--1494}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2011.2128170}, doi = {10.1109/JSSC.2011.2128170}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKLKKKP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/ChoiKKR11, author = {Kyuha Choi and Changhyun Kim and Myung{-}Ho Kang and Jong Beom Ra}, title = {Resolution Improvement of Infrared Images Using Visible Image Information}, journal = {{IEEE} Signal Process. Lett.}, volume = {18}, number = {10}, pages = {611--614}, year = {2011}, url = {https://doi.org/10.1109/LSP.2011.2165842}, doi = {10.1109/LSP.2011.2165842}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/ChoiKKR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KangPSCK11, author = {Sungmuk Kang and Kyungjin Park and Seunghwan Shin and Keunsu Chang and Hoseong Kim}, title = {Zero standby power remote control system using light power transmission}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {4}, pages = {1622--1627}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.6131134}, doi = {10.1109/TCE.2011.6131134}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KangPSCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/JungCHJLKP11, author = {Younho Jung and Su{-}il Choi and Intae Hwang and Taejin Jung and Bae{-}Ho Lee and Kyungran Kang and Jaehyung Park}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and William I. Grosky and Niki Pissinou and Timothy K. Shih and Edward J. Rothwell and Byeong Ho Kang and Seung{-}Jung Shin}, title = {Cost-Effective Multicast Routings in Wireless Mesh Networks}, booktitle = {Multimedia, Computer Graphics and Broadcasting - International Conference, MulGraB 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {262}, pages = {262--271}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27204-2\_32}, doi = {10.1007/978-3-642-27204-2\_32}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/JungCHJLKP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeKKLLKK11, author = {Ki{-}Young Lee and Jeong Jin Kang and Joung{-}Joon Kim and Chae{-}Gyun Lim and Myung{-}Jae Lim and Kyu{-}Ho Kim and Jeong{-}Lae Kim}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and William I. Grosky and Niki Pissinou and Timothy K. Shih and Edward J. Rothwell and Byeong Ho Kang and Seung{-}Jung Shin}, title = {Handling Frequent Updates of Moving Objects Using the Dynamic Non-uniform Grid}, booktitle = {Multimedia, Computer Graphics and Broadcasting - International Conference, MulGraB 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {263}, pages = {175--180}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27186-1\_22}, doi = {10.1007/978-3-642-27186-1\_22}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/LeeKKLLKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeLKLKJN11, author = {Ki{-}Young Lee and Min{-}Ki Lee and Kyu{-}Ho Kim and Myung{-}Jae Lim and Jeong{-}Seok Kang and Hee{-}Woong Jeong and Young{-}Sik Na}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and William I. Grosky and Niki Pissinou and Timothy K. Shih and Edward J. Rothwell and Byeong Ho Kang and Seung{-}Jung Shin}, title = {Design and Implementation of Emergency Situation System through Multi Bio-signals}, booktitle = {Multimedia, Computer Graphics and Broadcasting - International Conference, MulGraB 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {263}, pages = {163--168}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27186-1\_20}, doi = {10.1007/978-3-642-27186-1\_20}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/LeeLKLKJN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HongCLBKCLCJPKHSJY11, author = {YouSik Hong and Myeong{-}Bok Choi and June{-}Hyung Lee and Cheol{-}Soo Bae and Jang Mook Kang and Jae Sang Cha and Geuk Lee and Seong Jin Cho and HyunSoo Jin and Chun{-}Myoung Park and Baek ki Kim and Kwang{-}Deok Han and Su Kyun Sun and Chul Jang and S. C. Yu}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Implementation of Smart Car Using Fuzzy Rules}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {609--616}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2\_77}, doi = {10.1007/978-3-642-24106-2\_77}, timestamp = {Thu, 28 Dec 2017 16:02:42 +0100}, biburl = {https://dblp.org/rec/conf/ichit/HongCLBKCLCJPKHSJY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KangKCLL11, author = {Moon{-}Kyung Kang and Kwang{-}Eun Kim and Seong{-}Jun Cho and Hoonyol Lee and Jae{-}Hee Lee}, title = {Wishart supervised classification results of C-band polarimetric {GB-SAR} image data}, booktitle = {2011 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2011, Vancouver, BC, Canada, July 24-29, 2011}, pages = {459--462}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IGARSS.2011.6049164}, doi = {10.1109/IGARSS.2011.6049164}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KangKCLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Kyehyun Kyung and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2V 12.8GB/s 2Gb mobile Wide-I/O {DRAM} with 4{\texttimes}128 I/Os using TSV-based stacking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {496--498}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746413}, doi = {10.1109/ISSCC.2011.5746413}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sersc-isa/YangSLK11, author = {Ji Hoon Yang and Seung{-}Jung Shin and Dong Kyun Lim and Jeong Jin Kang}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {Robust Congestion Control Design for Input Time Delayed {AQM} System}, booktitle = {Information Security and Assurance - International Conference, {ISA} 2011, Brno, Czech Republic, August 15-17, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {200}, pages = {349--358}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23141-4\_36}, doi = {10.1007/978-3-642-23141-4\_36}, timestamp = {Wed, 24 May 2017 08:30:52 +0200}, biburl = {https://dblp.org/rec/conf/sersc-isa/YangSLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KimJKLKKMYKL11, author = {Sung Il Kim and Jae Young Jun and Jong{-}Kook Kim and Kyung{-}Chan Lee and Gyu Seong Kang and Taek{-}Soo Kim and Hee Kyoung Moon and Hye Chan Yoon and Hyungmin Kim and Sang{-}Hoon Lee}, editor = {Ching{-}Hsien Hsu and Laurence Tianruo Yang and Jianhua Ma and Chunsheng Zhu}, title = {Dynamic Resource Management for a Cell-Based Distributed Mobile Computing Environment}, booktitle = {Ubiquitous Intelligence and Computing - 8th International Conference, {UIC} 2011, Banff, Canada, September 2-4, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6905}, pages = {174--184}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23641-9\_16}, doi = {10.1007/978-3-642-23641-9\_16}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uic/KimJKLKKMYKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fgit/2011asea, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Haeng{-}Kon Kim and Heau{-}Jo Kang and Kyung Jung Kim and Kiumi Akingbehin and Byeong Ho Kang}, title = {Software Engineering, Business Continuity, and Education - International Conferences ASEA, {DRBC} and {EL} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {257}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27207-3}, doi = {10.1007/978-3-642-27207-3}, isbn = {978-3-642-27206-6}, timestamp = {Mon, 04 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgit/2011asea.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/KimJKS10, author = {Kyung{-}Hoe Kim and Kwang{-}Min Jeong and Chul{-}Hee Kang and Seung{-}Joon Seok}, title = {A transmission control {SCTP} for real-time multimedia streaming}, journal = {Comput. Networks}, volume = {54}, number = {9}, pages = {1418--1425}, year = {2010}, url = {https://doi.org/10.1016/j.comnet.2009.11.014}, doi = {10.1016/J.COMNET.2009.11.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/KimJKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/SeokYYKK10, author = {Seung{-}Joon Seok and Bongsoo You and Sung{-}Kwan Youm and Kyung{-}Hoe Kim and Chul{-}Hee Kang}, title = {A heuristic multi-path routing scheme for online traffic in {MPLS} networks}, journal = {Comput. Syst. Sci. Eng.}, volume = {25}, number = {1}, year = {2010}, timestamp = {Thu, 23 Aug 2012 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/SeokYYKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/SongPYHK10, author = {Min Sup Song and Yong{-}Kyu Park and Jae Joong Yun and Young{-}Ho Hwang and Bongkoo Kang}, title = {Distributed circuit model for cold cathode fluorescent lamps in back-light unit of liquid crystal display}, journal = {Displays}, volume = {31}, number = {2}, pages = {104--110}, year = {2010}, url = {https://doi.org/10.1016/j.displa.2010.02.006}, doi = {10.1016/J.DISPLA.2010.02.006}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/SongPYHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OhCKKSLYPLL10, author = {Jae Sub Oh and Kwang Il Choi and Young Su Kim and Min Ho Kang and Myeong Ho Song and Sung Kyu Lim and Dong Eun Yoo and Jeong Gyu Park and Hi Deok Lee and Ga Won Lee}, title = {SONOS-Type Flash Memory with HfO\({}_{\mbox{2}}\) Thinner than 4 nm as Trapping Layer Using Atomic Layer Deposition}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {590--595}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.590}, doi = {10.1587/TRANSELE.E93.C.590}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/OhCKKSLYPLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OhPPKM10, author = {Wonseok Oh and Kang{-}Yeob Park and Kyu Ho Park and Chang{-}Joon Kim and Jong{-}Kook Moon}, title = {Design and Implementation of 10-Gb/s Optical Receiver Analog Front-End in 0.13-{\(\mathrm{\mu}\)}m {CMOS} Technology}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {3}, pages = {393--398}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.393}, doi = {10.1587/TRANSELE.E93.C.393}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/OhPPKM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/ShinKSLLKK10, author = {Hanjun Shin and Ki Hoon Kim and Chihwan Song and Injoon Lee and Kyubum Lee and Jaewoo Kang and Yoon Kyoo Kang}, title = {Electrodiagnosis support system for localizing neural injury in an upper limb}, journal = {J. Am. Medical Informatics Assoc.}, volume = {17}, number = {3}, pages = {345--347}, year = {2010}, url = {https://doi.org/10.1136/jamia.2009.001594}, doi = {10.1136/JAMIA.2009.001594}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/ShinKSLLKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/JungKCKRSCKLC10, author = {Eunkyoung Jung and Junhyoung Kim and Seung{-}Hoon Choi and Minkyoung Kim and Hokyoung Rhee and Jae{-}Min Shin and Kihang Choi and Sang{-}Kee Kang and Nam{-}Kyung Lee and Yun{-}Jaie Choi}, title = {Artificial neural network study on organ-targeting peptides}, journal = {J. Comput. Aided Mol. Des.}, volume = {24}, number = {1}, pages = {49--56}, year = {2010}, url = {https://doi.org/10.1007/s10822-009-9313-0}, doi = {10.1007/S10822-009-9313-0}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/JungKCKRSCKLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/KangKL10, author = {Hyung{-}Min Kang and Kyu Hong Kim and Dong{-}Ho Lee}, title = {A new approach of a limiting process for multi-dimensional flows}, journal = {J. Comput. Phys.}, volume = {229}, number = {19}, pages = {7102--7128}, year = {2010}, url = {https://doi.org/10.1016/j.jcp.2010.06.001}, doi = {10.1016/J.JCP.2010.06.001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/KangKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/KangDHDK10, author = {Kyung{-}Kuk Kang and Claude R. Duguay and Stephen E. L. Howell and Christopher P. Derksen and Richard E. J. Kelly}, title = {Sensitivity of {AMSR-E} Brightness Temperatures to the Seasonal Evolution of Lake Ice Thickness}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {7}, number = {4}, pages = {751--755}, year = {2010}, url = {https://doi.org/10.1109/LGRS.2010.2044742}, doi = {10.1109/LGRS.2010.2044742}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/KangDHDK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimAHPK10, author = {SooKyun Kim and Syung{-}Og An and Min Hong and Doo{-}Soon Park and Shin{-}Jin Kang}, title = {Decimation of human face model for real-time animation in intelligent multimedia systems}, journal = {Multim. Tools Appl.}, volume = {47}, number = {1}, pages = {147--162}, year = {2010}, url = {https://doi.org/10.1007/s11042-009-0411-8}, doi = {10.1007/S11042-009-0411-8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimAHPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/LeeCKKK10, author = {Kyu{-}Ho Lee and Joon{-}Hyuk Chang and Nam Soo Kim and Sangki Kang and Yongserk Kim}, title = {Frequency-Domain Double-Talk Detection Based on the Gaussian Mixture Model}, journal = {{IEEE} Signal Process. Lett.}, volume = {17}, number = {5}, pages = {453--456}, year = {2010}, url = {https://doi.org/10.1109/LSP.2010.2043891}, doi = {10.1109/LSP.2010.2043891}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/LeeCKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimaging/HongKK10, author = {Jin{-}Kyung Hong and KiMin Kang and Sang Ho Kim}, editor = {Charles A. Bouman and Ilya Pollak and Patrick J. Wolfe}, title = {Adaptive removal of show-through artifacts by histogram analysis}, booktitle = {Computational Imaging VIII, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Jose, CA, USA, January 18-19, 2010, Proceedings}, series = {{SPIE} Proceedings}, volume = {7533}, pages = {75330}, publisher = {IS{\&}T/SPIE}, year = {2010}, url = {https://doi.org/10.1117/12.838723}, doi = {10.1117/12.838723}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cimaging/HongKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimLSYSLCC10, author = {Woo{-}Chan Kim and Kang{-}Hoon Lee and Kyung{-}Seop Shin and Ri{-}Na You and Hyeon{-}Seok Shin and Young{-}Kwan Lee and Kiho Cho and Dong{-}Ho Cho}, title = {Unbiased mining tool of repetitive elements and their arrangement structure for large size genomes}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {513--514}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674772}, doi = {10.1109/ICTC.2010.5674772}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimLSYSLCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KangKLCL10, author = {Moon{-}Kyung Kang and Kwang{-}Eun Kim and Hoonyol Lee and Seong{-}Jun Cho and Jae{-}Hee Lee}, title = {Preliminary result of polarization property analysis using fully polarimetric {GB-SAR} images}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2010, July 25-30, 2010, Honolulu, Hawaii, USA, Proceedings}, pages = {4019--4022}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IGARSS.2010.5650762}, doi = {10.1109/IGARSS.2010.5650762}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KangKLCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungHSNK10, author = {Chi{-}Sang Jung and Kyu Jeong Han and Hyunson Seo and Shrikanth S. Narayanan and Hong{-}Goo Kang}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {A variable frame length and rate algorithm based on the spectral kurtosis measure for speaker verification}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2754--2757}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-729}, doi = {10.21437/INTERSPEECH.2010-729}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungHSNK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SongLPKC10, author = {Ji{-}Hyun Song and Kyu{-}Ho Lee and Yun{-}Sik Park and Sang{-}Ick Kang and Joon{-}Hyuk Chang}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {On using Gaussian mixture model for double-talk detection in acoustic echo suppression}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2778--2781}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-735}, doi = {10.21437/INTERSPEECH.2010-735}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SongLPKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipcv/KangBKAS10, author = {Jee{-}Yoon Kang and Tae{-}Wuk Bae and Young{-}Choon Kim and Sang{-}Ho Ahn and Kyu{-}Ik Sohng}, editor = {Hamid R. Arabnia and Leonidas Deligiannidis and Gerald Schaefer and Ashu M. G. Solo}, title = {Target Extraction Using the Opening Filter with Adaptive Structure Element in Infrared Images}, booktitle = {Proceedings of the 2010 International Conference on Image Processing, Computer Vision, {\&} Pattern Recognition, {IPCV} 2010, July 12-15, 2010, Las Vegas, Nevada, USA, 2 Volumes}, pages = {846--852}, publisher = {{CSREA} Press}, year = {2010}, timestamp = {Wed, 08 Dec 2010 15:05:01 +0100}, biburl = {https://dblp.org/rec/conf/ipcv/KangBKAS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCBKCAPLWKCLCYL10, author = {Hyoung{-}Rae Kim and Yoon{-}Kyung Choi and San{-}Ho Byun and Sang{-}Woo Kim and Kwang{-}Ho Choi and Hae{-}Yong Ahn and Jong Kang Park and Dong{-}Yul Lee and Zhong{-}Yuan Wu and Hyung{-}Dal Kwon and Yong{-}Yeob Choi and Chang{-}Ju Lee and Hwa{-}Hyun Cho and Jae{-}Suk Yu and Myunghee Lee}, title = {A mobile-display-driver {IC} embedding a capacitive-touch-screen controller system}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {114--115}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5434080}, doi = {10.1109/ISSCC.2010.5434080}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCBKCAPLWKCLCYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/KangKYKSKKLKK10, author = {Byoung{-}Ho Kang and Do{-}Eok Kim and Se{-}Hyuk Yeom and Kyu{-}Jin Kim and Jun{-}Seon Seo and Jae{-}Hyun Kim and Seong{-}Ho Kong and Jung{-}Hee Lee and Dae{-}Hyuk Kwon and Shin{-}Won Kang}, title = {Fabrication of Organic/Inorganic {LED} device using nanocrystal quantum dots as active layer}, booktitle = {5th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2010, Xiamen, China, January 20-23, 2010}, pages = {832--835}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/NEMS.2010.5592227}, doi = {10.1109/NEMS.2010.5592227}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/KangKYKSKKLKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scsc/ChoJNKLLKL10, author = {Kyu Cheol Cho and Sung{-}Ho Jang and Chang Hyeon Noh and Tae{-}young Kim and Jong Sik Lee and Jae Min Lee and Taesup Kim and Kangsun Lee}, title = {Route reasoning-based mobility modeling and simulation for street fight using {DEVS}}, booktitle = {SummerSim '10 - 2010 Summer Simulation Multiconference, Ottawa, ON, Canada, July 11-14, 2010}, pages = {195--200}, publisher = {Society for Computer Simulation International / {ACM} {DL}}, year = {2010}, url = {http://portal.acm.org/citation.cfm?id=1999440\&CFID=29315481\&CFTOKEN=81718596}, timestamp = {Tue, 01 Apr 2014 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/scsc/ChoJNKLLKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/KimPKKKLCL10, author = {Sang{-}Ho Kim and Hyung{-}Min Park and Tae{-}Ho Kim and Jin{-}Ku Kang and Jin{-}Ho Kim and Jae{-}Youl Lee and Yoon{-}Kyung Choi and Myunghee Lee}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A 1.7Gbps DLL-based Clock Data Recovery in 0.35{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {84--87}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784641}, doi = {10.1109/SOCC.2010.5784641}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/KimPKKKLCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YooKKK10, author = {Jae{-}Wook Yoo and Tae{-}Ho Kim and Dong{-}Kyun Kim and Jin{-}Ku Kang}, editor = {Thomas B{\"{u}}chner and Ramalingam Sridhar and Andrew Marshall and Norbert Schuhmann}, title = {A {CMOS} 5.4/3.24Gbps dual-rate clock and data recovery design for DisplayPort v1.2}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2010, September 27-29, 2010, Las Vegas, NV, USA, Proceedings}, pages = {88--91}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/SOCC.2010.5784642}, doi = {10.1109/SOCC.2010.5784642}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/YooKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biosystems/EumKYKKPK09, author = {Nyeon{-}Sik Eum and Do{-}Eok Kim and Se{-}Hyuk Yeom and Byoung{-}Ho Kang and Kyu{-}Jin Kim and Chang{-}Sub Park and Shin{-}Won Kang}, title = {Variable wavelength surface plasmon resonance {(SPR)} in biosensing}, journal = {Biosyst.}, volume = {98}, number = {1}, pages = {51--55}, year = {2009}, url = {https://doi.org/10.1016/j.biosystems.2009.05.008}, doi = {10.1016/J.BIOSYSTEMS.2009.05.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biosystems/EumKYKKPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaESA/YunPKOP09, author = {Nam{-}Sik Yun and Yoon{-}Young Park and Hee{-}Kuk Kang and Moon{-}Kyun Oh and Hojoon Park}, editor = {Hamid R. Arabnia and Ashu M. G. Solo}, title = {ETTesto: The Integrated Development and Monitoring Environment for Embedded System based on Eclipse Platform}, booktitle = {Proceedings of the 2009 International Conference on Embedded Systems {\&} Applications, {ESA} 2009, July 13-16, 2009, Las Vegas Nevada, {USA}}, pages = {280--283}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Tue, 03 Nov 2009 11:09:08 +0100}, biburl = {https://dblp.org/rec/conf/csreaESA/YunPKOP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/ChuJCHLPLLKK09, author = {Baeksuk Chu and Kyungmo Jung and Youngsu Chu and Daehie Hong and Myo{-}Taeg Lim and Shinsuk Park and Yongkwun Lee and Sung{-}Uk Lee and Min Chul Kim and Kang Ho Ko}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {Robotic automation system for steel beam assembly in building construction}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {38--43}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4803937}, doi = {10.1109/ICARA.2000.4803937}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icara/ChuJCHLPLLKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KangLMKC09, author = {Bokyoung Kang and Seung Kyung Lee and Yeong{-}bin Min and Suk{-}Ho Kang and Nam Wook Cho}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and David Taniar and Youngsong Mun and Andr{\'{e}}s Iglesias}, title = {Real-time Process Quality Control for Business Activity Monitoring}, booktitle = {Selected Papers of the 2009 International Conference on Computational Science and Its Applications, {ICCSA} 2009, Yongin, Korea, June 29 - July 2, 2009}, pages = {237--242}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCSA.2009.15}, doi = {10.1109/ICCSA.2009.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/KangLMKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HongRKCKK09, author = {YouSik Hong and Su{-}Bong Ryou and Cheonshik Kim and Young Im Cho and Kyung{-}Sook Kim and Jeong Jin Kang}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak and Tae Nam Ahn and Chung{-}Huang Yang}, title = {Optimal green time calculation using artificial intelligence}, booktitle = {Proceedings of the 2009 International Conference on Hybrid Information Technology, {ICHIT} 2009, Daejeon, Korea, August 27-29, 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {321}, pages = {632--636}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1644993.1645110}, doi = {10.1145/1644993.1645110}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichit/HongRKCKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeePKK09, author = {Jong{-}In Lee and Sangheon Pack and Kyung{-}Hoe Kim and Chul{-}Hee Kang}, editor = {Yong{-}Jin Park and Yanghee Choi}, title = {Channel adaptive {ACK} mechanism in {IEEE} 802.15.3 wireless personal area networks}, booktitle = {2009 International Conference on Information Networking, {ICOIN} 2009, Chiang Mai, Thailand, January 21-24, 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/document/4897251/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/LeePKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/immerscom/LeeKHJ09, author = {Eun{-}Kyung Lee and Yun{-}Suk Kang and Yo{-}Sung Ho and Young{-}Kee Jung}, editor = {Ruzena Bajcsy and Chuck House}, title = {3-D video generation using hybrid camera system}, booktitle = {2nd International {ICST} Conference on Immersive Telecommunications, {IMMERSCOM} 2009, Berkeley, CA, USA, May 27-29, 2009}, pages = {5}, publisher = {{ICST/ACM}}, year = {2009}, url = {https://doi.org/10.4108/ICST.IMMERSCOM2009.6301}, doi = {10.4108/ICST.IMMERSCOM2009.6301}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/immerscom/LeeKHJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangLPJHWLLKKKBNKKCYK09, author = {Seung{-}Ho Chang and Sok{-}Kyu Lee and Seong{-}Je Park and Min{-}Joong Jung and Jung{-}Chul Han and In{-}Soo Wang and Kyu{-}Hee Lim and Jung{-}Hwan Lee and Ji{-}Hwan Kim and Won{-}Kyung Kang and Tai{-}Kyu Kang and Hee{-}Su Byun and Yujong Noh and Lee{-}Hyun Kwon and Bon{-}Kwang Koo and Myung Cho and Joong{-}Seob Yang and Yo{-}Hwan Koh}, title = {A 48nm 32Gb 8-level {NAND} flash memory with 5.5MB/s program throughput}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {240--241}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977397}, doi = {10.1109/ISSCC.2009.4977397}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangLPJHWLLKKKBNKKCYK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeongLLKLHKLKLPSLYKKAK09, author = {Bong Hwa Jeong and Jongwon Lee and Yin Jae Lee and Tae Jin Kang and Joo Hyeon Lee and Duck Hwa Hong and Jae Hoon Kim and Eun Ryeong Lee and Min Chang Kim and Kyung Ha Lee and Sang Il Park and Jong Ho Son and Sang Kwon Lee and Seong Nyuh Yoo and Sung Mook Kim and Tae Woo Kwon and Jin{-}Hong Ahn and Yong Tak Kim}, title = {A 1.35V 4.3GB/s 1Gb {LPDDR2} {DRAM} with controllable repeater and on-the-fly power-cut scheme for low-power and high-speed mobile application}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {132--133}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977343}, doi = {10.1109/ISSCC.2009.4977343}, timestamp = {Sat, 16 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JeongLLKLHKLKLPSLYKKAK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangCHALCAKKLJKKLKMJKCOLJYK09, author = {Uksong Kang and Hoeju Chung and Seongmoo Heo and Soon{-}Hong Ahn and Hoon Lee and Sooho Cha and Jaesung Ahn and Dukmin Kwon and Jin Ho Kim and Jaewook Lee and Han{-}Sung Joo and Woo{-}Seop Kim and Hyun{-}Kyung Kim and Eun{-}Mi Lee and So{-}Ra Kim and Keum{-}Hee Ma and Dong{-}Hyun Jang and Nam{-}Seog Kim and Man{-}Sik Choi and Sae{-}Jang Oh and Jung{-}Bae Lee and Tae{-}Kyung Jung and Jei{-}Hwan Yoo and Changhyun Kim}, title = {8Gb 3D {DDR3} {DRAM} using through-silicon-via technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {130--131}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977342}, doi = {10.1109/ISSCC.2009.4977342}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangCHALCAKKLJKKLKMJKCOLJYK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeKSPLK09, author = {Youngjoo Lee and Namkug Kim and Joon Beom Seo and Sang Ok Park and Young Kyung Lee and Suk{-}Ho Kang}, editor = {Nico Karssemeijer and Maryellen L. Giger}, title = {Improvement of computational efficiency using a cascade classification scheme for the classification of diffuse infiltrative lung disease on {HRCT}}, booktitle = {Medical Imaging 2009: Computer-Aided Diagnosis, Lake Buena Vista (Orlando Area), Florida, United States, 7-12 February 2009}, series = {{SPIE} Proceedings}, volume = {7260}, pages = {72603A}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.811439}, doi = {10.1117/12.811439}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/LeeKSPLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/persuasive/KimJLMSK09, author = {Yong{-}Joong Kim and Kyung Kwon Jung and Seon{-}Woo Lee and Gyu Moon and Dong{-}Sik Shin and Ho{-}Youl Kang}, editor = {Samir Chatterjee and Parvati Dev}, title = {Hallym Jikimi 3\({}^{\mbox{rd}}\) system: web-based monitoring for u-health care service}, booktitle = {Persuasive Technology, Fourth International Conference, {PERSUASIVE} 2009, Claremont, California, USA, April 26-29, 2009. Proceedings}, series = {{ACM} International Conference Proceeding Series}, volume = {350}, pages = {10}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1541948.1541962}, doi = {10.1145/1541948.1541962}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/persuasive/KimJLMSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/SeungKJPKP09, author = {Sungmin Seung and Byungjeon Kang and Hongmo Je and Jong{-}Oh Park and Kyunghwan Kim and Sukho Park}, title = {Tele-operation master-slave system for minimal invasive brain surgery}, booktitle = {{IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2009, December 19-13, 2009, Guilin, Guangxi, China}, pages = {177--182}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ROBIO.2009.5420619}, doi = {10.1109/ROBIO.2009.5420619}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/SeungKJPKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/KangLLL08, author = {Kyung Hwan Kang and Byung Ki Lee and Yoon Ho Lee and Young Hoon Lee}, title = {A heuristic for the vehicle routing problem with due times}, journal = {Comput. Ind. Eng.}, volume = {54}, number = {3}, pages = {421--431}, year = {2008}, url = {https://doi.org/10.1016/j.cie.2007.08.004}, doi = {10.1016/J.CIE.2007.08.004}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/KangLLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/LeeKL08a, author = {Byung Ki Lee and Kyung Hwan Kang and Young Hoon Lee}, title = {Decomposition heuristic to minimize total cost in a multi-level supply chain network}, journal = {Comput. Ind. Eng.}, volume = {54}, number = {4}, pages = {945--959}, year = {2008}, url = {https://doi.org/10.1016/j.cie.2007.11.005}, doi = {10.1016/J.CIE.2007.11.005}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candie/LeeKL08a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LimLBLSYLJPYKKL08, author = {Kyoung Moon Lim and KyungEon Lee and Myoung Kee Baek and Bu Yeol Lee and In{-}Hyuk Song and Jae{-}Sung Yu and Hye{-}Jin Lee and Kwang Ho Jang and Yong{-}In Park and Yong Su Yoo and HoChul Kang and Chang{-}Dong Kim and Deuk Su Lee and In{-}Byung Kang}, title = {A high resolution Poly-Si {TFT-LCD} employing analog sample and hold driver}, journal = {Displays}, volume = {29}, number = {5}, pages = {497--501}, year = {2008}, url = {https://doi.org/10.1016/j.displa.2008.04.005}, doi = {10.1016/J.DISPLA.2008.04.005}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LimLBLSYLJPYKKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/KimLK08, author = {Kyung{-}Tae Kim and Min{-}Ki Lee and Hong{-}Goo Kang}, title = {Speech Bandwidth Extension Using Temporal Envelope Modeling}, journal = {{IEEE} Signal Process. Lett.}, volume = {15}, pages = {429--432}, year = {2008}, url = {https://doi.org/10.1109/LSP.2008.922520}, doi = {10.1109/LSP.2008.922520}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/KimLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KangLC08, author = {Kyuchang Kang and Jeun Woo Lee and Hoon Choi}, title = {Using management markup language for remote control of OSGi-based home server}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {54}, number = {4}, pages = {2023--2028}, year = {2008}, url = {https://doi.org/10.1109/TCE.2008.4711268}, doi = {10.1109/TCE.2008.4711268}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KangLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/SeokKJKK08, author = {Seung{-}Joon Seok and Hyeong{-}Jun Kim and Kwang{-}Min Jung and Kyung{-}Hoe Kim and Chul{-}Hee Kang}, editor = {Yan Ma and Deokjai Choi and Shingo Ata}, title = {Dynamic Multi-stream Transport Protocol}, booktitle = {Challenges for Next Generation Network Operations and Service Management, 11th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2008, Beijing, China, October 22-24, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5297}, pages = {287--296}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-88623-5\_29}, doi = {10.1007/978-3-540-88623-5\_29}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/SeokKJKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/KangSPBKL08, author = {Dongwon Kang and In{-}Gwon Song and Seunghun Park and Doo{-}Hwan Bae and Hoon{-}Kyu Kim and Nobok Lee}, title = {A Case Retrieval Method for Knowledge-Based Software Process Tailoring Using Structural Similarity}, booktitle = {15th Asia-Pacific Software Engineering Conference {(APSEC} 2008), 3-5 December 2008, Beijing, China}, pages = {51--58}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/APSEC.2008.15}, doi = {10.1109/APSEC.2008.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsec/KangSPBKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/LeeLCNK08, author = {Young{-}Ho Lee and Kang{-}Dae Lee and Kyung{-}Yong Chung and Mi Young Nam and Un{-}Gu Kang}, title = {Sensor based Environment Adaptive Learning System for Person Authorization}, booktitle = {International Conference on Bio-Science and Bio-Technology, {BSBT} 2008, part of the Second International Conference on Future Generation Communication and Networking, {FGCN} 2008, Volume 3, Hainan Island, China, December 13-15, 2008}, pages = {100--103}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/FGCN.2008.228}, doi = {10.1109/FGCN.2008.228}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/LeeLCNK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/LeeHAKSK08, author = {Seung{-}Hoon Lee and Kwang{-}Kyu Han and Ho{-}Jin Ahn and Gyu{-}Hong Kang and Young{-}Dae Son and Gyu{-}Tak Kim}, title = {A Study on Reduction of Vibration Based on Decreased Cogging Torque for Interior Type Permanent Magnet Motor}, booktitle = {Industry Applications Society Annual Meeting, {IAS} 2008, Edmonton, Alberta, Canada, 5-9 October, 2008}, pages = {1--6}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/08IAS.2008.40}, doi = {10.1109/08IAS.2008.40}, timestamp = {Tue, 30 Jul 2024 10:36:49 +0200}, biburl = {https://dblp.org/rec/conf/iasam/LeeHAKSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KangLYY08, author = {Moon{-}Kyung Kang and Hoonyol Lee and Chan{-}Su Yang and Wang{-}Jung Yoon}, title = {Estimation of Ocean Current Velocity in Coastal Area Using Radarsat-1 {SAR} Images and HF-Radar Data}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2008, July 8-11, 2008, Boston, Massachusetts, USA, Proceedings}, pages = {413--416}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IGARSS.2008.4778882}, doi = {10.1109/IGARSS.2008.4778882}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KangLYY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Kwang{-}Il Park and Kyoung{-}Ho Kim and Dae{-}Hyun Chung and Jingook Kim and Si{-}Hong Kim and Min{-}Sang Park and Jae{-}Hyung Lee and Sam{-}Young Bang and Ho{-}Kyung Lee and In{-}Soo Park and Jae{-}Sung Kim and Dae{-}Hyun Kim and Hye{-}Ran Kim and Yong{-}Jae Shin and Cheol{-}Goo Park and Gil{-}Shin Moon and Ki{-}Woong Yeom and Kang{-}Young Kim and Jae{-}Young Lee and Hyang{-}Ja Yang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 60nm 6Gb/s/pin {GDDR5} Graphics {DRAM} with Multifaceted Clocking and ISI/SSN-Reduction Techniques}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {278--279}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523165}, doi = {10.1109/ISSCC.2008.4523165}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KimSSPLPLK08, author = {Namkug Kim and Joon Beom Seo and Yu Sub Sung and Bum{-}Woo Park and Youngjoo Lee and Seong Hoon Park and Young Kyung Lee and Suk{-}Ho Kang}, editor = {Joseph M. Reinhardt and Josien P. W. Pluim}, title = {Effect of various binning methods and {ROI} sizes on the accuracy of the automatic classification system for differentiation between diffuse infiltrative lung diseases on the basis of texture features at {HRCT}}, booktitle = {Medical Imaging 2008: Image Processing, San Diego, California, United States, 16-21 February 2008}, series = {{SPIE} Proceedings}, volume = {6914}, pages = {69143N}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.770149}, doi = {10.1117/12.770149}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/KimSSPLPLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/KohYSMK08, author = {Chungha Koh and Kang Jin Yoon and Kyung{-}Ho Sohn and Suji Moon and Young Yong Kim}, title = {Self-organized spatial reuse scheduling in multihop cellular systems}, booktitle = {Proceedings of the {IEEE} 19th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2008, 15-18 September 2008, Cannes, French Riviera, France}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/PIMRC.2008.4699597}, doi = {10.1109/PIMRC.2008.4699597}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/KohYSMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/MohaisenMKNLHH08, author = {Abedelaziz Mohaisen and YoungJae Maeng and Jeonil Kang and DaeHun Nyang and KyungHee Lee and Dowon Hong and Jong Wook Han}, editor = {Frode Eika Sandnes and Yan Zhang and Chunming Rong and Laurence Tianruo Yang and Jianhua Ma}, title = {Protection Techniques of Secret Information in Non-tamper Proof Devices of Smart Home Network}, booktitle = {Ubiquitous Intelligence and Computing, 5th International Conference, {UIC} 2008, Oslo, Norway, June 23-25, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5061}, pages = {548--562}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69293-5\_43}, doi = {10.1007/978-3-540-69293-5\_43}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/MohaisenMKNLHH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeongYHLLKJK07, author = {Hoesam Jeong and Byoung{-}Joo Yoo and Cheol Kyu Han and Sang{-}Yoon Lee and Kang{-}Yoon Lee and Suhwan Kim and Deog{-}Kyoon Jeong and Wonchan Kim}, title = {A 0.25-{\(\mathrm{\mu}\)}m {CMOS} 1.9-GHz {PHS} {RF} Transceiver With a 150-kHz Low-IF Architecture}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {6}, pages = {1318--1327}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.897132}, doi = {10.1109/JSSC.2007.897132}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeongYHLLKJK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangKKSKLKPKCYY07, author = {Jin{-}Seong Kang and Jin{-}Ho Kim and Seon{-}Yung Kim and Jun{-}Yong Song and Oh{-}Kyong Kwon and Yuen{-}Joong Lee and Byung{-}Hoon Kim and Chan{-}Woo Park and Kyoung{-}Soo Kwon and Won{-}Tae Choi and Sang{-}Kyeong Yun and Injae Yeo and Kyu{-}Bum Han and Taek{-}Soo Kim and Sang{-}il Park}, title = {10-bit Driver {IC} Using 3-bit {DAC} Embedded Operational Amplifier for Spatial Optical Modulators (SOMs)}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {12}, pages = {2913--2922}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.908690}, doi = {10.1109/JSSC.2007.908690}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangKKSKLKPKCYY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCKPJKPKCCK07, author = {Kyu{-}Hyoun Kim and Hoeju Chung and Woo{-}Seop Kim and Moon{-}Sook Park and Young{-}Chan Jang and Jinyoung Kim and Hwan{-}Wook Park and Uksong Kang and Paul W. Coteus and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8 Gb/s/pin 9.6 ns Row-Cycle 288 Mb Deca-Data Rate {SDRAM} With an {I/O} Error Detection Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {1}, pages = {193--200}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2006.888297}, doi = {10.1109/JSSC.2006.888297}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCKPJKPKCCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/JoLHLKK07, author = {Jun{-}Mo Jo and Suk{-}Jin Lee and Kyung{-}Dong Hong and Chun{-}Jai Lee and Oh{-}Han Kang and Sung Un Kim}, title = {Virtual source-based minimum interference path multicast routing in optical virtual private networks}, journal = {Photonic Netw. Commun.}, volume = {13}, number = {1}, pages = {19--30}, year = {2007}, url = {https://doi.org/10.1007/PL00022060}, doi = {10.1007/PL00022060}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/JoLHLKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alpit/LeeCCKKKHLY07, author = {Seok{-}Hyoung Lee and Sung{-}Pil Choi and Ho{-}Seop Choe and Nam{-}Kyu Kang and Han{-}Gi Kim and Kwang{-}Young Kim and Mi{-}Nyung Hwang and Wang{-}Woo Lee and Hwa{-}Mook Yoon}, title = {Implementation of the {XML} Based Listener for Information Retrieval {\&} Management System}, booktitle = {Proceedings of The Sixth International Conference on Advanced Language Processing and Web Information Technology, {ALPIT} 2007, Luoyang, Henan, China, 22-24 August 2007}, pages = {463--468}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ALPIT.2007.34}, doi = {10.1109/ALPIT.2007.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/alpit/LeeCCKKKHLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JungYSPKC07, author = {Hoyoung Jung and Kyunghoon Yoon and Hyoki Shim and Sungmin Park and Sooyong Kang and Jaehyuk Cha}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {{LIRS-WSR:} Integration of {LIRS} and Writes Sequence Reordering for Flash Memory}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4705}, pages = {224--237}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74472-6\_18}, doi = {10.1007/978-3-540-74472-6\_18}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JungYSPKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/ChoKCPK07, author = {Jae Hyung Cho and Hyun Soo Kim and Hyung Rim Choi and Nam Kyu Park and Moo Hong Kang}, editor = {Hiroshi G. Okuno and Moonis Ali}, title = {An Intermodal Transport Network Planning Algorithm Using Dynamic Programming}, booktitle = {New Trends in Applied Artificial Intelligence, 20th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2007, Kyoto, Japan, June 26-29, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4570}, pages = {1012--1021}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73325-6\_101}, doi = {10.1007/978-3-540-73325-6\_101}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/ChoKCPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KangLLPY07, author = {Moon{-}Kyung Kang and Hoonyol Lee and Moonjin Lee and Yong{-}Wook Park and Wang{-}Jung Yoon}, title = {The extraction of ocean wind, wave, and current parameters using {SAR} imagery}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2007, July 23-28, 2007, Barcelona, Spain, Proceedings}, pages = {507--510}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IGARSS.2007.4422842}, doi = {10.1109/IGARSS.2007.4422842}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KangLLPY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeKKY07, author = {Min{-}Ki Lee and Kyung{-}Tae Kim and Hong{-}Goo Kang and Dae Hee Youn}, title = {Speech quality estimation using packet loss effects in CELP-type speech coders}, booktitle = {8th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2007, Antwerp, Belgium, August 27-31, 2007}, pages = {1697--1700}, publisher = {{ISCA}}, year = {2007}, url = {https://doi.org/10.21437/Interspeech.2007-477}, doi = {10.21437/INTERSPEECH.2007-477}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeKKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKKSKLKPKCYYHKP07, author = {Jin{-}Seong Kang and Jin{-}Ho Kim and Seon{-}Yung Kim and Jun{-}Yong Song and Oh{-}Kyong Kwon and Yuen{-}Joong Lee and Byung{-}Hoon Kim and Chan{-}Woo Park and Kyoung{-}Soo Kwon and Won{-}Tae Choi and Sang{-}Kyeong Yun and Injae Yeo and Kyu{-}Bum Han and Taek{-}Soo Kim and Sang{-}il Park}, title = {A 10b Driver {IC} for a Spatial Optical Modulator for Full {HDTV} Applications}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {138--592}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373626}, doi = {10.1109/ISSCC.2007.373626}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKKSKLKPKCYYHKP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKKMCKKCK07, author = {Yong{-}Sung Park and Do{-}Youb Kim and Keum{-}Nam Kim and Yojiro Matsueda and Jong{-}Hyun Choi and Chul{-}Kyu Kang and Hye{-}Dong Kim and Ho Kyoon Chung and Oh{-}Kyong Kwon}, title = {An 8b Source Driver for 2.0 inch Full-Color Active-Matrix OLEDs Made with {LTPS} TFTs}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {130--592}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373622}, doi = {10.1109/ISSCC.2007.373622}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKKMCKKCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ChoiKKJ07, author = {Woo{-}Kyung Choi and Seong{-}Joo Kim and Tae{-}Gu Kang and Hong{-}Tae Jeon}, editor = {Bruno Apolloni and Robert J. Howlett and Lakhmi C. Jain}, title = {Study on Method of Route Choice Problem Based on User Preference}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 11th International Conference, {KES} 2007, {XVII} Italian Workshop on Neural Networks, Vietri sul Mare, Italy, September 12-14, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4694}, pages = {645--652}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74829-8\_79}, doi = {10.1007/978-3-540-74829-8\_79}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/ChoiKKJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kesamsta/KangOCK07, author = {Jung{-}Ha Kang and Hong{-}kyun Oh and Sung{-}Eon Cho and Eun{-}Gi Kim}, editor = {Ngoc Thanh Nguyen and Adam Grzech and Robert J. Howlett and Lakhmi C. Jain}, title = {Performance of Fast {TCP} in Multi-agent Systems}, booktitle = {Agent and Multi-Agent Systems: Technologies and Applications, First {KES} International Symposium, {KES-AMSTA} 2007, Wroclaw, Poland, May 31- June 1, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4496}, pages = {150--158}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72830-6\_16}, doi = {10.1007/978-3-540-72830-6\_16}, timestamp = {Thu, 16 Mar 2023 20:00:31 +0100}, biburl = {https://dblp.org/rec/conf/kesamsta/KangOCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kesamsta/KimHKKY07, author = {Hong{-}Gee Kim and Suk{-}hyung Hwang and Yu{-}Kyung Kang and Hak Lae Kim and Hae Sool Yang}, editor = {Ngoc Thanh Nguyen and Adam Grzech and Robert J. Howlett and Lakhmi C. Jain}, title = {An Agent Environment for Contextualizing Folksonomies in a Triadic Context}, booktitle = {Agent and Multi-Agent Systems: Technologies and Applications, First {KES} International Symposium, {KES-AMSTA} 2007, Wroclaw, Poland, May 31- June 1, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4496}, pages = {728--737}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72830-6\_76}, doi = {10.1007/978-3-540-72830-6\_76}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kesamsta/KimHKKY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/ChoSKLCYLLKK07, author = {Doo{-}Yeoun Cho and Myeong{-}Jo Son and Jung{-}Ho Kang and Sung{-}Jun Lee and Ju{-}Hwan Cha and Seong{-}Jin Yoo and Hyo{-}Kwang Lee and Kyu{-}Yeul Lee and Tae Wan Kim and Yong{-}Seog Ko}, editor = {Maurice J. Ades}, title = {Analysis of a submarine's evasive capability against an antisubmarine warfare torpedo using {DEVS} modeling and simulation}, booktitle = {Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 2}, pages = {307--315}, publisher = {{SCS/ACM}}, year = {2007}, url = {http://dl.acm.org/citation.cfm?id=1404680.1404728}, timestamp = {Mon, 10 Dec 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/springsim/ChoSKLCYLLKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LimLBLYKYPYKKKC06, author = {Kyoung Moon Lim and KyungEon Lee and Myoung Kee Baek and Bu Yeol Lee and Jin{-}Mo Yoon and Eugene Kim and Jae{-}Sung Yu and Yong{-}In Park and Yong Su Yoo and Young{-}Joo Kim and HoChul Kang and Chang{-}Dong Kim and In{-}Jae Chung}, title = {A 6.94-in. {WVGA} poly-Si {TFT-LCD} with integrated driver including sequential analog sampling circuits}, journal = {Displays}, volume = {27}, number = {4-5}, pages = {191--196}, year = {2006}, url = {https://doi.org/10.1016/j.displa.2006.08.001}, doi = {10.1016/J.DISPLA.2006.08.001}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LimLBLYKYPYKKKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KangCK06, author = {Sung Min Kang and Jae Hong Choi and Kyung Heon Koo}, title = {A Novel Dual Band Transmitter for Wireless {LAN} {IEEE} 802.11a/g Applications with Digital Predistortion Linearization}, journal = {{IEICE} Trans. Commun.}, volume = {89-B}, number = {7}, pages = {1987--1993}, year = {2006}, url = {https://doi.org/10.1093/ietcom/e89-b.7.1987}, doi = {10.1093/IETCOM/E89-B.7.1987}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KangCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KangRK06, author = {Ho Kyung Kang and Yong Man Ro and Sung{-}Min Kim}, title = {A Microcalcification Detection Using Adaptive Contrast Enhancement on Wavelet Transform and Neural Network}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {89-D}, number = {3}, pages = {1280--1287}, year = {2006}, url = {https://doi.org/10.1093/ietisy/e89-d.3.1280}, doi = {10.1093/IETISY/E89-D.3.1280}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KangRK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ParkKPKKH06, author = {Jeongho Park and Jihyung Kim and Myonghee Park and Kyunbyoung Ko and Changeon Kang and Daesik Hong}, title = {Performance analysis of channel estimation for {OFDM} systems with residual timing offset}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {5}, number = {7}, pages = {1622--1625}, year = {2006}, url = {https://doi.org/10.1109/TWC.2006.1673071}, doi = {10.1109/TWC.2006.1673071}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ParkKPKKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ChoiLKCSLP06, author = {Jieun Choi and Young{-}Ho Lee and Un{-}Gu Kang and Ga Eul Cha and Young Jun Seo and Kyung Jin Lee and Rae Woong Park}, title = {Retrospective Evaluation of the Prescribing Behavior of Residents with respect to Nephrotoxic Drugs}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-002-1.622268/f-001-1.622269/a-214-1.623101/a-215-1.623098}, timestamp = {Wed, 17 Apr 2024 11:48:16 +0200}, biburl = {https://dblp.org/rec/conf/amia/ChoiLKCSLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SingerMBHK06, author = {Gadi Singer and Philippe Magarshack and Dennis Buss and Fu{-}Chieh Hsu and Ho{-}Kyu Kang}, editor = {Ellen Sentovich}, title = {"The {IC} nanometer race -- what will it take to win?"}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {77--78}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1146933}, doi = {10.1145/1146909.1146933}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SingerMBHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/HwangLKAKKPK06, author = {Seokjoong Hwang and Joon Goo Lee and Seon Wook Kim and Sunshin An and Si{-}Gyung Koo and Jihun Koo and Kyung Ho Park and WooShik Kang}, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {A Multi-protocol Baseband Modem Processor for a Mobile {RFID} Reader}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, pages = {785--794}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167\_79}, doi = {10.1007/11802167\_79}, timestamp = {Sat, 04 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/HwangLKAKKPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/KangCPS06, author = {Kyungtae Kang and Yongwoo Cho and Hosang Park and Heonshik Shin}, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {Adaptive Error Recovery in cdma2000 1xEV-DO Mobile Broadcast Networks}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, pages = {1119--1128}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167\_112}, doi = {10.1007/11802167\_112}, timestamp = {Sun, 10 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/KangCPS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/NguyenHPLKK06, author = {Hoang Nam Nguyen and Daryoush Habibi and Viet Q. Phung and Stefan Lachowicz and Kungmeng Lo and Byung Kyu Kang}, title = {Joint Optimization in Capacity Design of Networks with p-Cycle Using the Fundamental Cycle Set}, booktitle = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GLOCOM.2006.428}, doi = {10.1109/GLOCOM.2006.428}, timestamp = {Fri, 21 Feb 2020 18:38:36 +0100}, biburl = {https://dblp.org/rec/conf/globecom/NguyenHPLKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/ChangKLLH06, author = {Ku{-}Young Chang and Ju{-}Sung Kang and Mun{-}Kyu Lee and Hangrok Lee and Dowon Hong}, editor = {Min Surp Rhee and Byoungcheon Lee}, title = {New Variant of the Self-Shrinking Generator and Its Cryptographic Properties}, booktitle = {Information Security and Cryptology - {ICISC} 2006, 9th International Conference, Busan, Korea, November 30 - December 1, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4296}, pages = {41--50}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11927587\_6}, doi = {10.1007/11927587\_6}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/icisc/ChangKLLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/AhnSKK06, author = {Dong{-}Chun Ahn and Seung{-}Joon Seok and Kyung{-}Hoe Kim and Chul{-}Hee Kang}, editor = {Ilyoung Chong and Kenji Kawahara}, title = {A Method to Alleviate Unfairness Between {HSTCP} Flows with Different {RTT}}, booktitle = {Information Networking, Advances in Data Communications and Wireless Networks, International Conference, {ICOIN} 2006, Sendai, Japan, January 16-19, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3961}, pages = {680--689}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11919568\_68}, doi = {10.1007/11919568\_68}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/AhnSKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icon/LoHRPNK06, author = {Kungmeng Lo and Daryoush Habibi and A. Rassan and Quoc Viet Phung and Hoang Nghia Nguyen and Byung Kyu Kang}, title = {A Hybrid p-Cycle Search Algorithm for Protection in {WDM} Mesh Networks}, booktitle = {14th {IEEE} International Conference on Networks, {ICON} 2006, Singapore, 13-15 September 2006}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICON.2006.302637}, doi = {10.1109/ICON.2006.302637}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icon/LoHRPNK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCPKJPLKSPKC06, author = {Kyu{-}Hyoun Kim and Uksong Kang and Hoeju Chung and Dukha Park and Woo{-}Seop Kim and Young{-}Chan Jang and Moon{-}Sook Park and Hoon Lee and Jinyoung Kim and Jung Sunwoo and Hwan{-}Wook Park and Hyun{-}Kyung Kim and Su{-}Jin Chung and Jae{-}Kwan Kim and Hyung{-}Seuk Kim and Kee{-}Won Kwon and Young{-}Taek Lee and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8Gb/s/pin 9.6ns Row-Cycle 288Mb Deca-Data Rate {SDRAM} with an {I/O} Error-Detection Scheme}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {527--536}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696089}, doi = {10.1109/ISSCC.2006.1696089}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCPKJPLKSPKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsec/KangISL06, author = {In Koo Kang and Dong{-}Hyuck Im and Young{-}Ho Suh and Heung{-}Kyu Lee}, editor = {Hiroshi Yoshiura and Kouichi Sakurai and Kai Rannenberg and Yuko Murayama and Shin{-}ichi Kawamura}, title = {Real-Time Watermark Embedding for High Resolution Video Watermarking}, booktitle = {Advances in Information and Computer Security, First International Workshop on Security, {IWSEC} 2006, Kyoto, Japan, October 23-24, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4266}, pages = {227--238}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11908739\_16}, doi = {10.1007/11908739\_16}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/iwsec/KangISL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsec/KangILS06, author = {In Koo Kang and Dong{-}Hyuck Im and Heung{-}Kyu Lee and Young{-}Ho Suh}, editor = {Sviatoslav Voloshynovskiy and Jana Dittmann and Jessica J. Fridrich}, title = {Implementation of real-time watermarking scheme for high-quality video}, booktitle = {Proceedings of the 8th workshop on Multimedia {\&} Security, MM{\&}Sec 2006, Geneva, Switzerland, September 26-27, 2006}, pages = {124--129}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1161366.1161388}, doi = {10.1145/1161366.1161388}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsec/KangILS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/KangKSK06, author = {Byung{-}Du Kang and Jong{-}Ho Kim and Chi{-}Young Seong and Sang{-}Kyun Kim}, editor = {Long{-}Wen Chang and Wen{-}Nung Lie}, title = {Effective Face Detection Using a Small Quantity of Training Data}, booktitle = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4319}, pages = {553--562}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949534\_55}, doi = {10.1007/11949534\_55}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/psivt/KangKSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/SeongKKK06, author = {Chi{-}Young Seong and Byung{-}Du Kang and Jong{-}Ho Kim and Sang{-}Kyun Kim}, editor = {Long{-}Wen Chang and Wen{-}Nung Lie}, title = {Effective Detector and Kalman Filter Based Robust Face Tracking System}, booktitle = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4319}, pages = {453--462}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949534\_45}, doi = {10.1007/11949534\_45}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/psivt/SeongKKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sera/ParkPKLBK06, author = {Kyung{-}Lang Park and Joo{-}Kyoung Park and Chang{-}Deok Kang and Hoon{-}Ki Lee and Eui{-}Hyun Baek and Shin{-}Dug Kim}, title = {{VPW:} An Effective Personal Space Model for Providing Ubiquitous}, booktitle = {Fourth International Conference on Software Engineering, Research, Management and Applications {(SERA} 2006), 9-11 August 2006, Seattle, Washington, {USA}}, pages = {428--435}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/SERA.2006.69}, doi = {10.1109/SERA.2006.69}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/ParkPKLBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucs/ParkKPLBK06, author = {Joo{-}Kyoung Park and Chang{-}Deok Kang and Kyung{-}Lang Park and Hoon{-}Ki Lee and Eui{-}Hyun Baek and Shin{-}Dug Kim}, editor = {Hee Yong Youn and Minkoo Kim and Hiroyuki Morikawa}, title = {A Service Conflict Resolution Algorithm Based on Virtual Personal World}, booktitle = {Ubiquitous Computing Systems, Third International Symposium, {UCS} 2006, Seoul, Korea, October 11-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4239}, pages = {225--238}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11890348\_18}, doi = {10.1007/11890348\_18}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/ucs/ParkKPLBK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/LeeMKCKK06, author = {Mun{-}Kyu Lee and Jung Ki Min and Seok Hun Kang and Sang{-}Hwa Chung and Howon Kim and Dong Kyue Kim}, editor = {Jae{-}Kwang Lee and Okyeon Yi and Moti Yung}, title = {Efficient Implementation of Pseudorandom Functions for Electronic Seal Protection Protocols}, booktitle = {Information Security Applications, 7th International Workshop, {WISA} 2006, Jeju Island, Korea, August 28-30, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4298}, pages = {173--186}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-71093-6\_14}, doi = {10.1007/978-3-540-71093-6\_14}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisa/LeeMKCKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/KwonKK05, author = {Sang{-}Ho Kwon and Hun{-}Tae Kim and Maing{-}Kyu Kang}, title = {Determination of the candidate arc set for the asymmetric traveling salesman problem}, journal = {Comput. Oper. Res.}, volume = {32}, pages = {1045--1057}, year = {2005}, url = {https://doi.org/10.1016/j.cor.2003.09.011}, doi = {10.1016/J.COR.2003.09.011}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/KwonKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/RyuKJY05, author = {Seung{-}Kyun Ryu and Hong{-}Goo Kang and Sung{-}Kyo Jung and Dae Hee Youn}, title = {Improving the Performance of the Minimum Statistics Noise Estimator for Single Channel Speech Enhancement}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {2}, pages = {582--585}, year = {2005}, url = {http://search.ieice.org/bin/summary.php?id=e88-a\_2\_582\&category=D\&year=2005\&lang=E\&abst=}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/RyuKJY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LeeLKKLHCH05, author = {Kyoung Ho Lee and Hak Jong Lee and Jae Hyoung Kim and Heung Sik Kang and Kyung Won Lee and Helen Hong and Ho Jun Chin and Kyoo Seob Ha}, title = {Managing the {CT} Data Explosion: Initial Experiences of Archiving Volumetric Datasets in a Mini-PACS}, journal = {J. Digit. Imaging}, volume = {18}, number = {3}, pages = {188--195}, year = {2005}, url = {https://doi.org/10.1007/s10278-005-5163-z}, doi = {10.1007/S10278-005-5163-Z}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/LeeLKKLHCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoCCOKKKKKBHAK05, author = {Woo Yeong Cho and Beak{-}Hyung Cho and Byung{-}Gil Choi and Hyung{-}Rok Oh and Sangbeom Kang and Ki{-}Sung Kim and Kyung{-}Hee Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Youngnam Hwang and SuJin Ahn and Gwan{-}Hyeob Koh and Gitae Jeong and Hongsik Jeong and Kinam Kim}, title = {A 0.18-{\(\mu\)}m 3.0-V 64-Mb nonvolatile phase-transition random access memory {(PRAM)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {293--300}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.837974}, doi = {10.1109/JSSC.2004.837974}, timestamp = {Mon, 14 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoCCOKKKKKBHAK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/JungKPK05, author = {Sung{-}Kyo Jung and Kyung{-}Tae Kim and Young{-}Cheol Park and Hong{-}Goo Kang}, title = {A fast adaptive-codebook search algorithm for {G.723.1} speech coder}, journal = {{IEEE} Signal Process. Lett.}, volume = {12}, number = {1}, pages = {75--78}, year = {2005}, url = {https://doi.org/10.1109/LSP.2004.838210}, doi = {10.1109/LSP.2004.838210}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/JungKPK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LeeOPKR05, author = {Dong{-}Kyu Lee and Joo{-}Yong Oh and Jun Ho Park and Soon{-}Ju Kang and Kee{-}Wook Rim}, title = {Middleware for isochronous connection management in {IEEE1394-IEC61883} based multimedia home network}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {51}, number = {1}, pages = {307--313}, year = {2005}, url = {https://doi.org/10.1109/TCE.2005.1405737}, doi = {10.1109/TCE.2005.1405737}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/LeeOPKR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/MinLLKOJYKCCCK05, author = {ByungJun Min and Kang{-}Woon Lee and Han{-}Ju Lee and So{-}Ra Kim and Seung{-}Gyu Oh and Byung{-}Gil Jeon and Hee{-}Hyun Yang and Min{-}Kyu Kim and Sung{-}Hee Cho and Honsik Cheong and Chilhee Chung and Kinam Kim}, title = {An embedded nonvolatile {FRAM} with electrical fuse repair scheme and one time programming scheme for high performance smart cards}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {255--258}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CICC.2005.1568655}, doi = {10.1109/CICC.2005.1568655}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/MinLLKOJYKCCCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisst/KangKR05, author = {Ho Kyung Kang and Sung{-}Min Kim and Yong Man Ro}, editor = {Hamid R. Arabnia}, title = {Microcalcification Detection System for Computer Aided Diagnosis}, booktitle = {Proceedings of The 2005 International Conference on Imaging Science, Systems, and Technology: Computer Graphics, {CISST} 2005, Las Vegas, Nevada, USA, June 27-30, 2005}, pages = {237--243}, publisher = {{CSREA} Press}, year = {2005}, timestamp = {Tue, 07 Feb 2006 13:32:00 +0100}, biburl = {https://dblp.org/rec/conf/cisst/KangKR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscl/SuhKMJ05, author = {Heejeon Suh and Myunghee Kang and Kyungae Moon and Howook Jang}, editor = {Tak{-}Wai Chan}, title = {Identifying peer interaction patterns and related variables in community-based learning}, booktitle = {The Next 10 Years! Proceedings of the 2005 Conference on Computer Support for Collaborative Learning, {CSCL} '05, Taipei, Taiwan, May 30 - June 4, 2005}, pages = {657--661}, publisher = {International Society of the Learning Sciences}, year = {2005}, url = {https://repository.isls.org/handle/1/3747}, timestamp = {Wed, 28 Apr 2021 17:11:51 +0200}, biburl = {https://dblp.org/rec/conf/cscl/SuhKMJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimPKKY05, author = {Hongseok Kim and Hanchoon Park and Dae Kyung Kang and Chongahn Kim and Gun Il Yoo}, title = {Sliding cycle time-based {MAC} protocol for service level agreeable Ethernet passive optical networks}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2005, Seoul, Korea, 16-20 May 2005}, pages = {1848--1852}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICC.2005.1494660}, doi = {10.1109/ICC.2005.1494660}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimPKKY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KangLL05, author = {Kyung Hwan Kang and Young Hoon Lee and Byung Ki Lee}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {An Exact Algorithm for Multi Depot and Multi Period Vehicle Scheduling Problem}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3483}, pages = {350--359}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424925\_38}, doi = {10.1007/11424925\_38}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KangLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimLLPKK05, author = {Jong{-}Ho Kim and Jeong{-}Il Lee and Hyo Jik Lee and Yon{-}Kyu Park and Min{-}Seok Kim and Dae{-}Im Kang}, title = {Design of Flexible Tactile Sensor Based on Three-Component Force and Its Fabrication}, booktitle = {Proceedings of the 2005 {IEEE} International Conference on Robotics and Automation, {ICRA} 2005, April 18-22, 2005, Barcelona, Spain}, pages = {2578--2581}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ROBOT.2005.1570501}, doi = {10.1109/ROBOT.2005.1570501}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimLLPKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/LeeKLS05, author = {Hae{-}Yeoun Lee and In Koo Kang and Heung{-}Kyu Lee and Young{-}Ho Suh}, editor = {Mauro Barni and Ingemar J. Cox and Ton Kalker and Hyoung Joong Kim}, title = {Evaluation of Feature Extraction Techniques for Robust Watermarking}, booktitle = {Digital Watermarking, 4th International Workshop, {IWDW} 2005, Siena, Italy, September 15-17, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3710}, pages = {418--431}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11551492\_32}, doi = {10.1007/11551492\_32}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/LeeKLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iandc/KangHWC04, author = {Ji{-}Hoon Kang and Ki{-}Hyung Hong and Kyu{-}Young Whang and Jung Wan Cho}, title = {Generalization of ZYT-linearizability for bilinear datalog programs}, journal = {Inf. Comput.}, volume = {188}, number = {1}, pages = {77--98}, year = {2004}, url = {https://doi.org/10.1016/S0890-5401(03)00172-X}, doi = {10.1016/S0890-5401(03)00172-X}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iandc/KangHWC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChoiLKPY04, author = {Jin{-}Kyu Choi and Chang{-}Heon Lee and Hong{-}Goo Kang and Young{-}Cheol Park and Dae Hee Youn}, title = {Improvement issues on transcoding algorithms: for the flexible usage to the various pairs of speech codec}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {269--272}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1325974}, doi = {10.1109/ICASSP.2004.1325974}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChoiLKPY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/JungKK04, author = {Sung{-}Kyo Jung and Kyung{-}Tae Kim and Hong{-}Goo Kang}, title = {A bit-rate/bandwidth scalable speech coder based on {ITU-T} {G.723.1} standard}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {285--288}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1325978}, doi = {10.1109/ICASSP.2004.1325978}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/JungKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/KangKTRK04, author = {Ho Kyung Kang and Sung{-}Min Kim and Nguyen N. Thanh and Yong Man Ro and Wonha Kim}, editor = {Marian Bubak and G. Dick van Albada and Peter M. A. Sloot and Jack J. Dongarra}, title = {Adaptive Microcalcification Detection in Computer Aided Diagnosis}, booktitle = {Computational Science - {ICCS} 2004, 4th International Conference, Krak{\'{o}}w, Poland, June 6-9, 2004, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3039}, pages = {1110--1117}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-25944-2\_144}, doi = {10.1007/978-3-540-25944-2\_144}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/KangKTRK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KangTKR04, author = {Ho Kyung Kang and Nguyen N. Thanh and Sung{-}Min Kim and Yong Man Ro}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Robust Contrast Enhancement for Microcalcification in Mammography}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3045}, pages = {602--610}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24767-8\_63}, doi = {10.1007/978-3-540-24767-8\_63}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KangTKR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeKC04b, author = {Ju{-}Hyun Lee and Sungkwon Kang and Hoo{-}Kyun Choi}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {A Fast Construction Algorithm for the Incidence Matrices of a Class of Symmetric Balanced Incomplete Block Designs}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3046}, pages = {11--19}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24768-5\_2}, doi = {10.1007/978-3-540-24768-5\_2}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeKC04b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KangKL04, author = {Kyungran Kang and Sunghoon Kim and Dongman Lee}, editor = {Hyun{-}Kook Kahng}, title = {An Analysis of the End System Heterogeneity in Many-to-Many Application Layer Multicast}, booktitle = {Information Networking, Networking Technologies for Broadband and Mobile Networks, International Conference {ICOIN} 2004, Busan, Korea, February 18-20, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3090}, pages = {1025--1034}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-25978-7\_103}, doi = {10.1007/978-3-540-25978-7\_103}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KangKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KangOCCN04, author = {You Sung Kang and KyungHee Oh and Byung{-}Ho Chung and Kyoil Chung and DaeHun Nyang}, editor = {Hyun{-}Kook Kahng}, title = {Analysis and Countermeasure on Vulnerability of {WPA} Key Exchange Mechanism}, booktitle = {Information Networking, Networking Technologies for Broadband and Mobile Networks, International Conference {ICOIN} 2004, Busan, Korea, February 18-20, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3090}, pages = {915--924}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-25978-7\_92}, doi = {10.1007/978-3-540-25978-7\_92}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KangOCCN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KimJLKY04, author = {Kyung{-}Tae Kim and Sung{-}Kyo Jung and MiSuk Lee and Hong{-}Goo Kang and Dae Hee Youn}, title = {Temporal normalization techniques for transform-type speech coding and application to split-band wideband coders}, booktitle = {8th International Conference on Spoken Language Processing, {INTERSPEECH-ICSLP} 2004, Jeju Island, Korea, October 4-8, 2004}, pages = {2661--2664}, publisher = {{ISCA}}, year = {2004}, url = {https://doi.org/10.21437/Interspeech.2004-707}, doi = {10.21437/INTERSPEECH.2004-707}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KimJLKY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KangJBLL04, author = {Hae{-}Yong Kang and Kyung{-}Ah Jeong and Jung{-}Yang Bae and Young{-}Su Lee and Seung{-}Ho Lee}, title = {{MPEG4} {AVC/H.264} decoder with scalable bus architecture and dual memory controller}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {145--148}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KangJBLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/XueKKL03, author = {Ying Xue and Chun Ho Kang and Chan Kyung Kim and Ikchoon Lee}, title = {Theoretical studies on the gas-phase pyrolysis of 2-phenoxycarboxylic acids: An {ONIOM} approach}, journal = {J. Comput. Chem.}, volume = {24}, number = {8}, pages = {963--972}, year = {2003}, url = {https://doi.org/10.1002/jcc.10265}, doi = {10.1002/JCC.10265}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/XueKKL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/KwonGK03, author = {Sang{-}Ho Kwon and Young{-}Gun G and Maing{-}Kyu Kang}, title = {Application of the out-of-kilter algorithm to the asymmetric traveling salesman problem}, journal = {J. Oper. Res. Soc.}, volume = {54}, number = {10}, pages = {1085--1092}, year = {2003}, url = {https://doi.org/10.1057/palgrave.jors.2601614}, doi = {10.1057/PALGRAVE.JORS.2601614}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/KwonGK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimKOKH03, author = {Taeyoung Kim and Kyunbyoung Ko and Sunghwan Ong and Changeon Kang and Daesik Hong}, title = {Performance enhancement of 1{\texttimes}EV/DV {MIMO} systems in frequency selective fading channels}, booktitle = {Proceedings of the Global Telecommunications Conference, 2003. {GLOBECOM} '03, San Francisco, CA, USA, 1-5 December 2003}, pages = {1103--1107}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/GLOCOM.2003.1258409}, doi = {10.1109/GLOCOM.2003.1258409}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimKOKH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YukKKHK03, author = {Young{-}Soo Yuk and Kyunbyoung Ko and Taeyoung Kim and Daesik Hong and Changeon Kang}, title = {An iterative decoded {V-BLAST} system using maximum a posteriori criterion}, booktitle = {Proceedings of the Global Telecommunications Conference, 2003. {GLOBECOM} '03, San Francisco, CA, USA, 1-5 December 2003}, pages = {1099--1102}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/GLOCOM.2003.1258408}, doi = {10.1109/GLOCOM.2003.1258408}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/YukKKHK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KangYJ03, author = {Chi{-}Won Kang and Hyun Yoo and Hoe Kyung Jung}, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {Mobile Broadcasting Copyrights Model Based on XrML}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2668}, pages = {41--49}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44843-8\_5}, doi = {10.1007/3-540-44843-8\_5}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KangYJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YoonCKY03, author = {Sung{-}Wan Yoon and Jin{-}Kyu Choi and Hong{-}Goo Kang and Dae Hee Youn}, title = {Transcoding algorithm for g.723.1 and {AMR} speech coders: for interoperability between voIP and mobile networks}, booktitle = {8th European Conference on Speech Communication and Technology, {EUROSPEECH} 2003 - {INTERSPEECH} 2003, Geneva, Switzerland, September 1-4, 2003}, pages = {1101--1104}, publisher = {{ISCA}}, year = {2003}, url = {https://doi.org/10.21437/Eurospeech.2003-362}, doi = {10.21437/EUROSPEECH.2003-362}, timestamp = {Thu, 22 Jun 2023 16:42:17 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YoonCKY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKICSHKPSCYCK03, author = {Inho Lee and Joung{-}Youn Kim and Yeon{-}Ho Im and Yunseok Choi and Hyunchul Shin and Chang{-}Young Han and Donghyun Kim and Hyoungjoon Park and Young{-}Il Seo and Kyusik Chung and Chang{-}Hyo Yu and Kanghyup Chun and Lee{-}Sup Kim}, title = {A hardware-like high-level language based environment for 3D graphics architecture exploration}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {512--515}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206023}, doi = {10.1109/ISCAS.2003.1206023}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKICSHKPSCYCK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/JungKR03, author = {Yong Ju Jung and Ho Kyung Kang and Yong Man Ro}, editor = {Ton Kalker and Ingemar J. Cox and Yong Man Ro}, title = {Metadata Hiding for Content Adaptation}, booktitle = {Digital Watermarking, Second International Workshop, {IWDW} 2003, Seoul, Korea, October 20-22, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2939}, pages = {435--446}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24624-4\_34}, doi = {10.1007/978-3-540-24624-4\_34}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/JungKR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/KimWKKLK02, author = {Chang Kon Kim and Hongok Won and Hoon Sik Kim and Yong Soo Kang and Hong Guang Li and Chan Kyung Kim}, title = {Density functional theory studies on the dissociation energies of metallic salts: Relationship between lattice and dissociation energies}, journal = {J. Comput. Chem.}, volume = {23}, number = {5}, pages = {584}, year = {2002}, url = {https://doi.org/10.1002/jcc.1172}, doi = {10.1002/JCC.1172}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/KimWKKLK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/NamKS02, author = {Sae Kyu Nam and Ho Shik Kang and Oh Seop Song}, title = {Fuzzy H\({}_{\mbox{{\(\infty\)}}}\) output feedback control for rotor magnetic bearing system}, booktitle = {Proceedings of the 2002 {IEEE} International Conference on Fuzzy Systems, FUZZ-IEEE'02, Honolulu, Hawaii, USA, May 12 - 17, 2002}, pages = {455--459}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/FUZZ.2002.1005033}, doi = {10.1109/FUZZ.2002.1005033}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/NamKS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KoKCKH02, author = {Kyunbyoung Ko and Taeyoung Kim and Sooyong Choi and Changeon Kang and Daesik Hong}, title = {A novel RBF-based detector for {MIMO} systems over rich-scattering fading channels}, booktitle = {Proceedings of the Global Telecommunications Conference, 2002. {GLOBECOM} '02, Taipei, Taiwan, 17-21 November, 2002}, pages = {434--438}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/GLOCOM.2002.1188116}, doi = {10.1109/GLOCOM.2002.1188116}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KoKCKH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/LeeMPL02, author = {Kangchan Lee and Jae Hong Min and Kishik Park and Kyuchul Lee}, title = {A Design and Implementation of XML-Based Mediation Framework {(XMF)} for Integration of Internet Information Resources}, booktitle = {35th Hawaii International Conference on System Sciences {(HICSS-35} 2002), {CD-ROM} / Abstracts Proceedings, 7-10 January 2002, Big Island, HI, {USA}}, pages = {202}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HICSS.2002.994211}, doi = {10.1109/HICSS.2002.994211}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/LeeMPL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KangCCL02, author = {Hyun Joo Kang and Seong Gon Choi and Jun Kyun Choi and Kyou{-}Ho Lee}, editor = {Ilyoung Chong}, title = {A Look-Ahead Algorithm for Fast Fault Recovery in {MPLS} Network Using {GSMP} Open Signaling Architecture}, booktitle = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2343}, pages = {75--83}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45803-4\_7}, doi = {10.1007/3-540-45803-4\_7}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KangCCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/JinKKR02, author = {Sung Ho Jin and Cheon Seog Kim and Ho Kyung Kang and Yong Man Ro}, editor = {Edward R. Dougherty and Jaakko Astola and Karen O. Egiazarian}, title = {Generation of {MPEG-7} descriptor in compressed domain}, booktitle = {Image Processing: Algorithms and Systems, San Jose, California, USA, January 19, 2002}, series = {{SPIE} Proceedings}, volume = {4667}, pages = {160--169}, publisher = {{SPIE}}, year = {2002}, url = {https://doi.org/10.1117/12.467978}, doi = {10.1117/12.467978}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipas/JinKKR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KoCJKKH02, author = {Kyunbyoung Ko and YoungBo Cho and Incheol Jeong and Dong Seung Kwon and Changeon Kang and Daesik Hong}, title = {A novel {IC} scheme using {IC-DD} interpolation channel estimator in {UTRA} {TDD} mode}, booktitle = {Proceedings of the 55th {IEEE} Vehicular Technology Conference, {VTC} Spring 2002, May 6-9, 2002, Birmingham, Alabama, {USA}}, pages = {718--722}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/VTC.2002.1002580}, doi = {10.1109/VTC.2002.1002580}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KoCJKKH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/KimWKKLK01, author = {Chang Kon Kim and Jongok Won and Hoon Sik Kim and Yong Soo Kang and Hong Guang Li and Chan Kyung Kim}, title = {Density functional theory studies on the dissociation energies of metallic salts: relationship between lattice and dissociation energies}, journal = {J. Comput. Chem.}, volume = {22}, number = {8}, pages = {827--834}, year = {2001}, url = {https://doi.org/10.1002/jcc.1048}, doi = {10.1002/JCC.1048}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/KimWKKLK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/KoCKH01, author = {Kyunbyoung Ko and Sooyong Choi and Changeon Kang and Daesik Hong}, title = {{RBF} multiuser detector with channel estimation capability in a synchronous {MC-CDMA} system}, journal = {{IEEE} Trans. Neural Networks}, volume = {12}, number = {6}, pages = {1536--1539}, year = {2001}, url = {https://doi.org/10.1109/72.963794}, doi = {10.1109/72.963794}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/KoCKH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KimLK01, author = {Hong{-}Sik Kim and Jin{-}kyue Lee and Sungho Kang}, title = {A Heuristic for Multiple Weight Set Generation}, booktitle = {19th International Conference on Computer Design {(ICCD} 2001), {VLSI} in Computers and Processors, 23-26 September 2001, Austin, TX, USA, Proceedings}, pages = {513--514}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICCD.2001.955080}, doi = {10.1109/ICCD.2001.955080}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KimLK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KimLK01, author = {Hong{-}Sik Kim and Jin{-}kyue Lee and Sungho Kang}, title = {A new multiple weight set calculation algorithm}, booktitle = {Proceedings {IEEE} International Test Conference 2001, Baltimore, MD, USA, 30 October - 1 November 2001}, pages = {878--884}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/TEST.2001.966710}, doi = {10.1109/TEST.2001.966710}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KimLK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/RaKKYKPKKKJC0M01, author = {Jong Beom Ra and Sungmin Kwon and Jin Kook Kim and Jaeyoun Yi and Keun Ho Kim and Hyun Wook Park and Ki{-}Uk Kyung and Dong{-}Soo Kwon and Heung Sik Kang and Lei Jiang and Kevin Robert Cleary and Jianchao Zeng and Seong Ki Mun}, editor = {Seong Ki Mun}, title = {Visually guided spine biopsy simulator with force feedback}, booktitle = {Medical Imaging 2001: Visualization, Display, and Image-Guided Procedures, San Diego, CA, United States, 17-22 February 2001}, series = {{SPIE} Proceedings}, volume = {4319}, publisher = {{SPIE}}, year = {2001}, url = {https://doi.org/10.1117/12.428072}, doi = {10.1117/12.428072}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/RaKKYKPKKKJC0M01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sswmc/JungKR01, author = {Yong Ju Jung and Ho Kyung Kang and Yong Man Ro}, editor = {Ping Wah Wong and Edward J. Delp III}, title = {Novel watermark embedding technique based on human visual system}, booktitle = {Security and Watermarking of Multimedia Contents III, San Jose, CA, USA, January 20, 2001}, series = {{SPIE} Proceedings}, volume = {4314}, pages = {475--482}, publisher = {{SPIE}}, year = {2001}, url = {https://doi.org/10.1117/12.435431}, doi = {10.1117/12.435431}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sswmc/JungKR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sswmc/KangJR01, author = {Ho Kyung Kang and Yong Ju Jung and Yong Man Ro}, editor = {Ping Wah Wong and Edward J. Delp III}, title = {Content-based watermarking technique using {MPEG-7} descriptor}, booktitle = {Security and Watermarking of Multimedia Contents III, San Jose, CA, USA, January 20, 2001}, series = {{SPIE} Proceedings}, volume = {4314}, pages = {436--446}, publisher = {{SPIE}}, year = {2001}, url = {https://doi.org/10.1117/12.435427}, doi = {10.1117/12.435427}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sswmc/KangJR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/RhoCKHK01, author = {Jaeho Rho and Sooyong Choi and Kyunbyoung Ko and Daesik Hong and Changeon Kang}, title = {A new suboptimal multiuser detector based on orthogonal polynomial approximation}, booktitle = {Proceedings of the 54th {IEEE} Vehicular Technology Conference, {VTC} Fall 2001, 7-11 October 2001, Atlantic City, New Jersey, {USA}}, pages = {863--867}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/VTC.2001.956895}, doi = {10.1109/VTC.2001.956895}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/RhoCKHK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/internet/KimKKBJ00, author = {Yeongho Kim and Suk{-}Ho Kang and Dongsoo Kim and Joonsoo Bae and Kyung{-}Joon Ju}, title = {{WW-FLOW:} Web-Based Workflow Management with Runtime Encapsulation}, journal = {{IEEE} Internet Comput.}, volume = {4}, number = {3}, pages = {55--64}, year = {2000}, url = {https://doi.org/10.1109/4236.845391}, doi = {10.1109/4236.845391}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/internet/KimKKBJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/NamLKIKKK00, author = {Sang{-}Joon Nam and Jun{-}Hee Lee and Byoung{-}Woon Kim and Yeon{-}Ho Im and Young{-}Su Kwon and Kyong{-}Gu Kang and Chong{-}Min Kyung}, title = {Fast development of source-level debugging system using hardware emulation (short paper)}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {401--404}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368706}, doi = {10.1145/368434.368706}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/NamLKIKKK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimKPKCKH00, author = {Taeyoung Kim and Younsun Kim and Joonhyun Park and Kyunbyoung Ko and Sooyong Choi and Changeon Kang and Daesik Hong}, title = {Performance of an {MC-CDMA} System with Frequency Offsets in Correlated Fading}, booktitle = {2000 {IEEE} International Conference on Communications: Global Convergence Through Communications, {ICC} 2000, New Orleans, LA, USA, June 18-22, 2000}, pages = {1095--1099}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICC.2000.853667}, doi = {10.1109/ICC.2000.853667}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimKPKCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/NamKIKK99, author = {Sang{-}Joon Nam and Young{-}Su Kwon and Yeon{-}Ho Im and Kyung{-}Ku Kang and Chong{-}Min Kyung}, title = {{DIVA:} dual-issue {VLIW} architecture with media instructions for image processing}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {45}, number = {1}, pages = {192--202}, year = {1999}, url = {https://doi.org/10.1109/30.754436}, doi = {10.1109/30.754436}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/NamKIKK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/HanKKYKC98, author = {Kyuseo Han and Hongki Kim and Byungho Kang and Changrak Yoon and Jinseo Kim and Maengsub Cho}, title = {Characterizing the desktop color printer with polynomial regression}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 1998, Hyatt Regency La Jolla, San Diego, California, USA, October 11-14,1998}, pages = {4369--4372}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICSMC.1998.727535}, doi = {10.1109/ICSMC.1998.727535}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/HanKKYKC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KimKYCHK98, author = {Jin{-}Seo Kim and Byoung{-}Ho Kang and Chang{-}Rack Yoon and Maeng{-}Sub Cho and Kyu{-}Seo Han and Hong{-}Kee Kim}, title = {Development of color management system prototype}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 1998, Hyatt Regency La Jolla, San Diego, California, USA, October 11-14,1998}, pages = {2529--2532}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/ICSMC.1998.725038}, doi = {10.1109/ICSMC.1998.725038}, timestamp = {Thu, 07 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/KimKYCHK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KyungPHSKLCMKKPK97, author = {Chong{-}Min Kyung and In{-}Cheol Park and Se{-}Kyoung Hong and K. S. Seong and B. S. Kong and Seungjong Lee and Hoon Choi and S. R. Maeng and D. T. Kim and Jong{-}Sun Kim and S. H. Park and Y. J. Kang}, title = {{HK386:} an x86-compatible 32-bit {CISC} microprocessor}, booktitle = {Proceedings of the {ASP-DAC} '97 Asia and South Pacific Design Automation Conference, Nippon Convention Center, Chiba, Japan, January 28-31, 1997}, pages = {661--662}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ASPDAC.1997.600351}, doi = {10.1109/ASPDAC.1997.600351}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KyungPHSKLCMKKPK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccbr/LeeKRL97, author = {Dongkon Lee and Jaeho Kang and Kwang Ryel Ryu and Kyung{-}Ho Lee}, editor = {David B. Leake and Enric Plaza}, title = {Applying Memory-Based Learning to Indexing of Reference Ships for Case-Based Conceptual Ship Design}, booktitle = {Case-Based Reasoning Research and Development, Second International Conference, ICCBR-97, Providence, Rhode Island, USA, July 25-27, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1266}, pages = {74--83}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-63233-6\_480}, doi = {10.1007/3-540-63233-6\_480}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/iccbr/LeeKRL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCSSKLKPLPPK96, author = {Tae{-}Sung Jung and Young{-}Joon Choi and Kang{-}Deog Suh and Byung{-}Hoon Suh and Jin{-}Ki Kim and Young{-}Ho Lim and Yong{-}Nam Koh and Jong{-}Wook Park and Ki{-}Jong Lee and Jung{-}Hoon Park and Kee{-}Tae Park and Jhang{-}Rae Kim and Jeong{-}Hyong Yi and Hyung{-}Kyu Lim}, title = {A 117-mm\({}^{\mbox{2}}\) 3.3-V only 128-Mb multilevel {NAND} flash memory for mass storage applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {11}, pages = {1575--1583}, year = {1996}, url = {https://doi.org/10.1109/JSSC.1996.542301}, doi = {10.1109/JSSC.1996.542301}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCSSKLKPLPPK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooKLKYLSHKHLSK96, author = {Jei{-}Hwan Yoo and Chang{-}Hyun Kim and Kyu{-}Chan Lee and Kye{-}Hyun Kyung and Seung{-}Moon Yoo and Jung{-}Hwa Lee and Moon{-}Hae Son and Jin{-}Man Han and Bok{-}Moon Kang and Ejaz Haq and Sang{-}Bo Lee and Jai{-}Hoon Sim and Joung{-}Ho Kim and Byung{-}Sik Moon and Keum{-}Yong Kim and Jae{-}Gwan Park and Kyu{-}Phil Lee and Kang{-}Yoon Lee and Ki{-}Nam Kim and Soo{-}In Cho and Jong{-}Woo Park and Hyung{-}Kyu Lim}, title = {A 32-bank 1 Gb self-strobing synchronous {DRAM} with 1 GByte/s bandwidth}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {11}, pages = {1635--1644}, year = {1996}, url = {https://doi.org/10.1109/JSSC.1996.542308}, doi = {10.1109/JSSC.1996.542308}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooKLKYLSHKHLSK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuhSLKCKLKCYCKL95, author = {Kang{-}Deog Suh and Byung{-}Hoon Suh and Young{-}Ho Lim and Jin{-}Ki Kim and Young{-}Joon Choi and Yong{-}Nam Koh and Sung{-}Soo Lee and Suk{-}Chon Kwon and Byung{-}Soon Choi and Jin{-}Sun Yum and Jung{-}Hyuk Choi and Jang{-}Rae Kim and Hyung{-}Kyu Lim}, title = {A 3.3 {V} 32 Mb {NAND} flash memory with incremental step pulse programming scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {30}, number = {11}, pages = {1149--1156}, year = {1995}, url = {https://doi.org/10.1109/4.475701}, doi = {10.1109/4.475701}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuhSLKCKLKCYCKL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rts/WeddeAHKK90, author = {Horst F. Wedde and Ghasem S. Alijani and Dorota M. Huizinga and Gookhai Kang and Bo{-}Kyung Kim}, title = {{MELODY:} {A} Completely Decentralized Adaptive File System for Handling Real-Time Tasks in Unpredictable Environments}, journal = {Real Time Syst.}, volume = {2}, number = {4}, pages = {347--364}, year = {1990}, url = {https://doi.org/10.1007/BF01995677}, doi = {10.1007/BF01995677}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rts/WeddeAHKK90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigops/WeddeABCKK89, author = {Horst F. Wedde and Ghasem S. Alijani and Willie G. Brown and Shengdong Chen and Gookhai Kang and Bo{-}Kyung Kim}, title = {Operating System Support for Adaptive Distributed Real-Time Systems in {DRAGON} {SLAYER}}, journal = {{ACM} {SIGOPS} Oper. Syst. Rev.}, volume = {23}, number = {3}, pages = {126--140}, year = {1989}, url = {https://doi.org/10.1145/71021.71028}, doi = {10.1145/71021.71028}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigops/WeddeABCKK89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/WeddeABKK89, author = {Horst F. Wedde and Ghasem S. Alijani and Dorota Baran and Gookhai Kang and Bo{-}Kyung Kim}, title = {Real-Time File Performance of a Completely Decentralized Adaptive File System}, booktitle = {Proceedings of the Real-Time Systems Symposium - 1989, Santa Monica, California, USA, December 1989}, pages = {340--347}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/REAL.1989.63586}, doi = {10.1109/REAL.1989.63586}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/WeddeABKK89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/WeddeAKK88, author = {Horst F. Wedde and Ghasem S. Alijani and Gookhai Kang and Bo{-}Kyung Kim}, title = {{MELODY:} {A} Distributed Real-Time Testbed for Adaptive Systems}, booktitle = {Proceedings of the 9th {IEEE} Real-Time Systems Symposium {(RTSS} '88), December 6-8, 1988, Huntsville, Alabama, {USA}}, pages = {112--119}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/REAL.1988.51107}, doi = {10.1109/REAL.1988.51107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/WeddeAKK88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.