default search action
Search dblp for Publications
export results for "Ji-Hyun Song"
@article{DBLP:journals/access/YoonSHKML24, author = {Jiseok Yoon and Jeongheon Song and Tanveer Hussain and Sunder Ali Khowaja and Khan Muhammad and Ik Hyun Lee}, title = {Hybrid Conv-Attention Networks for Synthetic Aperture Radar Imagery-Based Target Recognition}, journal = {{IEEE} Access}, volume = {12}, pages = {53045--53055}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3387314}, doi = {10.1109/ACCESS.2024.3387314}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonSHKML24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ShinKSJLCL24, author = {Changmin Shin and Taehee Kwon and Jaeyong Song and Jae Hyung Ju and Frank Liu and YeonKyu Choi and Jinho Lee}, title = {A Case for In-Memory Random Scatter-Gather for Fast Graph Processing}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {23}, number = {1}, pages = {73--77}, year = {2024}, url = {https://doi.org/10.1109/LCA.2024.3376680}, doi = {10.1109/LCA.2024.3376680}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/ShinKSJLCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/SongYWMTKSB24, author = {Hyunwoo Song and Shuojue Yang and Zijian Wu and Hamid Moradi and Russell H. Taylor and Jin U. Kang and Septimiu E. Salcudean and Emad M. Boctor}, title = {Arc-to-line frame registration method for ultrasound and photoacoustic image-guided intraoperative robot-assisted laparoscopic prostatectomy}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {19}, number = {2}, pages = {199--208}, year = {2024}, url = {https://doi.org/10.1007/s11548-023-02984-1}, doi = {10.1007/S11548-023-02984-1}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/SongYWMTKSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/DuCLOH24, author = {Ruofei Du and Ye Jin Choi and Ji{-}Hyun Lee and Songthip T. Ounpraseuth and Zhuopei Hu}, title = {A weight Jackknife approach utilizing linear model based-estimators for clustered data}, journal = {Commun. Stat. Simul. Comput.}, volume = {53}, number = {2}, pages = {1048--1067}, year = {2024}, url = {https://doi.org/10.1080/03610918.2022.2039396}, doi = {10.1080/03610918.2022.2039396}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssc/DuCLOH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/SongCKPPKK24, author = {Jihyeon Song and Sunoh Choi and Jungtae Kim and Kyungmin Park and Cheolhee Park and Jonghyun Kim and Ikkyun Kim}, title = {A study of the relationship of malware detection mechanisms using Artificial Intelligence}, journal = {{ICT} Express}, volume = {10}, number = {3}, pages = {632--649}, year = {2024}, url = {https://doi.org/10.1016/j.icte.2024.03.005}, doi = {10.1016/J.ICTE.2024.03.005}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/SongCKPPKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/SeoKK24, author = {Jinsoo Seo and Junghyun Kim and Hyemi Kim}, title = {CQTXNet: {A} Modified Xception Network with Attention Modules for Cover Song Identification}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {107}, number = {1}, pages = {49--52}, year = {2024}, url = {https://doi.org/10.1587/transinf.2023mul0003}, doi = {10.1587/TRANSINF.2023MUL0003}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicetd/SeoKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KimKKCLAOCLCJBSL24, author = {Minwook Kim and Donggil Kang and Min Sun Kim and Jeong Cheon Choe and Sun{-}Hack Lee and Jin{-}Hee Ahn and Jun{-}Hyok Oh and Jung Hyun Choi and Han Cheol Lee and Kwang Soo Cha and Kyungtae Jang and Woor I Bong and Giltae Song and Hyewon Lee}, title = {Acute myocardial infarction prognosis prediction with reliable and interpretable artificial intelligence system}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {7}, pages = {1540--1550}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocae114}, doi = {10.1093/JAMIA/OCAE114}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KimKKCLAOCLCJBSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24, author = {Byeongho Kim and Sanghoon Cha and Sangsoo Park and Jieun Lee and Sukhan Lee and Shinhaeng Kang and Jinin So and Kyungsoo Kim and Jin Jung and Jong{-}Geon Lee and Sunjung Lee and Yoonah Paik and Hyeonsu Kim and Jin{-}Seong Kim and Won{-}Jo Lee and Yuhwan Ro and Yeongon Cho and Jin Hyun Kim and Joon{-}Ho Song and Jaehoon Yu and Seungwon Lee and Jeonghyeon Cho and Kyomin Sohn}, title = {The Breakthrough Memory Solutions for Improved Performance on {LLM} Inference}, journal = {{IEEE} Micro}, volume = {44}, number = {3}, pages = {40--48}, year = {2024}, url = {https://doi.org/10.1109/MM.2024.3375352}, doi = {10.1109/MM.2024.3375352}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimCPLLKSKJLLPKKLRCKSYLCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/LeeHKSPPPSLKBRKCS24, author = {Jae{-}Young Lee and Seongji Han and Munyu Kim and Yong{-}Sin Seo and Jongwoo Park and Dong Il Park and Chanhun Park and Hyunuk Seo and Joonho Lee and Hwi{-}Su Kim and Jeongae Bak and Hugo Rodrigue and Jin{-}Gyun Kim and Joono Cheong and Sung{-}Hyuk Song}, title = {Variable-stiffness-morphing wheel inspired by the surface tension of a liquid droplet}, journal = {Sci. Robotics}, volume = {9}, number = {93}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adl2067}, doi = {10.1126/SCIROBOTICS.ADL2067}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/LeeHKSPPPSLKBRKCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongCLES24, author = {Jichung Song and Jae Young Choi and Byung{-}Wook Lee and Dong{-}Myung Eom and Chang{-}Hyun Song}, title = {Visualizing a Cold Stress-Specific Pulse Wave in Traditional Pulse Diagnosis ('Tight Pulse') Correlated with Vascular Changes in the Radial Artery Induced by a Cold Pressor Trial}, journal = {Sensors}, volume = {24}, number = {7}, pages = {2086}, year = {2024}, url = {https://doi.org/10.3390/s24072086}, doi = {10.3390/S24072086}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongCLES24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongH24, author = {Jiho Song and Seong{-}Hwan Hyun}, title = {Extended Kalman Filter-Based Vehicle Tracking Using Uniform Planar Array for Vehicle Platoon Systems}, journal = {Sensors}, volume = {24}, number = {7}, pages = {2351}, year = {2024}, url = {https://doi.org/10.3390/s24072351}, doi = {10.3390/S24072351}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/KangKLLSJSP24, author = {Seokwon Kang and Jongbin Kim and Gyeongyong Lee and Jeongmyung Lee and Jiwon Seo and Hyungsoo Jung and Yong Ho Song and Yongjun Park}, title = {{ISP} Agent: {A} Generalized In-storage-processing Workload Offloading Framework by Providing Multiple Optimization Opportunities}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {11:1--11:24}, year = {2024}, url = {https://doi.org/10.1145/3632951}, doi = {10.1145/3632951}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/KangKLLSJSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SongHSKIH24, author = {Eunji Song and Jiyun Han and Hyeongmin Seo and Hyuntae Kim and Hyunwoo Im and Jaeduk Han}, title = {A 35-Gb/s {PAM-4} Transmitter With 7B4Q Full-Transition Avoidance and Area-Efficient Gm-Boosting Techniques}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {1}, pages = {46--50}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3302023}, doi = {10.1109/TCSII.2023.3302023}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/SongHSKIH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/AnFSKKC24, author = {Seongbin An and Jirou Feng and Eunseok Song and Kyoungchul Kong and Jung Kim and Hyunjin Choi}, title = {High-Accuracy Hand Gesture Recognition on the Wrist Tendon Group Using Pneumatic Mechanomyography (pMMG)}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {2}, pages = {1550--1561}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3280312}, doi = {10.1109/TII.2023.3280312}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/AnFSKKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dim/ZhangSPZCL24, author = {Zhongqun Zhang and Jifei Song and Eduardo P{\'{e}}rez{-}Pellitero and Yiren Zhou and Hyung Jin Chang and Ales Leonardis}, title = {{NCRF:} Neural Contact Radiance Fields for Free-Viewpoint Rendering of Hand-Object Interaction}, booktitle = {International Conference on 3D Vision, 3DV 2024, Davos, Switzerland, March 18-21, 2024}, pages = {387--396}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/3DV62453.2024.00091}, doi = {10.1109/3DV62453.2024.00091}, timestamp = {Thu, 20 Jun 2024 22:18:25 +0200}, biburl = {https://dblp.org/rec/conf/3dim/ZhangSPZCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimCSK24, author = {Yunju Kim and Woo Jin Cho and Yoojeong Song and Hyungjoon Kim}, editor = {Herwig Unger and Jinseok Chae and Young{-}Koo Lee and Christian Wagner and Chaokun Wang and Mehdi Bennis and Mahasak Ketcham and Young{-}Kyoon Suh and Hyuk{-}Yoon Kwon}, title = {SkinSavvy: Automated Skin Lesion Diagnosis and Personalized Medical Consultation System}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2024, Bangkok, Thailand, February 18-21, 2024}, pages = {295--300}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BigComp60711.2024.00053}, doi = {10.1109/BIGCOMP60711.2024.00053}, timestamp = {Thu, 18 Apr 2024 16:24:12 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/KimCSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/YoonSSJSKYVJL24, author = {Sang Ho Yoon and Youjin Sung and Kun Woo Song and Kyungeun Jung and Kyung Jin Seo and Jina Kim and Hyung Il Yi and Nicha Vanichvoranun and Hanseok Jeong and Hojeong Lee}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {Adaptive and Immersive {XR} Interactions with Wearable Interfaces (Demo of {KAIST} {HCI} Tech Lab)}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {391:1--391:4}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3648652}, doi = {10.1145/3613905.3648652}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/YoonSSJSKYVJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JangLKYYCKKS24, author = {Tae Eun Jang and Kyu Hyun Lee and Gi Yeol Kim and Su Yeon Yun and Da{-}Hyeon Youn and Hyunggu Choi and Jihyang Kim and Soo Youn Kim and Minkyu Song}, title = {Compute-in-Memory with {SAR} {ADC} and 2T1C {DRAM} for {MAC} Operations}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457128}, doi = {10.1109/ICEIC61013.2024.10457128}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/JangLKYYCKKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkKSJLWKLKKKLCTCSAK24, author = {Sangsoo Park and KyungSoo Kim and Jinin So and Jin Jung and Jonggeon Lee and Kyoungwan Woo and Nayeon Kim and Younghyun Lee and Hyungyo Kim and Yongsuk Kwon and Jinhyun Kim and Jieun Lee and YeonGon Cho and Yongmin Tai and Jeonghyeon Cho and Hoyoung Song and Jung Ho Ahn and Nam Sung Kim}, title = {An LPDDR-based {CXL-PNM} Platform for TCO-efficient Inference of Transformer-based Large Language Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {970--982}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00078}, doi = {10.1109/HPCA57654.2024.00078}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParkKSJLWKLKKKLCTCSAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/SongCJKKYR24, author = {Ha{-}Yoon Song and Hyun{-}Joon Chung and Kwang{-}Woo Jeon and Tae{-}Hwan Kim and Junyoung Kim and Jinyeol Yoo and Jae{-}Kwan Ryu}, title = {Optimal Placement of Foot Pressure Sensors for Lower-Limb Exoskeletons Based on Multi-Objective Particle Swarm Optimization Algorithm}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {150--153}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463277}, doi = {10.1109/ICAIIC60209.2024.10463277}, timestamp = {Tue, 02 Apr 2024 21:06:09 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/SongCJKKYR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YoonKYTSKS24, author = {Hyun{-}Wook Yoon and Jin{-}Seob Kim and Ryuichi Yamamoto and Ryo Terashima and Chan{-}Ho Song and Jae{-}Min Kim and Eunwoo Song}, title = {Enhancing Multilingual {TTS} with Voice Conversion Based Data Augmentation and Posterior Embedding}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {12186--12190}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10448471}, doi = {10.1109/ICASSP48485.2024.10448471}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YoonKYTSKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimS0HK24, author = {Keunwoo Kim and Jiho Song and Jong{-}Ho Lee and Seong{-}Hwan Hyun and Seong{-}Cheol Kim}, title = {Codebook Design for Air-to-Air Communication Systems Operating in the {UAM} Corridor}, booktitle = {{IEEE} International Conference on Communications Workshops, {ICC} 2024 Workshops, Denver, CO, USA, June 9-13, 2024}, pages = {1598--1603}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICCWorkshops59551.2024.10615898}, doi = {10.1109/ICCWORKSHOPS59551.2024.10615898}, timestamp = {Wed, 21 Aug 2024 14:57:07 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimS0HK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icchp/SongJCLP24, author = {Yoojeong Song and SungHeon Jeong and Woo Jin Cho and Soon{-}Bum Lim and Joo Hyun Park}, editor = {Klaus Miesenberger and Petr Pen{\'{a}}z and Makato Kobayashi}, title = {A Real-Time Chart Explanation System for Visually Impaired Individuals}, booktitle = {Computers Helping People with Special Needs - 19th International Conference, {ICCHP} 2024, Linz, Austria, July 8-12, 2024, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14750}, pages = {306--312}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-62846-7\_37}, doi = {10.1007/978-3-031-62846-7\_37}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icchp/SongJCLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimHJS24, author = {Jinuk Kim and Marwa El Halabi and Mingi Ji and Hyun Oh Song}, title = {LayerMerge: Neural Network Depth Compression through Layer Pruning and Merging}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=uDoy7AGvEC}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimHJS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SongOKKKK24, author = {Jiwon Song and Kyungseok Oh and Taesu Kim and Hyungjun Kim and Yulhwa Kim and Jae{-}Joon Kim}, title = {{SLEB:} Streamlining LLMs through Redundancy Verification and Elimination of Transformer Blocks}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=fuX4hyLPmO}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/SongOKKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/HaLYLCYLLTLBMSHCLPPKHAS24, author = {Daewon Ha and Y. Lee and S. Yoo and W. Lee and M. H. Cho and K. Yoo and S. M. Lee and S. Lee and M. Terai and T. H. Lee and J. H. Bae and K. J. Moon and C. Sung and M. Hong and D. G. Cho and K. Lee and S. W. Park and K. Park and Bong Jin Kuh and S. Hyun and S. J. Ahn and J. H. Song}, title = {Exploring Innovative IGZO-channel based {DRAM} Cell Architectures and Key Technologies for Sub-10nm Node}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536968}, doi = {10.1109/IMW59701.2024.10536968}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imw2/HaLYLCYLLTLBMSHCLPPKHAS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinPKHPLKLS24, author = {Yunjeong Shin and Daehyeok Park and Dohun Koh and Dongryul Heo and Jieun Park and Hyundong Lee and Jongbeom Kim and Hyunsoo Lee and Taigon Song}, title = {{FS2K:} {A} Forksheet {FET} Technology Library and a Study of {VLSI} Prediction for 2nm and Beyond}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558224}, doi = {10.1109/ISCAS58744.2024.10558224}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinPKHPLKLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24, author = {Jeongyeol Bae and Sangsung Lee and Joonggeun Lee and Ikkyun Jo and Heesoo Kim and Kyunghyun Yoon and Taejong Kim and Jiyoung Lee and Myunghun Lee and Jaeseung Lee and Jongmin Jeong and Sungjun Lee and Taewan Kim and Sungjoo Kim and Gwangsik Cho and Duksoo Kim and Sangyun Lee and Pilsung Jang and Euibong Yang and Jeongmin Song and Gwangchun Park and Se{-}Eun Choi and Juhee Son and Won Ko and Jonghyun Kim and Seong Ho Park and Sangho Lee and Yoonki Lee and Euiyoung Park and Pillseong Kang and Taeyeon Kim and Hyojin Lee and Byungki Han and Joonhee Lee and Jongsoo Lee and Sangmin Yoo}, title = {4.3 {A} 43mm\({}^{\mbox{2}}\) Fully Integrated Legacy Cellular and 5G {FR1} {RF} Transceiver with 24RX/3TX Supporting Inter-Band 7CA/5CA 4{\texttimes}4 {MIMO} with 1K-QAM}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {80--82}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454281}, doi = {10.1109/ISSCC49657.2024.10454281}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24, author = {DongHyun Kim and Kwansik Cho and Ho{-}Chul Ji and Minkyung Kim and Junghye Kim and Taehoon Kim and Seungju Seo and Dongmo Im and You{-}Na Lee and Jinyong Choi and Sunghyun Yoon and Inho Noh and Jinhyung Kim and Khang June Lee and Hyesung Jung and Jongyoon Shin and Hyuk Hur and Kyoung Eun Chang and Incheol Cho and Kieyoung Woo and Byung Seok Moon and Jameyung Kim and Yeonsoo Ahn and Dahee Sim and Sungbong Park and Wook Lee and Kooktae Kim and Chong Kwang Chang and Hansik Yoon and Juha Kim and Sung{-}In Kim and Hyunchul Kim and Chang{-}Rok Moon and Jaihyuk Song}, title = {6.10 {A} 1/1.56-inch 50Mpixel {CMOS} Image Sensor with 0.5{\(\mu\)}m pitch Quad Photodiode Separated by Front Deep Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {118--120}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454448}, doi = {10.1109/ISSCC49657.2024.10454448}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCJKKKSILCYNKLJSHCCWMKASPLKCYKKKMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/KimKPLSKKKLKAYLPGCLK24, author = {Sanghoon Kim and Dahyun Kim and Chanjun Park and Wonsung Lee and Wonho Song and Yunsu Kim and Hyeonwoo Kim and Yungi Kim and Hyeonju Lee and Jihoo Kim and Changbae Ahn and Seonghoon Yang and Sukyung Lee and Hyunbyung Park and Gyoungjin Gim and Mikyoung Cha and Hwalsuk Lee and Sunghun Kim}, editor = {Yi Yang and Aida Davani and Avi Sil and Anoop Kumar}, title = {{SOLAR} 10.7B: Scaling Large Language Models with Simple yet Effective Depth Up-Scaling}, booktitle = {Proceedings of the 2024 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies: Industry Track, {NAACL} 2024, Mexico City, Mexico, June 16-21, 2024}, pages = {23--35}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://doi.org/10.18653/v1/2024.naacl-industry.3}, doi = {10.18653/V1/2024.NAACL-INDUSTRY.3}, timestamp = {Fri, 13 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/KimKPLSKKKLKAYLPGCLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-05532, author = {Zhongqun Zhang and Jifei Song and Eduardo P{\'{e}}rez{-}Pellitero and Yiren Zhou and Hyung Jin Chang and Ales Leonardis}, title = {{NCRF:} Neural Contact Radiance Fields for Free-Viewpoint Rendering of Hand-Object Interaction}, journal = {CoRR}, volume = {abs/2402.05532}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.05532}, doi = {10.48550/ARXIV.2402.05532}, eprinttype = {arXiv}, eprint = {2402.05532}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-05532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-09025, author = {Jiwon Song and Kyungseok Oh and Taesu Kim and Hyungjun Kim and Yulhwa Kim and Jae{-}Joon Kim}, title = {{SLEB:} Streamlining LLMs through Redundancy Verification and Elimination of Transformer Blocks}, journal = {CoRR}, volume = {abs/2402.09025}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.09025}, doi = {10.48550/ARXIV.2402.09025}, eprinttype = {arXiv}, eprint = {2402.09025}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-09025.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-16223, author = {Marcos V. Conde and Florin{-}Alexandru Vasluianu and Radu Timofte and Jianxing Zhang and Jia Li and Fan Wang and Xiaopeng Li and Zikun Liu and Hyunhee Park and Sejun Song and Changho Kim and Zhijuan Huang and Hongyuan Yu and Cheng Wan and Wending Xiang and Jiamin Lin and Hang Zhong and Qiaosong Zhang and Yue Sun and Xuanwu Yin and Kunlong Zuo and Senyan Xu and Siyuan Jiang and Zhijing Sun and Jiaying Zhu and Liangyan Li and Ke Chen and Yunzhe Li and Yimo Ning and Guanhua Zhao and Jun Chen and Jinyang Yu and Kele Xu and Qisheng Xu and Yong Dou}, title = {Deep {RAW} Image Super-Resolution. {A} {NTIRE} 2024 Challenge Survey}, journal = {CoRR}, volume = {abs/2404.16223}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.16223}, doi = {10.48550/ARXIV.2404.16223}, eprinttype = {arXiv}, eprint = {2404.16223}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-16223.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-07006, author = {Xin Jin and Chunle Guo and Xiaoming Li and Zongsheng Yue and Chongyi Li and Shangchen Zhou and Ruicheng Feng and Yuekun Dai and Peiqing Yang and Chen Change Loy and Ruoqi Li and Chang Liu and Ziyi Wang and Yao Du and Jingjing Yang and Long Bao and Heng Sun and Xiangyu Kong and Xiaoxia Xing and Jinlong Wu and Yuanyang Xue and Hyunhee Park and Sejun Song and Changho Kim and Jingfan Tan and Wenhan Luo and Zikun Liu and Mingde Qiao and Junjun Jiang and Kui Jiang and Yao Xiao and Chuyang Sun and Jinhui Hu and Weijian Ruan and Yubo Dong and Kai Chen and Hyejeong Jo and Jiahao Qin and Bingjie Han and Pinle Qin and Rui Chai and Pengyuan Wang}, title = {{MIPI} 2024 Challenge on Few-shot {RAW} Image Denoising: Methods and Results}, journal = {CoRR}, volume = {abs/2406.07006}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.07006}, doi = {10.48550/ARXIV.2406.07006}, eprinttype = {arXiv}, eprint = {2406.07006}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-07006.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-12837, author = {Jinuk Kim and Marwa El Halabi and Mingi Ji and Hyun Oh Song}, title = {LayerMerge: Neural Network Depth Compression through Layer Pruning and Merging}, journal = {CoRR}, volume = {abs/2406.12837}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.12837}, doi = {10.48550/ARXIV.2406.12837}, eprinttype = {arXiv}, eprint = {2406.12837}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-12837.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JungCSRK23, author = {Myung{-}Suk Jung and Jae{-}Hyun Choi and Min{-}Sup Song and Jin{-}Eep Roh and Kyung{-}Tae Kim}, title = {Design of a Hybrid Radar Sensor to Monitor the Behavior of a Projectile in a Circular Tube}, journal = {{IEEE} Access}, volume = {11}, pages = {123650--123658}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3329681}, doi = {10.1109/ACCESS.2023.3329681}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JungCSRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLLHLS23, author = {Junmin Lee and Heejin Lee and Seunghyun Lee and Junho Heo and Jiwon Lee and Byung Cheol Song}, title = {Display Visibility Improvement Through Content and Ambient Light-Adaptive Image Enhancement}, journal = {{IEEE} Access}, volume = {11}, pages = {87902--87916}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3305680}, doi = {10.1109/ACCESS.2023.3305680}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLLHLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLSJJSKY23, author = {Sho{-}Hyun Lee and Dong{-}Woo Lee and Hwa{-}Seob Song and Seonmin Jeong and Yongbae Ji and Ji{-}Sung Song and Jiyoung Kim and Byung{-}Ju Yi}, title = {Robotic Manipulation System Design and Control for Non-Contact Remote Diagnosis in Otolaryngology: Digital Twin Approach}, journal = {{IEEE} Access}, volume = {11}, pages = {28735--28750}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3259539}, doi = {10.1109/ACCESS.2023.3259539}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLSJJSKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LeeWJCYOKKJJLKJSKYPNJ23, author = {Jae{-}Won Lee and Jong{-}Hyun Won and Seonggwang Jeon and Yujin Choo and Yubin Yeon and Jin{-}Seon Oh and Minsoo Kim and Seonhwa Kim and InSuk Joung and Cheongjae Jang and Sung Jong Lee and Tae Hyun Kim and Kyong Hwan Jin and Giltae Song and Eun{-}Sol Kim and Jejoong Yoo and Eunok Paek and Yung{-}Kyun Noh and Keehyoung Joo}, title = {DeepFold: enhancing protein structure prediction through optimized loss functions, improved template features, and re-optimized energy function}, journal = {Bioinform.}, volume = {39}, number = {12}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad712}, doi = {10.1093/BIOINFORMATICS/BTAD712}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LeeWJCYOKKJJLKJSKYPNJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecra/YooJSS23, author = {Jenny Jeongeun Yoo and Jihoon Jhang and Sangyoung Song and Hyun S. Shin}, title = {An integrated model of prosocial crowdfunding decision: Three utility components and three informational cues}, journal = {Electron. Commer. Res. Appl.}, volume = {57}, pages = {101233}, year = {2023}, url = {https://doi.org/10.1016/j.elerap.2022.101233}, doi = {10.1016/J.ELERAP.2022.101233}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecra/YooJSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/SongJCBCY23, author = {WonGeun Song and Younghwa Jung and Jihoon Cho and Hyunyoung Baek and Changwon Choi and Sooyoung Yoo}, title = {Development and validation of a prediction model for evaluating extubation readiness in preterm infants}, journal = {Int. J. Medical Informatics}, volume = {178}, pages = {105192}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105192}, doi = {10.1016/J.IJMEDINF.2023.105192}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/SongJCBCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/ChangLKSKS23, author = {Youli Chang and Sehi L'Yi and Young Taek Kim and Hyunjoo Song and Bohyoung Kim and Jinwook Seo}, title = {Pharos: {A} Transparent and Steerable Visualization Recommendation System}, journal = {J. Comput. Sci. Eng.}, volume = {17}, number = {1}, pages = {1--12}, year = {2023}, url = {https://doi.org/10.5626/jcse.2023.17.1.1}, doi = {10.5626/JCSE.2023.17.1.1}, timestamp = {Fri, 21 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/ChangLKSKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/HyunSJB23, author = {Sangwon Hyun and Jiyoung Song and Eunkyoung Jee and Doo{-}Hwan Bae}, title = {Timed pattern-based analysis of collaboration failures in system-of-systems}, journal = {J. Syst. Softw.}, volume = {198}, pages = {111613}, year = {2023}, url = {https://doi.org/10.1016/j.jss.2023.111613}, doi = {10.1016/J.JSS.2023.111613}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/HyunSJB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKSLIPCS23, author = {Kyungsan Kim and Hyunseok Kim and Jinin So and Wonjae Lee and Junhyuk Im and Sungjoo Park and Jeonghyeon Cho and Hoyoung Song}, title = {{SMT:} Software-Defined Memory Tiering for Heterogeneous Computing Systems With {CXL} Memory Expander}, journal = {{IEEE} Micro}, volume = {43}, number = {2}, pages = {20--29}, year = {2023}, url = {https://doi.org/10.1109/MM.2023.3240774}, doi = {10.1109/MM.2023.3240774}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimKSLIPCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/SongMJXWTDKSB23, author = {Hyunwoo Song and Hamid Moradi and Bai{-}Chuan Jiang and Keshuai Xu and Yixuan Wu and Russell H. Taylor and Anton Deguet and Jin U. Kang and Septimiu E. Salcudean and Emad M. Boctor}, title = {Real-Time Intraoperative Surgical Guidance System in the da Vinci Surgical Robot Based on Transrectal Ultrasound/Photoacoustic Imaging With Photoacoustic Markers: An Ex Vivo Demonstration}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {3}, pages = {1287--1294}, year = {2023}, url = {https://doi.org/10.1109/LRA.2022.3191788}, doi = {10.1109/LRA.2022.3191788}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/SongMJXWTDKSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JunHKLSLSJ23, author = {Ah Hyun Jun and Young Hyun Hwang and Byeongwoo Kang and Seungwon Lee and Jiwon Seok and Jong Seong Lee and Seo Hyun Song and Byeong{-}Kwon Ju}, title = {Magnetic Properties of Amorphous Ta/CoFeB/MgO/Ta Thin Films on Deformable Substrates with Magnetic Field Angle and Tensile Strain}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7479}, year = {2023}, url = {https://doi.org/10.3390/s23177479}, doi = {10.3390/S23177479}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JunHKLSLSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/SongHKYPLLK23, author = {Jiho Song and Seong{-}Hwan Hyun and Keunwoo Kim and Young{-}Jun Yoon and Juho Park and Moon{-}Sik Lee and Jong{-}Ho Lee and Seong{-}Cheol Kim}, title = {Singular Direction-Based Quantizer and Receiver Designs for User Cooperative Distributed Reception}, journal = {{IEEE} Syst. J.}, volume = {17}, number = {1}, pages = {349--360}, year = {2023}, url = {https://doi.org/10.1109/JSYST.2022.3229319}, doi = {10.1109/JSYST.2022.3229319}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/SongHKYPLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/ShenSWP23, author = {Hao Shen and Yinsheng Song and Jing Wang and Ju H. Park}, title = {H\({}_{\mbox{{\(\infty\)}}}\) State Estimation for PDT-Switched Coupled Neural Networks Under Round-Robin Protocol: {A} Cooperation-Competition-Based Mechanism}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {10}, number = {2}, pages = {911--921}, year = {2023}, url = {https://doi.org/10.1109/TNSE.2022.3224390}, doi = {10.1109/TNSE.2022.3224390}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/ShenSWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/ShinJKSCS23, author = {DongHwa Shin and Jaemin Jo and Bohyoung Kim and Hyunjoo Song and Shin{-}Hyung Cho and Jinwook Seo}, title = {RCMVis: {A} Visual Analytics System for Route Choice Modeling}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {29}, number = {3}, pages = {1799--1817}, year = {2023}, url = {https://doi.org/10.1109/TVCG.2021.3131824}, doi = {10.1109/TVCG.2021.3131824}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/ShinJKSCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimJWYKNLSKROS23, author = {Taehak Kim and Jaehoon Jeong and Seungmin Woo and Jeonggyu Yang and Hyunwoo Kim and Ahyeon Nam and Changdong Lee and Jinmin Seo and Minji Kim and Siwon Ryu and Yoonju Oh and Taigon Song}, title = {{NS3K:} {A} 3-nm Nanosheet {FET} Standard Cell Library Development and its Impact}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {31}, number = {2}, pages = {163--176}, year = {2023}, url = {https://doi.org/10.1109/TVLSI.2022.3229442}, doi = {10.1109/TVLSI.2022.3229442}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimJWYKNLSKROS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/LeeLHKLLS23, author = {Deokjae Lee and JunYeong Lee and Jung{-}Woo Ha and Jin{-}Hwa Kim and Sang{-}Woo Lee and Hwaran Lee and Hyun Oh Song}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {Query-Efficient Black-Box Red Teaming via Bayesian Optimization}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {11551--11574}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.646}, doi = {10.18653/V1/2023.ACL-LONG.646}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/LeeLHKLLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SongYJJKKL23, author = {Jaeyong Song and Jinkyu Yim and Jaewon Jung and Hongsun Jang and Hyung{-}Jin Kim and Youngsok Kim and Jinho Lee}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {Optimus-CC: Efficient Large {NLP} Model Training with 3D Parallelism Aware Communication Compression}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 2, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {560--573}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3575693.3575712}, doi = {10.1145/3575693.3575712}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SongYJJKKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/JeongSKLKK23, author = {Ji Hyeok Jeong and Dong{-}Jin Sung and Keun{-}Tae Kim and Song Joo Lee and Dong{-}Joo Kim and Hyungmin Kim}, title = {Subject-Transfer with Subject-Specific Fine-Tuning Based on Multi-Model {CNN} for Motor Imagery Brain-Computer Interface}, booktitle = {11th International Winter Conference on Brain-Computer Interface, {BCI} 2023, Gangwon, Korea, Republic of, February 20-22, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BCI57258.2023.10078479}, doi = {10.1109/BCI57258.2023.10078479}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/JeongSKLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/JungJPONPQFGNLK23, author = {Hanwoong Jung and Hexiang Ji and Alexey Pushchin and Maxim Ostapenko and Wenlong Niu and Ilya Palachev and Yutian Qu and Pavel Fedin and Yuri Gribov and Heewoo Nam and Dongguen Lim and Hyunjun Kim and Joonho Song and Seungwon Lee and Hwansoo Han}, editor = {Christophe Dubach and Derek Bruening and Ben Hardekopf}, title = {Accelerating Deep Neural Networks on Mobile Multicore NPUs}, booktitle = {Proceedings of the 21st {ACM/IEEE} International Symposium on Code Generation and Optimization, {CGO} 2023, Montr{\'{e}}al, QC, Canada, 25 February 2023- 1 March 2023}, pages = {236--248}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3579990.3580015}, doi = {10.1145/3579990.3580015}, timestamp = {Fri, 24 Feb 2023 14:00:38 +0100}, biburl = {https://dblp.org/rec/conf/cgo/JungJPONPQFGNLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/AhnSLCKPYC23, author = {Donghyun Ahn and Minhyuk Song and SeungEon Lee and Yubin Choi and Jihee Kim and Sangyoon Park and Hyunjoo Yang and Meeyoung Cha}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {Fine-Grained Socioeconomic Prediction from Satellite Images with Distributional Adjustment}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {3717--3721}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615226}, doi = {10.1145/3583780.3615226}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/AhnSLCKPYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/JungRZBLVSZAILN23, author = {HyunJun Jung and Patrick Ruhkamp and Guangyao Zhai and Nikolas Brasch and Yitong Li and Yannick Verdie and Jifei Song and Yiren Zhou and Anil Armagan and Slobodan Ilic and Ales Leonardis and Nassir Navab and Benjamin Busam}, title = {On the Importance of Accurate Geometry Data for Dense 3D Vision Tasks}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {780--791}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00082}, doi = {10.1109/CVPR52729.2023.00082}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/JungRZBLVSZAILN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangWLYTGJWYGGZDHYJLCXXXLJYYGZCLCWWDXW23, author = {Yingqian Wang and Longguang Wang and Zhengyu Liang and Jungang Yang and Radu Timofte and Yulan Guo and Kai Jin and Zeqiang Wei and Angulia Yang and Sha Guo and Mingzhi Gao and Xiuzhuang Zhou and Vinh Van Duong and Thuc Nguyen Huu and Jonghoon Yim and Byeungwoo Jeon and Yutong Liu and Zhen Cheng and Zeyu Xiao and Ruikang Xu and Zhiwei Xiong and Gaosheng Liu and Manchang Jin and Huanjing Yue and Jingyu Yang and Chen Gao and Shuo Zhang and Song Chang and Youfang Lin and Wentao Chao and Xuechun Wang and Guanghui Wang and Fuqing Duan and Wang Xia and Yan Wang and Peiqi Xia and Shunzhou Wang and Yao Lu and Ruixuan Cong and Hao Sheng and Da Yang and Rongshan Chen and Sizhe Wang and Zhenglong Cui and Yilei Chen and Yongjie Lu and Dongjun Cai and Ping An and Ahmed Salem and Hatem Ibrahem and Bilel Yagoub and Hyun Soo Kang and Zekai Zeng and Heng Wu}, title = {{NTIRE} 2023 Challenge on Light Field Image Super-Resolution: Dataset, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1320--1335}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00139}, doi = {10.1109/CVPRW59228.2023.00139}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangWLYTGJWYGGZDHYJLCXXXLJYYGZCLCWWDXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkLS23, author = {Jihoon Park and Seunghyun Lee and Byung Cheol Song}, title = {Stable Quantization-Aware Training with Adaptive Gradient Clipping}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049939}, doi = {10.1109/ICEIC57457.2023.10049939}, timestamp = {Tue, 21 Mar 2023 16:00:01 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChaeSOKKYLKY23, author = {Hyungjoo Chae and Yongho Song and Kai Tzu{-}iunn Ong and Taeyoon Kwon and Minjin Kim and Youngjae Yu and Dongha Lee and Dongyeop Kang and Jinyoung Yeo}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Dialogue Chain-of-Thought Distillation for Commonsense-aware Conversational Agents}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {5606--5632}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.342}, doi = {10.18653/V1/2023.EMNLP-MAIN.342}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChaeSOKKYLKY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucnc/ParkPSK23, author = {Cheolhee Park and Kyungmin Park and Jihyeon Song and Jonghyun Kim}, title = {Distributed Learning-Based Intrusion Detection in 5G and Beyond Networks}, booktitle = {2023 Joint European Conference on Networks and Communications {\&} 6G Summit, EuCNC/6G Summit 2023, Gothenburg, Sweden, June 6-9, 2023}, pages = {490--495}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EuCNC/6GSummit58263.2023.10188312}, doi = {10.1109/EUCNC/6GSUMMIT58263.2023.10188312}, timestamp = {Mon, 31 Jul 2023 13:56:43 +0200}, biburl = {https://dblp.org/rec/conf/eucnc/ParkPSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/goodit/KimKSSLHKYK23, author = {Bryan Kim and Ye Chan Kim and Jin Joo Son and Da{-}Yea Song and Ill Jin Lee and Jae Hyun Han and Doori Kim and Hee Jeong Yoo and Jee{-}In Kim}, title = {Game-Driven Practices for Social Skills: Exploring Usability for Children with Autism Spectrum Disorder}, booktitle = {Proceedings of the 2023 {ACM} Conference on Information Technology for Social Good, GoodIT 2023, Lisbon, Portugal, September 6-8, 2023}, pages = {504--509}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3582515.3609574}, doi = {10.1145/3582515.3609574}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/goodit/KimKSSLHKYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23, author = {Jin Hyun Kim and Yuhwan Ro and Jinin So and Sukhan Lee and Shinhaeng Kang and YeonGon Cho and Hyeonsu Kim and Byeongho Kim and Kyungsoo Kim and Sangsoo Park and Jin{-}Seong Kim and Sanghoon Cha and Won{-}Jo Lee and Jin Jung and Jonggeon Lee and Jieun Lee and Joon{-}Ho Song and Seungwon Lee and Jeonghyeon Cho and Jaehoon Yu and Kyomin Sohn}, title = {Samsung {PIM/PNM} for Transfmer Based {AI} : Energy Efficiency on {PIM/PNM} Cluster}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--31}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254711}, doi = {10.1109/HCS59251.2023.10254711}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LeeSSCLK23, author = {Dongjun Lee and Seokwon Song and Jihee Suh and Joonmyeong Choi and Sanghyeok Lee and Hyunwoo J. Kim}, title = {Read-only Prompt Optimization for Vision-Language Few-shot Learning}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {1401--1411}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00135}, doi = {10.1109/ICCV51070.2023.00135}, timestamp = {Fri, 19 Jan 2024 17:50:41 +0100}, biburl = {https://dblp.org/rec/conf/iccv/LeeSSCLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanMDFCZLDZ23, author = {Matej Kristan and Jir{\'{\i}} Matas and Martin Danelljan and Michael Felsberg and Hyung Jin Chang and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Zhongqun Zhang and Khanh{-}Tung Tran and Xuan{-}Son Vu and Johanna Bj{\"{o}}rklund and Christoph Mayer and Yushan Zhang and Lei Ke and Jie Zhao and Gustavo Fern{\'{a}}ndez and Noor Al{-}Shakarji and Dong An and Michael Arens and Stefan Becker and Goutam Bhat and Sebastian Bullinger and Antoni B. Chan and Shijie Chang and Hanyuan Chen and Xin Chen and Yan Chen and Zhenyu Chen and Yangming Cheng and Yutao Cui and Chunyuan Deng and Jiahua Dong and Matteo Dunnhofer and Wei Feng and Jianlong Fu and Jie Gao and Ruize Han and Zeqi Hao and Jun{-}Yan He and Keji He and Zhenyu He and Xiantao Hu and Kaer Huang and Yuqing Huang and Yi Jiang and Ben Kang and Jin{-}Peng Lan and Hyungjun Lee and Chenyang Li and Jiahao Li and Ning Li and Wangkai Li and Xiaodi Li and Xin Li and Pengyu Liu and Yue Liu and Huchuan Lu and Bin Luo and Ping Luo and Yinchao Ma and Deshui Miao and Christian Micheloni and Kannappan Palaniappan and Hancheol Park and Matthieu Paul and Houwen Peng and Zekun Qian and Gani Rahmon and Norbert Scherer{-}Negenborn and Pengcheng Shao and Wooksu Shin and Elham Soltani Kazemi and Tianhui Song and Rainer Stiefelhagen and Rui Sun and Chuanming Tang and Zhangyong Tang and Imad Eddine Toubal and Jack Valmadre and Joost van de Weijer and Luc Van Gool and Jash Vira and St{\'{e}}phane Vujasinovic and Cheng Wan and Jia Wan and Dong Wang and Fei Wang and Feifan Wang and He Wang and Limin Wang and Song Wang and Yaowei Wang and Zhepeng Wang and Gangshan Wu and Jiannan Wu and Qiangqiang Wu and Xiaojun Wu and Anqi Xiao and Jinxia Xie and Chenlong Xu and Min Xu and Tianyang Xu and Yuanyou Xu and Bin Yan and Dawei Yang and Ming{-}Hsuan Yang and Tianyu Yang and Yi Yang and Zongxin Yang and Xuanwu Yin and Fisher Yu and Hongyuan Yu and Qianjin Yu and Weichen Yu and Yongsheng Yuan and Zehuan Yuan and Jianlin Zhang and Lu Zhang and Tianzhu Zhang and Guodongfang Zhao and Shaochuan Zhao and Yaozong Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang and ChengAo Zong and Kunlong Zuo}, title = {The First Visual Object Tracking Segmentation {VOTS2023} Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1788--1810}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00195}, doi = {10.1109/ICCVW60793.2023.00195}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanMDFCZLDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KohSBSHP0C23, author = {Hyunseo Koh and Minhyuk Seo and Jihwan Bang and Hwanjun Song and Deokki Hong and Seulki Park and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Boundary-Free Continual Learning by Scheduled Data Prior}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=qco4ekz2Epm}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KohSBSHP0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimJLS23, author = {Jinuk Kim and Yeonwoo Jeong and Deokjae Lee and Hyun Oh Song}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {Efficient Latency-Aware {CNN} Depth Compression via Two-Stage Dynamic Programming}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {16502--16520}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/kim23f.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimJLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/JoSLHJKSJP23, author = {SeongHyeon Jo and Youngjo Song and Yechan Lee and Si{-}Hwan Heo and Sang Jin Jang and Yusung Kim and Joon{-}Ho Shin and Jaesung Jeong and Hyung{-}Soon Park}, title = {Functional {MRI} Assessment of Brain Activity During Hand Rehabilitation with an MR-Compatible Soft Glove in Chronic Stroke Patients: {A} Preliminary Study}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2023, Singapore, September 24-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICORR58425.2023.10304776}, doi = {10.1109/ICORR58425.2023.10304776}, timestamp = {Fri, 17 Nov 2023 08:57:23 +0100}, biburl = {https://dblp.org/rec/conf/icorr/JoSLHJKSJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JeongLJKSKSWOL23, author = {Shinwoo Jeong and Jin{-}Seong Lee and Jiuk Jang and Jooncheol Kim and Hyunsu Shin and Jihun Kim and Jeongwoo Song and Dongsoo Woo and Jeonghoon Oh and Jooyoung Lee}, title = {Investigation of Sub-20nm 4th generation {DRAM} cell transistor's parasitic resistance and scalable methodology for Sub-20nm era}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10118270}, doi = {10.1109/IRPS48203.2023.10118270}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JeongLJKSKSWOL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/JinCCCKS23, author = {Xiaoxiang Jin and Sangwon Chae and Hyunwoo Cho and Hyojeong Choi and Gangsan Kim and Hong{-}Yeop Song}, title = {Zero-Correlation-Zone Sonar Sequences}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2023, Taipei, Taiwan, June 25-30, 2023}, pages = {892--896}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISIT54713.2023.10206889}, doi = {10.1109/ISIT54713.2023.10206889}, timestamp = {Mon, 28 Aug 2023 17:20:14 +0200}, biburl = {https://dblp.org/rec/conf/isit/JinCCCKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/HanKKJJOLGKKS23, author = {MinSeok Han and Jiwan Kim and Donggeon Kim and Hyunuk Jeong and Gilho Jung and Myeongwon Oh and Hyundong Lee and Yunjeong Go and HyunWoo Kim and Jongbeom Kim and Taigon Song}, title = {{HFGCN:} High-speed and Fully-optimized {GCN} Accelerator}, booktitle = {24th International Symposium on Quality Electronic Design, {ISQED} 2023, San Francisco, CA, USA, April 5-7, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISQED57927.2023.10129340}, doi = {10.1109/ISQED57927.2023.10129340}, timestamp = {Thu, 01 Jun 2023 22:29:52 +0200}, biburl = {https://dblp.org/rec/conf/isqed/HanKKJJOLGKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ByunLSLBHBKJLKL23, author = {San{-}Ho Byun and Heejin Lee and Tae{-}Gyun Song and Jinchul Lee and Jongmin Baek and Gyeongmin Ha and Seunghoon Baek and Yeongmin Kim and Won{-}Gab Jung and Hyun{-}Wook Lim and Siwoo Kim and Jae{-}Youl Lee}, title = {A 45.8dB-SNR 120fps 100pF-Load Self-Capacitance Touch-Screen Controller with Enhanced In-Band Common Noise Immunity Using Noise Antenna Reference}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {386--387}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067374}, doi = {10.1109/ISSCC42615.2023.10067374}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ByunLSLBHBKJLKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimSRLKSKKJCLJK23, author = {Kanguk Kim and Youngwoo Son and Hoin Ryu and Byunghyun Lee and Jooncheol Kim and Hyunsu Shin and Joonyoung Kang and Jihun Kim and Shinwoo Jeong and Kyosuk Chae and Dongkak Lee and Ilwoo Jung and Yongkwan Kim and Boyoung Song and Jeonghoon Oh and Jungwoo Song and Seguen Park and Keumjoo Lee and Hyodong Ban and Jiyoung Kim and Jooyoung Lee}, title = {14nm {DRAM} Development and Manufacturing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185314}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185314}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimSRLKSKKJCLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09830, author = {Jaeyong Song and Jinkyu Yim and Jaewon Jung and Hongsun Jang and Hyung{-}Jin Kim and Youngsok Kim and Jinho Lee}, title = {Optimus-CC: Efficient Large {NLP} Model Training with 3D Parallelism Aware Communication Compression}, journal = {CoRR}, volume = {abs/2301.09830}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09830}, doi = {10.48550/ARXIV.2301.09830}, eprinttype = {arXiv}, eprint = {2301.09830}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-12187, author = {Jinuk Kim and Yeonwoo Jeong and Deokjae Lee and Hyun Oh Song}, title = {Efficient Latency-Aware {CNN} Depth Compression via Two-Stage Dynamic Programming}, journal = {CoRR}, volume = {abs/2301.12187}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.12187}, doi = {10.48550/ARXIV.2301.12187}, eprinttype = {arXiv}, eprint = {2301.12187}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-12187.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-07400, author = {Jae Hyun Lim and Nikola B. Kovachki and Ricardo Baptista and Christopher Beckham and Kamyar Azizzadenesheli and Jean Kossaifi and Vikram Voleti and Jiaming Song and Karsten Kreis and Jan Kautz and Christopher Pal and Arash Vahdat and Anima Anandkumar}, title = {Score-based Diffusion Models in Function Space}, journal = {CoRR}, volume = {abs/2302.07400}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.07400}, doi = {10.48550/ARXIV.2302.07400}, eprinttype = {arXiv}, eprint = {2302.07400}, timestamp = {Mon, 20 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-07400.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14840, author = {HyunJun Jung and Patrick Ruhkamp and Guangyao Zhai and Nikolas Brasch and Yitong Li and Yannick Verdie and Jifei Song and Yiren Zhou and Anil Armagan and Slobodan Ilic and Ales Leonardis and Nassir Navab and Benjamin Busam}, title = {On the Importance of Accurate Geometry Data for Dense 3D Vision Tasks}, journal = {CoRR}, volume = {abs/2303.14840}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14840}, doi = {10.48550/ARXIV.2303.14840}, eprinttype = {arXiv}, eprint = {2303.14840}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17444, author = {Deokjae Lee and JunYeong Lee and Jung{-}Woo Ha and Jin{-}Hwa Kim and Sang{-}Woo Lee and Hwaran Lee and Hyun Oh Song}, title = {Query-Efficient Black-Box Red Teaming via Bayesian Optimization}, journal = {CoRR}, volume = {abs/2305.17444}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17444}, doi = {10.48550/ARXIV.2305.17444}, eprinttype = {arXiv}, eprint = {2305.17444}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17444.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-12590, author = {Hyunwoo Song and Shuojue Yang and Zijian Wu and Hamid Moradi and Russell H. Taylor and Jin U. Kang and Septimiu E. Salcudean and Emad M. Boctor}, title = {Arc-to-line frame registration method for ultrasound and photoacoustic image-guided intraoperative robot-assisted laparoscopic prostatectomy}, journal = {CoRR}, volume = {abs/2306.12590}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.12590}, doi = {10.48550/ARXIV.2306.12590}, eprinttype = {arXiv}, eprint = {2306.12590}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-12590.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-14960, author = {Dongjun Lee and Seokwon Song and Jihee Suh and Joonmyeong Choi and Sanghyeok Lee and Hyunwoo J. Kim}, title = {Read-only Prompt Optimization for Vision-Language Few-shot Learning}, journal = {CoRR}, volume = {abs/2308.14960}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.14960}, doi = {10.48550/ARXIV.2308.14960}, eprinttype = {arXiv}, eprint = {2308.14960}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-14960.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-15979, author = {Donghyun Ahn and Minhyuk Song and SeungEon Lee and Yubin Choi and Jihee Kim and Sangyoon Park and Hyunjoo Yang and Meeyoung Cha}, title = {Fine-Grained Socioeconomic Prediction from Satellite Images with Distributional Adjustment}, journal = {CoRR}, volume = {abs/2308.15979}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.15979}, doi = {10.48550/ARXIV.2308.15979}, eprinttype = {arXiv}, eprint = {2308.15979}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-15979.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-07974, author = {Hyun Joong Kim and Yong Hyun Song and Jip Kim}, title = {Causality-based Cost Allocation for Peer-to-Peer Energy Trading in Distribution System}, journal = {CoRR}, volume = {abs/2310.07974}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.07974}, doi = {10.48550/ARXIV.2310.07974}, eprinttype = {arXiv}, eprint = {2310.07974}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-07974.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-09343, author = {Hyungjoo Chae and Yongho Song and Kai Tzu{-}iunn Ong and Taeyoon Kwon and Minjin Kim and Youngjae Yu and Dongha Lee and Dongyeop Kang and Jinyoung Yeo}, title = {Dialogue Chain-of-Thought Distillation for Commonsense-aware Conversational Agents}, journal = {CoRR}, volume = {abs/2310.09343}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.09343}, doi = {10.48550/ARXIV.2310.09343}, eprinttype = {arXiv}, eprint = {2310.09343}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-09343.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-07215, author = {Seungjun Moon and Yongho Song and Hyungjoo Chae and Dongjin Kang and Taeyoon Kwon and Kai Tzu{-}iunn Ong and Seung{-}won Hwang and Jinyoung Yeo}, title = {Coffee: Boost Your Code LLMs by Fixing Bugs with Feedback}, journal = {CoRR}, volume = {abs/2311.07215}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.07215}, doi = {10.48550/ARXIV.2311.07215}, eprinttype = {arXiv}, eprint = {2311.07215}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-07215.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15059, author = {HyunJun Jung and Nikolas Brasch and Jifei Song and Eduardo P{\'{e}}rez{-}Pellitero and Yiren Zhou and Zhihao Li and Nassir Navab and Benjamin Busam}, title = {Deformable 3D Gaussian Splatting for Animatable Human Avatars}, journal = {CoRR}, volume = {abs/2312.15059}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15059}, doi = {10.48550/ARXIV.2312.15059}, eprinttype = {arXiv}, eprint = {2312.15059}, timestamp = {Thu, 18 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15059.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15166, author = {Dahyun Kim and Chanjun Park and Sanghoon Kim and Wonsung Lee and Wonho Song and Yunsu Kim and Hyeonwoo Kim and Yungi Kim and Hyeonju Lee and Jihoo Kim and Changbae Ahn and Seonghoon Yang and Sukyung Lee and Hyunbyung Park and Gyoungjin Gim and Mikyoung Cha and Hwalsuk Lee and Sunghun Kim}, title = {{SOLAR} 10.7B: Scaling Large Language Models with Simple yet Effective Depth Up-Scaling}, journal = {CoRR}, volume = {abs/2312.15166}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15166}, doi = {10.48550/ARXIV.2312.15166}, eprinttype = {arXiv}, eprint = {2312.15166}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KimKCSRKHK22, author = {Do Hoon Kim and Young Seok Kim and Woon Hyung Cheong and Hanchan Song and Hakseung Rhee and Sooyeon Narie Kay and Jin{-}Woo Han and Kyung Min Kim}, title = {Evolutionary Learning of Binary Neural Network Using a TaOx Memristor via Stochastic Stateful Logic}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {9}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200058}, doi = {10.1002/AISY.202200058}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/KimKCSRKHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/RyuLLSAO22, author = {Jae Yong Ryu and Jeong Hyun Lee and Byung Ho Lee and Jin Sook Song and Sunjoo Ahn and Kwang{-}Seok Oh}, title = {PredMS: a random forest model for predicting metabolic stability of drug candidates in human liver microsomes}, journal = {Bioinform.}, volume = {38}, number = {2}, pages = {364--368}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btab547}, doi = {10.1093/BIOINFORMATICS/BTAB547}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/RyuLLSAO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/SongKHJB22, author = {Jiyoung Song and Jeehoon Kang and Sangwon Hyun and Eunkyoung Jee and Doo{-}Hwan Bae}, title = {Continuous verification of system of systems with collaborative {MAPE-K} pattern and probability model slicing}, journal = {Inf. Softw. Technol.}, volume = {147}, pages = {106904}, year = {2022}, url = {https://doi.org/10.1016/j.infsof.2022.106904}, doi = {10.1016/J.INFSOF.2022.106904}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/SongKHJB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoCJKSBLLKLKLS22, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Daehee Bae and Sanggwon Lee and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Chang{-}Rok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {2.45 e-RMS Low-Random-Noise, 598.5 mW Low-Power, and 1.2 kfps High-Speed 2-Mp Global Shutter {CMOS} Image Sensor With Pixel-Level {ADC} and Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {4}, pages = {1125--1137}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3142436}, doi = {10.1109/JSSC.2022.3142436}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoCJKSBLLKLKLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KimKLKRLWCSCSCS22, author = {Jin Hyun Kim and Shinhaeng Kang and Sukhan Lee and Hyeonsu Kim and Yuhwan Ro and Seungwon Lee and David Wang and Jihyun Choi and Jinin So and YeonGon Cho and Joon{-}Ho Song and Jeonghyeon Cho and Kyomin Sohn and Nam Sung Kim}, title = {Aquabolt-XL HBM2-PIM, {LPDDR5-PIM} With In-Memory Processing, and {AXDIMM} With Acceleration Buffer}, journal = {{IEEE} Micro}, volume = {42}, number = {3}, pages = {20--30}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3164651}, doi = {10.1109/MM.2022.3164651}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KimKLKRLWCSCSCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/LiuZSLKLHCKKKJY22, author = {Liu Ke and Xuan Zhang and Jinin So and Jong{-}Geon Lee and Shinhaeng Kang and Sukhan Lee and Songyi Han and YeonGon Cho and Jin Hyun Kim and Yongsuk Kwon and KyungSoo Kim and Jin Jung and IlKwon Yun and Sung Joo Park and Hyunsun Park and Joon{-}Ho Song and Jeonghyeon Cho and Kyomin Sohn and Nam Sung Kim and Hsien{-}Hsin S. Lee}, title = {Near-Memory Processing in Action: Accelerating Personalized Recommendation With AxDIMM}, journal = {{IEEE} Micro}, volume = {42}, number = {1}, pages = {116--127}, year = {2022}, url = {https://doi.org/10.1109/MM.2021.3097700}, doi = {10.1109/MM.2021.3097700}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/LiuZSLKLHCKKKJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ParkPLCRSC22, author = {Hyung Jun Park and Namu Park and Jang Ho Lee and Myeong Geun Choi and Jin{-}Sook Ryu and Min Song and Chang Min Choi}, title = {Automated extraction of information of lung cancer staging from unstructured reports of {PET-CT} interpretation: natural language processing with deep-learning}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {229}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01975-7}, doi = {10.1186/S12911-022-01975-7}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ParkPLCRSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/JangSKLKMO22, author = {Ha Young Jang and Jihyeon Song and Jae Hyun Kim and Howard Lee and In{-}Wha Kim and Bongki Moon and Jung Mi Oh}, title = {Machine learning-based quantitative prediction of drug exposure in drug-drug interactions using drug label information}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00639-0}, doi = {10.1038/S41746-022-00639-0}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/JangSKLKMO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoKJKSY22, author = {Hyunwoo Cho and Eunwoo Kil and Jihun Jang and Jinbum Kang and Ilseob Song and Yangmo Yoo}, title = {Air-Coupled Ultrasound Sealing Integrity Inspection Using Leaky Lamb Waves in a Simplified Model of a Lithium-Ion Pouch Battery: Feasibility Study}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6718}, year = {2022}, url = {https://doi.org/10.3390/s22176718}, doi = {10.3390/S22176718}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoKJKSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JiangTESKCK22, author = {Fanglin Jiang and Saizhao Tang and Jin{-}Jong Eom and Keon{-}Hyoung Song and Hyeoijin Kim and Sochung Chung and Chul{-}Hyun Kim}, title = {Accuracy of Estimated Bioimpedance Parameters with Octapolar Segmental Bioimpedance Analysis}, journal = {Sensors}, volume = {22}, number = {7}, pages = {2681}, year = {2022}, url = {https://doi.org/10.3390/s22072681}, doi = {10.3390/S22072681}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JiangTESKCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimYLS22, author = {Hyun Myung Kim and Young Jin Yoo and Jeong Min Lee and Young Min Song}, title = {A Wide Field-of-View Light-Field Camera with Adjustable Multiplicity for Practical Applications}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3455}, year = {2022}, url = {https://doi.org/10.3390/s22093455}, doi = {10.3390/S22093455}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimYLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeLJLKCHCJKKSJ22, author = {Bohee Lee and Taeheon Lee and Hyungsin Jeon and Songsub Lee and Kibum Kim and Wanhee Cho and Jeonghwan Hwang and Yong{-}Wook Chae and Jin{-}Man Jung and Hyo Jin Kang and Nan Hee Kim and Cheolmin Shin and Jaeson Jang}, title = {Synergy Through Integration of Wearable {EEG} and Virtual Reality for Mild Cognitive Impairment and Mild Dementia Screening}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {7}, pages = {2909--2919}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3147847}, doi = {10.1109/JBHI.2022.3147847}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeeLJLKCHCJKKSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/SongMPS22, author = {Xiaona Song and Jingtao Man and Ju H. Park and Shuai Song}, title = {Finite-Time Synchronization of Reaction-Diffusion Inertial Memristive Neural Networks via Gain-Scheduled Pinning Control}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {33}, number = {9}, pages = {5045--5056}, year = {2022}, url = {https://doi.org/10.1109/TNNLS.2021.3068734}, doi = {10.1109/TNNLS.2021.3068734}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/SongMPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/HyunSKLK22, author = {Seong{-}Hwan Hyun and Jiho Song and Keunwoo Kim and Jong{-}Ho Lee and Seong{-}Cheol Kim}, title = {Adaptive Beam Design for {V2I} Communications Using Vehicle Tracking With Extended Kalman Filter}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {1}, pages = {489--502}, year = {2022}, url = {https://doi.org/10.1109/TVT.2021.3127696}, doi = {10.1109/TVT.2021.3127696}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/HyunSKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimSLHK22, author = {Keunwoo Kim and Jiho Song and Jong{-}Ho Lee and Seong{-}Hwan Hyun and Seong{-}Cheol Kim}, title = {Robust Beam Management in Position and Velocity Aware {V2V} Communications Using Distributed Antenna Subarrays}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {11}, pages = {11703--11716}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3190898}, doi = {10.1109/TVT.2022.3190898}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/KimSLHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/SongHLCK22, author = {Jiho Song and Seong{-}Hwan Hyun and Jong{-}Ho Lee and Jeongsik Choi and Seong{-}Cheol Kim}, title = {Joint Vehicle Tracking and {RSU} Selection for {V2I} Communications With Extended Kalman Filter}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {5}, pages = {5609--5614}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3153345}, doi = {10.1109/TVT.2022.3153345}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/SongHLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/LeeMSLL22, author = {Hongju Lee and Jihwan Moon and Chang{-}Ick Song and Sang Hyun Lee and Inkyu Lee}, title = {Message-Passing-Based Joint User Association and Time Allocation for Wireless Powered Communication Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {21}, number = {1}, pages = {34--47}, year = {2022}, url = {https://doi.org/10.1109/TWC.2021.3093317}, doi = {10.1109/TWC.2021.3093317}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/LeeMSLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/JeongKLKK22, author = {Ji Hyeok Jeong and Keun{-}Tae Kim and Song Joo Lee and Dong{-}Joo Kim and Hyungmin Kim}, title = {CNN-based Subject-Transfer Approach for Training Minimized Lower-Limb MI-BCIs}, booktitle = {10th International Winter Conference on Brain-Computer Interface, {BCI} 2022, Gangwon-do, Korea, Republic of, February 21-23, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BCI53720.2022.9734910}, doi = {10.1109/BCI53720.2022.9734910}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/JeongKLKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BangKPS0C22, author = {Jihwan Bang and Hyunseo Koh and Seulki Park and Hwanjun Song and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on a Contaminated Data Stream with Blurry Task Boundaries}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {9265--9274}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00906}, doi = {10.1109/CVPR52688.2022.00906}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/BangKPS0C22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22, author = {Matej Kristan and Ales Leonardis and Jir{\'{\i}} Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Hyung Jin Chang and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Johanna Bj{\"{o}}rklund and Yushan Zhang and Zhongqun Zhang and Song Yan and Wenyan Yang and Dingding Cai and Christoph Mayer and Gustavo Fern{\'{a}}ndez and Kang Ben and Goutam Bhat and Hong Chang and Guangqi Chen and Jiaye Chen and Shengyong Chen and Xilin Chen and Xin Chen and Xiuyi Chen and Yiwei Chen and Yu{-}Hsi Chen and Zhixing Chen and Yangming Cheng and Angelo Ciaramella and Yutao Cui and Benjamin Dzubur and Mohana Murali Dasari and Qili Deng and Debajyoti Dhar and Shangzhe Di and Emanuel Di Nardo and Daniel K. Du and Matteo Dunnhofer and Heng Fan and Zhen{-}Hua Feng and Zhihong Fu and Shang Gao and Rama Krishna Gorthi and Eric Granger and Q. H. Gu and Himanshu Gupta and Jianfeng He and Keji He and Yan Huang and Deepak Jangid and Rongrong Ji and Cheng Jiang and Yingjie Jiang and Felix J{\"{a}}remo Lawin and Ze Kang and Madhu Kiran and Josef Kittler and Simiao Lai and Xiangyuan Lan and Dongwook Lee and Hyunjeong Lee and Seohyung Lee and Hui Li and Ming Li and Wangkai Li and Xi Li and Xianxian Li and Xiao Li and Zhe Li and Liting Lin and Haibin Ling and Bo Liu and Chang Liu and Si Liu and Huchuan Lu and Rafael M. O. Cruz and Bingpeng Ma and Chao Ma and Jie Ma and Yinchao Ma and Niki Martinel and Alireza Memarmoghadam and Christian Micheloni and Payman Moallem and Le Thanh Nguyen{-}Meidine and Siyang Pan and ChangBeom Park and Danda Pani Paudel and Matthieu Paul and Houwen Peng and Andreas Robinson and Litu Rout and Shiguang Shan and Kristian Simonato and Tianhui Song and Xiaoning Song and Chao Sun and Jingna Sun and Zhangyong Tang and Radu Timofte and Chi{-}Yi Tsai and Luc Van Gool and Om Prakash Verma and Dong Wang and Fei Wang and Liang Wang and Liangliang Wang and Lijun Wang and Limin Wang and Qiang Wang and Gangshan Wu and Jinlin Wu and Xiaojun Wu and Fei Xie and Tianyang Xu and Wei Xu and Yong Xu and Yuanyou Xu and Wanli Xue and Zizheng Xun and Bin Yan and Dawei Yang and Jinyu Yang and Wankou Yang and Xiaoyun Yang and Yi Yang and Yichun Yang and Zongxin Yang and Botao Ye and Fisher Yu and Hongyuan Yu and Jiaqian Yu and Qianjin Yu and Weichen Yu and Kang Ze and Jiang Zhai and Chengwei Zhang and Chunhu Zhang and Kaihua Zhang and Tianzhu Zhang and Wenkang Zhang and Zhibin Zhang and Zhipeng Zhang and Jie Zhao and Shao{-}Chuan Zhao and Feng Zheng and Haixia Zheng and Min Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {The Tenth Visual Object Tracking {VOT2022} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13808}, pages = {431--460}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25085-9\_25}, doi = {10.1007/978-3-031-25085-9\_25}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/YounSKB22, author = {Jiseok Youn and Jaehun Song and Hyung{-}Sin Kim and Saewoong Bahk}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Bitwidth-Adaptive Quantization-Aware Neural Network Training: {A} Meta-Learning Approach}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XII}}, series = {Lecture Notes in Computer Science}, volume = {13672}, pages = {208--224}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19775-8\_13}, doi = {10.1007/978-3-031-19775-8\_13}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/YounSKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/JungCSKSLB0BLKL22, author = {Hyun{-}Yong Jung and Myonglae Chu and Min{-}Woong Seo and Suksan Kim and Jiyoun Song and Sanggwon Lee and Sung{-}Jae Byun and Minkyung Kim and Daehee Bae and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Jonghyun Go and Jaekyu Lee and Chang{-}Rok Moon and Hyoung{-}Sub Kim}, editor = {Jon S. McElvain and Arnaud Peizerat and Nitin Sampat}, title = {Design and analysis on low-power and low-noise single slope {ADC} for digital pixel sensors}, booktitle = {Imaging Sensors and Systems 2022, online, January 15-26, 2022}, pages = {1--4}, publisher = {Society for Imaging Science and Technology}, year = {2022}, url = {https://doi.org/10.2352/EI.2022.34.7.ISS-256}, doi = {10.2352/EI.2022.34.7.ISS-256}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/JungCSKSLB0BLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/HwangYSKKS22, author = {Min{-}Jae Hwang and Hyun{-}Wook Yoon and Chan{-}Ho Song and Jin{-}Seob Kim and Jae{-}Min Kim and Eunwoo Song}, title = {Linear Prediction-based Parallel WaveGAN Speech Synthesis}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748530}, doi = {10.1109/ICEIC54506.2022.9748530}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/HwangYSKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/JeongKKLK22, author = {Ji Hyeok Jeong and Keun{-}Tae Kim and Dong{-}Joo Kim and Song Joo Lee and Hyungmin Kim}, title = {Subject-Transfer Decoding using the Convolutional Neural Network for Motor Imagery-based Brain-Computer Interface}, booktitle = {44th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2022, Glasgow, Scotland, United Kingdom, July 11-15, 2022}, pages = {48--51}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/EMBC48229.2022.9871463}, doi = {10.1109/EMBC48229.2022.9871463}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/JeongKKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimKOYSJ0S22, author = {Jang{-}Hyun Kim and Jinuk Kim and Seong Joon Oh and Sangdoo Yun and Hwanjun Song and Joonhyun Jeong and Jung{-}Woo Ha and Hyun Oh Song}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Dataset Condensation via Efficient Synthetic-Data Parameterization}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {11102--11118}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/kim22c.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimKOYSJ0S22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SongYKSHOYKK22, author = {Eunwoo Song and Ryuichi Yamamoto and Ohsung Kwon and Chan{-}Ho Song and Min{-}Jae Hwang and Suhyeon Oh and Hyun{-}Wook Yoon and Jin{-}Seob Kim and Jae{-}Min Kim}, editor = {Hanseok Ko and John H. L. Hansen}, title = {TTS-by-TTS 2: Data-Selective Augmentation for Neural Speech Synthesis Using Ranking Support Vector Machine with Variational Autoencoder}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {1941--1945}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-10134}, doi = {10.21437/INTERSPEECH.2022-10134}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SongYKSHOYKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/KimKLYJJPKS22, author = {Jongbeom Kim and Yeji Kim and Hyundong Lee and Jihyeong Yun and Hyeseung Jang and Huijeen Jin and Juhee Park and Bongjun Kim and Taigon Song}, title = {A Convenient Implementation of the Ternary Logic: Using Anti-Ambipolar Transistors and {PMOS} Based on Printed Carbon Nanotubes}, booktitle = {52nd {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL} 2022, Dallas, TX, USA, May 18-20, 2022}, pages = {15--20}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISMVL52857.2022.00010}, doi = {10.1109/ISMVL52857.2022.00010}, timestamp = {Wed, 29 Jun 2022 17:24:41 +0200}, biburl = {https://dblp.org/rec/conf/ismvl/KimKLYJJPKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismvl/LeeJYJKKS22, author = {Hyundong Lee and Hyeseung Jang and Jihyeong Yun and Huijeen Jin and Jongbeom Kim and Yeji Kim and Taigon Song}, title = {Ternary Competitive to Binary: {A} Novel Implementation of Ternary Logic Using Depletion-mode and Conventional MOSFETs}, booktitle = {52nd {IEEE} International Symposium on Multiple-Valued Logic, {ISMVL} 2022, Dallas, TX, USA, May 18-20, 2022}, pages = {21--26}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISMVL52857.2022.00011}, doi = {10.1109/ISMVL52857.2022.00011}, timestamp = {Wed, 29 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismvl/LeeJYJKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCSCKSK22, author = {Hyunsu Park and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Youngwook Kwon and Junyoung Song and Chulwoo Kim}, title = {A 0.385-pJ/bit 10-Gb/s TIA-Terminated Di-Code Transceiver with Edge-Delayed Equalization, ECC, and Mismatch Calibration for {HBM} Interfaces}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731740}, doi = {10.1109/ISSCC42614.2022.9731740}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCSCKSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCYWLJKKLKKK22, author = {Ha{-}Il Song and Hanho Choi and Jun Young Yoo and Hyosup Won and Cheong Min Lee and Huxian Jin and Tai Young Kim and Woohyun Kwon and Kyoohyun Lim and Konan Kwon and Chang{-}Ahn Kim and Taeho Kim and Jun{-}Gi Jo and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {A 50Gb/s {PAM-4} Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731707}, doi = {10.1109/ISSCC42614.2022.9731707}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SongCYWLJKKLKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/LeeKLSHL22, author = {Sang{-}Hoon Lee and Seung{-}Bin Kim and Ji{-}Hyun Lee and Eunwoo Song and Min{-}Jae Hwang and Seong{-}Whan Lee}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {HierSpeech: Bridging the Gap between Text and Speech by Hierarchical Variational Inference using Self-supervised Representations for Speech Synthesis}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/69c754f571806bf15add18556ff39b4f-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/LeeKLSHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-00138, author = {Jiho Song and Seong{-}Hwan Hyun and Jong{-}Ho Lee and Jeongsik Choi and Seong{-}Cheol Kim}, title = {Joint Vehicle Tracking and {RSU} Selection for {V2I} Communications with Extended Kalman Filter}, journal = {CoRR}, volume = {abs/2201.00138}, year = {2022}, url = {https://arxiv.org/abs/2201.00138}, eprinttype = {arXiv}, eprint = {2201.00138}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-00138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-15355, author = {Jihwan Bang and Hyunseo Koh and Seulki Park and Hwanjun Song and Jung{-}Woo Ha and Jonghyun Choi}, title = {Online Continual Learning on a Contaminated Data Stream with Blurry Task Boundaries}, journal = {CoRR}, volume = {abs/2203.15355}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.15355}, doi = {10.48550/ARXIV.2203.15355}, eprinttype = {arXiv}, eprint = {2203.15355}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-15355.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-04565, author = {HyunJun Jung and Patrick Ruhkamp and Guangyao Zhai and Nikolas Brasch and Yitong Li and Yannick Verdie and Jifei Song and Yiren Zhou and Anil Armagan and Slobodan Ilic and Ales Leonardis and Benjamin Busam}, title = {Is my Depth Ground-Truth Good Enough? {HAMMER} - Highly Accurate Multi-Modal Dataset for DEnse 3D Scene Regression}, journal = {CoRR}, volume = {abs/2205.04565}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.04565}, doi = {10.48550/ARXIV.2205.04565}, eprinttype = {arXiv}, eprint = {2205.04565}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-04565.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-14959, author = {Jang{-}Hyun Kim and Jinuk Kim and Seong Joon Oh and Sangdoo Yun and Hwanjun Song and Joonhyun Jeong and Jung{-}Woo Ha and Hyun Oh Song}, title = {Dataset Condensation via Efficient Synthetic-Data Parameterization}, journal = {CoRR}, volume = {abs/2205.14959}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.14959}, doi = {10.48550/ARXIV.2205.14959}, eprinttype = {arXiv}, eprint = {2205.14959}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-14959.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-14984, author = {Eunwoo Song and Ryuichi Yamamoto and Ohsung Kwon and Chan{-}Ho Song and Min{-}Jae Hwang and Suhyeon Oh and Hyun{-}Wook Yoon and Jin{-}Seob Kim and Jae{-}Min Kim}, title = {TTS-by-TTS 2: Data-selective augmentation for neural speech synthesis using ranking support vector machine with variational autoencoder}, journal = {CoRR}, volume = {abs/2206.14984}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.14984}, doi = {10.48550/ARXIV.2206.14984}, eprinttype = {arXiv}, eprint = {2206.14984}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-14984.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-10188, author = {Jiseok Youn and Jaehun Song and Hyung{-}Sin Kim and Saewoong Bahk}, title = {Bitwidth-Adaptive Quantization-Aware Neural Network Training: {A} Meta-Learning Approach}, journal = {CoRR}, volume = {abs/2207.10188}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.10188}, doi = {10.48550/ARXIV.2207.10188}, eprinttype = {arXiv}, eprint = {2207.10188}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-10188.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-07814, author = {Matthew Peroni and Marharyta Kurban and Sun Young Yang and Young Sun Kim and Hae Yeon Kang and Ji Hyun Song}, title = {Extending the Neural Additive Model for Survival Analysis with {EHR} Data}, journal = {CoRR}, volume = {abs/2211.07814}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.07814}, doi = {10.48550/ARXIV.2211.07814}, eprinttype = {arXiv}, eprint = {2211.07814}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-07814.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HongHLCAY21, author = {Ji{-}Song Hong and Seung{-}Yoon Hyun and Young{-}Woo Lee and Joon{-}Ho Choi and Seon{-}Ju Ahn and Sang{-}Yun Yun}, title = {Detection of Open Conductor Fault Using Multiple Measurement Factors of Feeder RTUs in Power Distribution Networks With DGs}, journal = {{IEEE} Access}, volume = {9}, pages = {143564--143579}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3121880}, doi = {10.1109/ACCESS.2021.3121880}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HongHLCAY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonKLKPSKPBRM21, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep Reinforcement Learning for Guidewire Navigation in Coronary Artery Phantom}, journal = {{IEEE} Access}, volume = {9}, pages = {166409--166422}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3135277}, doi = {10.1109/ACCESS.2021.3135277}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KweonKLKPSKPBRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YiKKPH21, author = {Ji Hyun Yi and Woojin Kang and Song{-}Ei Kim and Doyun Park and Jin{-}Hyuk Hong}, title = {Smart Culture Lens: An Application That Analyzes the Visual Elements of Ceramics}, journal = {{IEEE} Access}, volume = {9}, pages = {42868--42883}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3065407}, doi = {10.1109/ACCESS.2021.3065407}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YiKKPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/PartinBEZYXJCSF21, author = {Alexander Partin and Thomas S. Brettin and Yvonne A. Evrard and Yitan Zhu and Hyun Seung Yoo and Fangfang Xia and Songhao Jiang and Austin Clyde and Maulik Shukla and Michael Fonstein and James H. Doroshow and Rick L. Stevens}, title = {Learning curves for drug response prediction in cancer cell lines}, journal = {{BMC} Bioinform.}, volume = {22}, number = {1}, pages = {252}, year = {2021}, url = {https://doi.org/10.1186/s12859-021-04163-y}, doi = {10.1186/S12859-021-04163-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/PartinBEZYXJCSF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChunSLHJHKYPCCP21, author = {June Young Chun and Kyoung{-}Ho Song and Dong{-}eun Lee and Joo{-}Hee Hwang and Hyun Gul Jung and Eunjeong Heo and Hyung{-}sook Kim and Seonghae Yoon and Jeong Su Park and Pyoeng Gyun Choe and Jae{-}Yong Chung and Wan Beom Park and Ji Hwan Bang and Hee Hwang and Kyoung Un Park and Sang Won Park and Nam Joong Kim and Myoung{-}don Oh and Eu Suk Kim and Hong Bin Kim}, title = {Impact of a computerised clinical decision support system on vancomycin loading and the risk of nephrotoxicity}, journal = {Int. J. Medical Informatics}, volume = {149}, pages = {104403}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104403}, doi = {10.1016/J.IJMEDINF.2021.104403}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChunSLHJHKYPCCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/ZhengOSKSKC21, author = {Yuchen Zheng and Min{-}Hee Oh and Woo{-}Sub Song and Ki{-}Hyun Kim and In{-}Hee Shin and Min{-}Seok Kim and Jin{-}Hyoung Cho}, title = {Infrared Clinical Enamel Crack Detector Based on Silicon {CCD} and Its Application: {A} High-Quality and Low-Cost Option}, journal = {J. Imaging}, volume = {7}, number = {12}, pages = {259}, year = {2021}, url = {https://doi.org/10.3390/jimaging7120259}, doi = {10.3390/JIMAGING7120259}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jimaging/ZhengOSKSKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkSSCCYK21, author = {Hyunsu Park and Junyoung Song and Jincheol Sim and Yoonjae Choi and Jonghyuck Choi and Jeongsik Yoo and Chulwoo Kim}, title = {30-Gb/s 1.11-pJ/bit Single-Ended {PAM-3} Transceiver for High-Speed Memory Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {2}, pages = {581--590}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3006864}, doi = {10.1109/JSSC.2020.3006864}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkSSCCYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKCLK21, author = {Tae{-}Gyun Song and Dong{-}Kyu Kim and Jeong{-}Hyun Cho and Ji{-}Hun Lee and Hyun{-}Sik Kim}, title = {A 50.7-dB-DR Finger-Resistance Extracting Multi-Touch Sensor {IC} for Soft Classification of Fingers Contacted on 6.7-in Capacitive Touch Screen Panel}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {11}, pages = {3470--3485}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3098732}, doi = {10.1109/JSSC.2021.3098732}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SongKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HongPJSKS21, author = {Rokgi Hong and Jinseok Park and Seongju Jang and Hyungjin Shin and Hakkwan Kim and Inhong Song}, title = {Development of a Parcel-Level Land Boundary Extraction Algorithm for Aerial Imagery of Regularly Arranged Agricultural Areas}, journal = {Remote. Sens.}, volume = {13}, number = {6}, pages = {1167}, year = {2021}, url = {https://doi.org/10.3390/rs13061167}, doi = {10.3390/RS13061167}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/HongPJSKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongCKLKK21, author = {Ji Hyeok Jeong and Jun{-}Hyuk Choi and Keun{-}Tae Kim and Song{-}Joo Lee and Dong{-}Joo Kim and Hyungmin Kim}, title = {Multi-Domain Convolutional Neural Networks for Lower-Limb Motor Imagery Using Dry vs. Wet Electrodes}, journal = {Sensors}, volume = {21}, number = {19}, pages = {6672}, year = {2021}, url = {https://doi.org/10.3390/s21196672}, doi = {10.3390/S21196672}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongCKLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCOMKAKN21, author = {Kyoung Yeon Kim and Jin{-}Young Choi and Song Hee Oh and Hyung{-}Wook Moon and Seong{-}hun Kim and Hyo{-}Won Ahn and Kyung A. Kim and Gerald Nelson}, title = {Computerized Assessment of Occlusion and Muscle Activity during Use of a Multilayer Clear Retainer: {A} Preliminary Study}, journal = {Sensors}, volume = {21}, number = {2}, pages = {541}, year = {2021}, url = {https://doi.org/10.3390/s21020541}, doi = {10.3390/S21020541}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimCOMKAKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkCOK21, author = {Jae{-}Hyun Park and Jin{-}Young Choi and Song Hee Oh and Seong{-}hun Kim}, title = {Three-Dimensional Digital Superimposition of Orthodontic Bracket Position by Using a Computer-Aided Transfer Jig System: An Accuracy Analysis}, journal = {Sensors}, volume = {21}, number = {17}, pages = {5911}, year = {2021}, url = {https://doi.org/10.3390/s21175911}, doi = {10.3390/S21175911}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkCOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmobile/GuanZLSZGH21, author = {Junfeng Guan and Jitian Zhang and Ruochen Lu and Hyungjoo Seo and Jin Zhou and Songbin Gong and Haitham Hassanieh}, title = {Efficient Wideband Spectrum Sensing Using Mems Acoustic Resonators}, journal = {GetMobile Mob. Comput. Commun.}, volume = {25}, number = {3}, pages = {23--27}, year = {2021}, url = {https://doi.org/10.1145/3511285.3511293}, doi = {10.1145/3511285.3511293}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigmobile/GuanZLSZGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/KimKJKSKS21, author = {Youngtaek Kim and Jaeyoung Kim and Hyeon Jeon and Young{-}Ho Kim and Hyunjoo Song and Bo Hyoung Kim and Jinwook Seo}, title = {Githru: Visual Analytics for Understanding Software Development History Through Git Metadata Analysis}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {27}, number = {2}, pages = {656--666}, year = {2021}, url = {https://doi.org/10.1109/TVCG.2020.3030414}, doi = {10.1109/TVCG.2020.3030414}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/KimKJKSKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/KimJKKSS21, author = {Youngtaek Kim and Hyeon Jeon and Young{-}Ho Kim and Yuhoon Ki and Hyunjoo Song and Jinwook Seo}, title = {Visualization Support for Multi-criteria Decision Making in Software Issue Propagation}, booktitle = {14th {IEEE} Pacific Visualization Symposium, PacificVis 2021, Tianjin, China, April 19-21, 2021}, pages = {81--85}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PacificVis52677.2021.00018}, doi = {10.1109/PACIFICVIS52677.2021.00018}, timestamp = {Wed, 09 Jun 2021 09:35:54 +0200}, biburl = {https://dblp.org/rec/conf/apvis/KimJKKSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKKJPKCSJJYLS21, author = {Tongsung Kim and Anil Kavala and Hyunsuk Kang and Youngmin Jo and Jungjune Park and Kyoungtae Kang and Byung{-}Kwan Chun and Dong{-}Ho Shin and Dong{-}Su Jang and Byunghoon Jeong and Chiweon Yoon and Jinyub Lee and Jai Hyuk Song}, title = {A Hybrid {ZQ} Calibration Design for High-Density Flash Memory Toggle 5.0 High-speed Interface}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634722}, doi = {10.1109/A-SSCC53895.2021.9634722}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimKKJPKCSJJYLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimLKSK21, author = {Jonghyun Kim and Jiun Lee and Hyeongyu Kim and Wonjoon Song and Joongkyu Kim}, title = {Rethinking Pixel-Wise Loss for Face Super-Resolution}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369722}, doi = {10.1109/ICEIC51217.2021.9369722}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KimLKSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/YoonKLLS21, author = {Chiweon Yoon and Hyunggon Kim and Seon{-}Kyoo Lee and Jinyub Lee and Jai Hyuk Song}, title = {Issues and Key Technologies for Next Generation 3D {NAND}}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369795}, doi = {10.1109/ICEIC51217.2021.9369795}, timestamp = {Fri, 26 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/YoonKLLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimJSKPPJC21, author = {Yunsik Kim and Jinpyeo Jeung and Yonghun Song and Hyungmin Ko and Seongmin Park and Hyuk Park and Gilsu Jeon and Yoonyoung Chung}, title = {A Wearable System for Heart Rate Recovery Evaluation with Real-Time Classification on Exercise Condition}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {7609--7612}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9629673}, doi = {10.1109/EMBC46164.2021.9629673}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/KimJSKPPJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKLKSRLWSPCSC21, author = {Jin Hyun Kim and Shinhaeng Kang and Sukhan Lee and Hyeonsu Kim and Woongjae Song and Yuhwan Ro and Seungwon Lee and David Wang and Hyunsung Shin and BengSeng Phuah and Jihyun Choi and Jinin So and YeonGon Cho and Joon{-}Ho Song and Jangseok Choi and Jeonghyeon Cho and Kyomin Sohn and Young{-}Soo Sohn and Kwang{-}Il Park and Nam Sung Kim}, title = {Aquabolt-XL: Samsung {HBM2-PIM} with in-memory processing for {ML} accelerators and beyond}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--26}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567191}, doi = {10.1109/HCS52781.2021.9567191}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKLKSRLWSPCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/ChenWHWYZZZGHHH21, author = {Guanlin Chen and Wenguan Wang and Zhijian He and Lujia Wang and Yixuan Yuan and Dingwen Zhang and Jinglin Zhang and Pengfei Zhu and Luc Van Gool and Junwei Han and Steven Chu{-}Hong Hoi and Qinghua Hu and Ming Liu and Andrea Sciarrone and Chao Sun and Chiara Garibotto and Duong Nguyen{-}Ngoc Tran and Fabio Lavagetto and Halar Haleem and Hakki Motorcu and Hasan F. Ates and Huy{-}Hung Nguyen and Hyung{-}Joon Jeon and Igor Bisio and Jae Wook Jeon and Jiahao Li and Long Hoang Pham and Moongu Jeon and Qianyu Feng and Shengwen Li and Tai Huu{-}Phuong Tran and Xiao Pan and Young{-}Min Song and Yuehan Yao and Yunhao Du and Zhenyu Xu and Zhipeng Luo}, title = {VisDrone-MOT2021: The Vision Meets Drone Multiple Object Tracking Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2839--2846}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00318}, doi = {10.1109/ICCVW54120.2021.00318}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/ChenWHWYZZZGHHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanMLFPKCDZ21, author = {Matej Kristan and Jir{\'{\i}} Matas and Ales Leonardis and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Hyung Jin Chang and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Jani K{\"{a}}pyl{\"{a}} and Gustav H{\"{a}}ger and Song Yan and Jinyu Yang and Zhongqun Zhang and Gustavo Fern{\'{a}}ndez and Mohamed H. Abdelpakey and Goutam Bhat and Llukman Cerkezi and Hakan Cevikalp and Shengyong Chen and Xin Chen and Miao Cheng and Ziyi Cheng and Yu{-}Chen Chiu and Ozgun Cirakman and Yutao Cui and Kenan Dai and Mohana Murali Dasari and Qili Deng and Xingping Dong and Daniel K. Du and Matteo Dunnhofer and Zhen{-}Hua Feng and Zhiyong Feng and Zhihong Fu and Shiming Ge and Rama Krishna Gorthi and Yuzhang Gu and Bilge G{\"{u}}nsel and Qing Guo and Filiz Gurkan and Wencheng Han and Yanyan Huang and Felix J{\"{a}}remo Lawin and Shang{-}Jhih Jhang and Rongrong Ji and Cheng Jiang and Yingjie Jiang and Felix Juefei{-}Xu and J. Yin and Xiao Ke and Fahad Shahbaz Khan and Byeong Hak Kim and Josef Kittler and Xiangyuan Lan and Jun Ha Lee and Bastian Leibe and Hui Li and Jianhua Li and Xianxian Li and Yuezhou Li and Bo Liu and Chang Liu and Jingen Liu and Li Liu and Qingjie Liu and Huchuan Lu and Wei Lu and Jonathon Luiten and Jie Ma and Ziang Ma and Niki Martinel and Christoph Mayer and Alireza Memarmoghadam and Christian Micheloni and Yuzhen Niu and Danda Pani Paudel and Houwen Peng and Shoumeng Qiu and Aravindh Rajiv and Muhammad Rana and Andreas Robinson and Hasan Saribas and Ling Shao and Mohamed S. Shehata and Furao Shen and Jianbing Shen and Kristian Simonato and Xiaoning Song and Zhangyong Tang and Radu Timofte and Philip H. S. Torr and Chi{-}Yi Tsai and Bedirhan Uzun and Luc Van Gool and Paul Voigtlaender and Dong Wang and Guangting Wang and Liangliang Wang and Lijun Wang and Limin Wang and Linyuan Wang and Yong Wang and Yunhong Wang and Chenyan Wu and Gangshan Wu and Xiaojun Wu and Fei Xie and Tianyang Xu and Xiang Xu and Wanli Xue and Bin Yan and Wankou Yang and Xiaoyun Yang and Yu Ye and Jun Yin and Chengwei Zhang and Chunhui Zhang and Haitao Zhang and Kaihua Zhang and Kangkai Zhang and Xiaohan Zhang and Xiaolin Zhang and Xinyu Zhang and Zhibin Zhang and Shao{-}Chuan Zhao and Ming Zhen and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu}, title = {The Ninth Visual Object Tracking {VOT2021} Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {2711--2738}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00305}, doi = {10.1109/ICCVW54120.2021.00305}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanMLFPKCDZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnidc/YounKLKHS21, author = {Hwiyoung Youn and Soonhee Kwon and Hyunhee Lee and Jiho Kim and Song{-}Nam Hong and Dong{-}Joon Shin}, title = {Construction of Error Correcting Output Codes for Robust Deep Neural Networks Based on Label Grouping Scheme}, booktitle = {7th {IEEE} International Conference on Network Intelligence and Digital Content, {IC-NIDC} 2021, Beijing, China, November 17-19, 2021}, pages = {51--55}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IC-NIDC54101.2021.9660486}, doi = {10.1109/IC-NIDC54101.2021.9660486}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnidc/YounKLKHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeJCJPSSC21, author = {Jung{-}Hoon Lee and Min{-}Su Jeong and Jin{-}Uk Cho and Hyun{-}Kyu Jeon and Jong{-}Hyeok Park and Kyoung{-}Deok Shin and Su{-}Jeong Song and Yun{-}Gyung Cheong}, editor = {Sukhan Lee and Hyunseung Choo and Roslan Ismail}, title = {Developing a Ophthalmic Chatbot System}, booktitle = {15th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2021, Seoul, South Korea, January 4-6, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IMCOM51814.2021.9377398}, doi = {10.1109/IMCOM51814.2021.9377398}, timestamp = {Thu, 25 Mar 2021 12:01:12 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/LeeJCJPSSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/ChoiYLNKJLKSLK21, author = {Jonghwan Choi and Jinho Yang and Joohee Lym and Sang Do Noh and Yong{-}Shin Kang and Yu La Joe and Sang Hyun Lee and Jeong{-}Tae Kang and Jungmin Song and Dae Yub Lee and Hyung Sun Kim}, editor = {Alexandre Dolgui and Alain Bernard and David Lemoine and Gregor von Cieminski and David Romero}, title = {Design and Implementation of Digital Twin-Based Application for Global Manufacturing Enterprises}, booktitle = {Advances in Production Management Systems. Artificial Intelligence for Sustainable and Resilient Production Systems - {IFIP} {WG} 5.7 International Conference, {APMS} 2021, Nantes, France, September 5-9, 2021, Proceedings, Part {V}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {634}, pages = {12--19}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85914-5\_2}, doi = {10.1007/978-3-030-85914-5\_2}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip5-7/ChoiYLNKJLKSLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimJWYKNLSKROS21, author = {Taehak Kim and Jaehoon Jeong and Seungmin Woo and Jeonggyu Yang and Hyunwoo Kim and Ahyeon Nam and Changdong Lee and Jinmin Seo and Minji Kim and Siwon Ryu and Yoonju Oh and Taigon Song}, title = {{NS3K:} {A} 3nm Nanosheet {FET} Library for {VLSI} Prediction in Advanced Nodes}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401055}, doi = {10.1109/ISCAS51556.2021.9401055}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KimJWYKNLSKROS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonLLKRSOYLKCK21, author = {Young{-}Cheon Kwon and Suk Han Lee and Jaehoon Lee and Sang{-}Hyuk Kwon and Je{-}Min Ryu and Jong{-}Pil Son and Seongil O and Hak{-}soo Yu and Haesuk Lee and Soo Young Kim and Youngmin Cho and Jin Guk Kim and Jongyoon Choi and Hyunsung Shin and Jin Kim and BengSeng Phuah and Hyoungmin Kim and Myeong Jun Song and Ahn Choi and Daeho Kim and Sooyoung Kim and Eun{-}Bong Kim and David Wang and Shinhaeng Kang and Yuhwan Ro and Seungwoo Seo and Joon{-}Ho Song and Jaeyoun Youn and Kyomin Sohn and Nam Sung Kim}, title = {25.4 {A} 20nm 6GB Function-In-Memory DRAM, Based on {HBM2} with a 1.2TFLOPS Programmable Computing Unit Using Bank-Level Parallelism, for Machine Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {350--352}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365862}, doi = {10.1109/ISSCC42613.2021.9365862}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonLLKRSOYLKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/YoonLHYLSHHPPHC21, author = {Jihun Yoon and Jiwon Lee and Sunghwan Heo and Hayeong Yu and Jayeon Lim and Chi Hyun Song and SeulGi Hong and Seungbum Hong and Bokyung Park and SungHyun Park and Woo Jin Hyung and Min{-}Kook Choi}, editor = {Marleen de Bruijne and Philippe C. Cattin and St{\'{e}}phane Cotin and Nicolas Padoy and Stefanie Speidel and Yefeng Zheng and Caroline Essert}, title = {hSDB-instrument: Instrument Localization Database for Laparoscopic and Robotic Surgeries}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2021 - 24th International Conference, Strasbourg, France, September 27 - October 1, 2021, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12904}, pages = {393--402}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87202-1\_38}, doi = {10.1007/978-3-030-87202-1\_38}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/YoonLHYLSHHPPHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/GuanZLSZGH21, author = {Junfeng Guan and Jitian Zhang and Ruochen Lu and Hyungjoo Seo and Jin Zhou and Songbin Gong and Haitham Hassanieh}, editor = {James Mickens and Renata Teixeira}, title = {Efficient Wideband Spectrum Sensing Using {MEMS} Acoustic Resonators}, booktitle = {18th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2021, April 12-14, 2021}, pages = {809--825}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/nsdi21/presentation/guan}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/GuanZLSZGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/svcc/SeongSHC21, author = {ChangMin Seong and Youngrok Song and Jiwung Hyun and Yun{-}Gyung Cheong}, editor = {Sang{-}Yoon Chang and Luis Angel D. Bathen and Fabio Di Troia and Thomas H. Austin and Alex J. Nelson}, title = {Towards Building Intrusion Detection Systems for Multivariate Time-Series Data}, booktitle = {Silicon Valley Cybersecurity Conference - Second Conference, {SVCC} 2021, San Jose, CA, USA, December 2-3, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1536}, pages = {45--56}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96057-5\_4}, doi = {10.1007/978-3-030-96057-5\_4}, timestamp = {Fri, 13 May 2022 19:53:14 +0200}, biburl = {https://dblp.org/rec/conf/svcc/SeongSHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sysose/ShinHSSB21, author = {Seungchyul Shin and Sangwon Hyun and Yong{-}Jun Shin and Jiyoung Song and Doo{-}Hwan Bae}, title = {Uncertainty based Fault Type Identification for Fault Knowledge Base Generation in System of Systems}, booktitle = {16th International Conference of System of Systems Engineering, SoSE 2021, V{\"{a}}ster{\aa}s, Sweden, June 14-18, 2021}, pages = {216--221}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SOSE52739.2021.9497475}, doi = {10.1109/SOSE52739.2021.9497475}, timestamp = {Thu, 05 Aug 2021 09:37:36 +0200}, biburl = {https://dblp.org/rec/conf/sysose/ShinHSSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SeoCJKSLKLBBKLS21, author = {Min{-}Woong Seo and Myunglae Chu and Hyun{-}Yong Jung and Suksan Kim and Jiyoun Song and Junan Lee and Sung{-}Yong Kim and Jongyeon Lee and Sung{-}Jae Byun and Daehee Bae and Minkyung Kim and Gwi{-}Deok Lee and Heesung Shim and Changyong Um and Changhwa Kim and In{-}Gyu Baek and Doowon Kwon and Hongki Kim and Hyuksoon Choi and Jonghyun Go and JungChak Ahn and Jaekyu Lee and Changrok Moon and Kyupil Lee and Hyoung{-}Sub Kim}, title = {A 2.6 e-rms Low-Random-Noise, 116.2 mW Low-Power 2-Mp Global Shutter {CMOS} Image Sensor with Pixel-Level {ADC} and In-Pixel Memory}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492357}, doi = {10.23919/VLSICIRCUITS52068.2021.9492357}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/SeoCJKSLKLBBKLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-13568, author = {Youngtaek Kim and Hyeon Jeon and Kiroong Choe and Hyunjoo Song and Bo Hyoung Kim and Jinwook Seo}, title = {Interactive Visualization for Exploring Information Fragments in Software Repositories}, journal = {CoRR}, volume = {abs/2104.13568}, year = {2021}, url = {https://arxiv.org/abs/2104.13568}, eprinttype = {arXiv}, eprint = {2104.13568}, timestamp = {Tue, 04 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-13568.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-02584, author = {Seong{-}Hwan Hyun and Jiho Song and Keunwoo Kim and Jong{-}Ho Lee and Seong{-}Cheol Kim}, title = {Adaptive Beam Design for {V2I} Communications using Vehicle Tracking with Extended Kalman Filter}, journal = {CoRR}, volume = {abs/2108.02584}, year = {2021}, url = {https://arxiv.org/abs/2108.02584}, eprinttype = {arXiv}, eprint = {2108.02584}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-02584.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01840, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep reinforcement learning for guidewire navigation in coronary artery phantom}, journal = {CoRR}, volume = {abs/2110.01840}, year = {2021}, url = {https://arxiv.org/abs/2110.01840}, eprinttype = {arXiv}, eprint = {2110.01840}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-12555, author = {Jihun Yoon and Jiwon Lee and Sunghwan Heo and Hayeong Yu and Jayeon Lim and Chi Hyun Song and SeulGi Hong and Seungbum Hong and Bokyung Park and SungHyun Park and Woo Jin Hyung and Min{-}Kook Choi}, title = {hSDB-instrument: Instrument Localization Database for Laparoscopic and Robotic Surgeries}, journal = {CoRR}, volume = {abs/2110.12555}, year = {2021}, url = {https://arxiv.org/abs/2110.12555}, eprinttype = {arXiv}, eprint = {2110.12555}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-12555.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKSPKSLNK20, author = {Jun{-}Seong Kim and Hyun{-}Jin Kim and Mingeon Shin and Jae{-}Hyun Park and Oh{-}Yun Kwon and Reem Song and Sungho Lee and Sangwook Nam and Byung{-}Sung Kim}, title = {79 GHz Active Array {FMCW} Radar System on Low-Cost {FR-4} Substrates}, journal = {{IEEE} Access}, volume = {8}, pages = {213854--213865}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3039513}, doi = {10.1109/ACCESS.2020.3039513}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKSPKSLNK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/BaeHBSCSYKYK20, author = {Hyun{-}Jin Bae and Heejung Hyun and Younghwa Byeon and Keewon Shin and Yongwon Cho and Young Ji Song and Seong Yi and Sung{-}Uk Kuh and Jin S. Yeom and Namkug Kim}, title = {Fully automated 3D segmentation and separation of multiple cervical vertebrae in {CT} images using a 2D convolutional neural network}, journal = {Comput. Methods Programs Biomed.}, volume = {184}, pages = {105119}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2019.105119}, doi = {10.1016/J.CMPB.2019.105119}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/BaeHBSCSYKYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/KimCPSCKK20, author = {Sangwon Kim and Jennifer Jah Eun Chang and Hyun Ho Park and Seon Uk Song and Chang Bae Cha and Ji Won Kim and Namwoo Kang}, title = {Autonomous Taxi Service Design and User Experience}, journal = {Int. J. Hum. Comput. Interact.}, volume = {36}, number = {5}, pages = {429--448}, year = {2020}, url = {https://doi.org/10.1080/10447318.2019.1653556}, doi = {10.1080/10447318.2019.1653556}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/KimCPSCKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/SongKJCKJC20, author = {Hui{-}Jin Song and Jin Gu Kim and Kyung Eun Jang and Hyunsil Cha and Sechang Kwon and Hyeonwook Jeong and Yongmin Chang}, title = {Moderate Exercise Improves Brain Efficiency for Executive Functions in Young Adults}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {3}, pages = {782--785}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.2933}, doi = {10.1166/JMIHI.2020.2933}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/SongKJCKJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKKLLKCKKKOPI20, author = {Dongkyun Kim and Kibong Koo and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Ki Hun Kwon and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Jong{-}Sam Kim and Seungwook Oh and Minsu Park and Dain Im and Yongsung Lee and Mingyu Park and Jonghyuck Choi and Junhyun Chun and Kyowon Jin and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Changhyun Kim and Minsik Han}, title = {A 1.1-V 10-nm Class 6.4-Gb/s/Pin 16-Gb {DDR5} {SDRAM} With a Phase Rotator-ILO DLL, High-Speed SerDes, and {DFE/FFE} Equalization Scheme for Rx/Tx}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {167--177}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2948806}, doi = {10.1109/JSSC.2019.2948806}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKKLLKCKKKOPI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLPPPACSSKCC20, author = {Donguk Kim and Seunghyun Lee and Dahwan Park and Canxing Piao and Jihoon Park and Yeonsoo Ahn and Kihwan Cho and Jungsoon Shin and Seung Min Song and Seong{-}Jin Kim and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {Indirect Time-of-Flight {CMOS} Image Sensor With On-Chip Background Light Cancelling and Pseudo-Four-Tap/Two-Tap Hybrid Imaging for Motion Artifact Suppression}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2849--2865}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3021246}, doi = {10.1109/JSSC.2020.3021246}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLPPPACSSKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaeJCS20, author = {Sua Bae and Jintae Jang and Moon Hyung Choi and Tai{-}Kyong Song}, title = {In Vivo Evaluation of Plane Wave Imaging for Abdominal Ultrasonography}, journal = {Sensors}, volume = {20}, number = {19}, pages = {5675}, year = {2020}, url = {https://doi.org/10.3390/s20195675}, doi = {10.3390/S20195675}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaeJCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoKKS20, author = {Hye Jin Cho and Taewoo Kim and Hyunwoo Kim and Changsik Song}, title = {Solid-State Emissive Metallo-Supramolecular Assemblies of Quinoline-Based Acyl Hydrazone}, journal = {Sensors}, volume = {20}, number = {3}, pages = {600}, year = {2020}, url = {https://doi.org/10.3390/s20030600}, doi = {10.3390/S20030600}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoKKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKJKLK20, author = {Junhyuk Choi and Keun{-}Tae Kim and Ji Hyeok Jeong and Laehyun Kim and Song Joo Lee and Hyungmin Kim}, title = {Developing a Motor Imagery-Based Real-Time Asynchronous Hybrid {BCI} Controller for a Lower-Limb Exoskeleton}, journal = {Sensors}, volume = {20}, number = {24}, pages = {7309}, year = {2020}, url = {https://doi.org/10.3390/s20247309}, doi = {10.3390/S20247309}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKJKLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ChungLSSYLS20, author = {Minyoung Chung and Jingyu Lee and Wisoo Song and Youngchan Song and Il{-}Hyung Yang and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Automatic Registration Between Dental Cone-Beam {CT} and Scanned Surface via Deep Pose Regression Neural Networks and Clustered Similarities}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {12}, pages = {3900--3909}, year = {2020}, url = {https://doi.org/10.1109/TMI.2020.3007520}, doi = {10.1109/TMI.2020.3007520}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/ChungLSSYLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vcomm/SongWK20, author = {Hyun Min Song and Jiyoung Woo and Huy Kang Kim}, title = {In-vehicle network intrusion detection using deep convolutional neural network}, journal = {Veh. Commun.}, volume = {21}, year = {2020}, url = {https://doi.org/10.1016/j.vehcom.2019.100198}, doi = {10.1016/J.VEHCOM.2019.100198}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vcomm/SongWK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/HyunSSBB20, author = {Sangwon Hyun and Jiyoung Song and Seungchyul Shin and Young Min Baek and Doo{-}Hwan Bae}, title = {Pattern-based Analysis of Interaction Failures in Systems-of-Systems: a Case Study on Platooning}, booktitle = {27th Asia-Pacific Software Engineering Conference, {APSEC} 2020, Singapore, December 1-4, 2020}, pages = {326--335}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/APSEC51365.2020.00041}, doi = {10.1109/APSEC51365.2020.00041}, timestamp = {Sat, 20 Mar 2021 14:55:58 +0100}, biburl = {https://dblp.org/rec/conf/apsec/HyunSSBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKDZL20, author = {Matej Kristan and Ales Leonardis and Jiri Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Linbo He and Yushan Zhang and Song Yan and Jinyu Yang and Gustavo Fern{\'{a}}ndez and Alexander G. Hauptmann and Alireza Memarmoghadam and {\'{A}}lvaro Garc{\'{\i}}a{-}Mart{\'{\i}}n and Andreas Robinson and Anton Varfolomieiev and Awet Haileslassie Gebrehiwot and Bedirhan Uzun and Bin Yan and Bing Li and Chen Qian and Chi{-}Yi Tsai and Christian Micheloni and Dong Wang and Fei Wang and Fei Xie and Felix J{\"{a}}remo Lawin and Fredrik Gustafsson and Gian Luca Foresti and Goutam Bhat and Guangqi Chen and Haibin Ling and Haitao Zhang and Hakan Cevikalp and Haojie Zhao and Haoran Bai and Hari Chandana Kuchibhotla and Hasan Saribas and Heng Fan and Hossein Ghanei{-}Yakhdan and Houqiang Li and Houwen Peng and Huchuan Lu and Hui Li and Javad Khaghani and Jes{\'{u}}s Besc{\'{o}}s and Jianhua Li and Jianlong Fu and Jiaqian Yu and Jingtao Xu and Josef Kittler and Jun Yin and Junhyun Lee and Kaicheng Yu and Kaiwen Liu and Kang Yang and Kenan Dai and Li Cheng and Li Zhang and Lijun Wang and Linyuan Wang and Luc Van Gool and Luca Bertinetto and Matteo Dunnhofer and Miao Cheng and Mohana Murali Dasari and Ning Wang and Pengyu Zhang and Philip H. S. Torr and Qiang Wang and Radu Timofte and Rama Krishna Sai Subrahmanyam Gorthi and Seokeon Choi and Seyed Mojtaba Marvasti{-}Zadeh and Shao{-}Chuan Zhao and Shohreh Kasaei and Shoumeng Qiu and Shuhao Chen and Thomas B. Sch{\"{o}}n and Tianyang Xu and Wei Lu and Weiming Hu and Wengang Zhou and Xi Qiu and Xiao Ke and Xiao{-}Jun Wu and Xiaolin Zhang and Xiaoyun Yang and Xuefeng Zhu and Yingjie Jiang and Yingming Wang and Yiwei Chen and Yu Ye and Yuezhou Li and Yuncon Yao and Yunsung Lee and Yuzhang Gu and Zezhou Wang and Zhangyong Tang and Zhen{-}Hua Feng and Zhijun Mai and Zhipeng Zhang and Zhirong Wu and Ziang Ma}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {The Eighth Visual Object Tracking {VOT2020} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12539}, pages = {547--601}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68238-5\_39}, doi = {10.1007/978-3-030-68238-5\_39}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKDZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLJUKSLPJHLLH20, author = {Yongsung Ji and Hyunjae Goo and Jungman Lim and Tae{-}Young Jeong and Taiki Uemura and Gun Rae Kim and Boil Seo and Seungbae Lee and Goeun Park and Jeongmin Jo and Sang{-}Il Han and Kilho Lee and Junghyuk Lee and Sohee Hwang and Daesop Lee and Suksoo Pyo and Hyun Taek Jung and Shinhee Han and Seungmo Noh and Kiseok Suh and Sungyoung Yoon and Hyeonwoo Nam and Hyewon Hwang and Hai Jiang and J. W. Kim and D. Kwon and Yoonjong Song and K. H. Koh and Hwasung Rhee and Sangwoo Pae and E. Lee}, title = {Reliability of Industrial grade Embedded-STT-MRAM}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129178}, doi = {10.1109/IRPS45951.2020.9129178}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiGLJUKSLPJHLLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuhCLNPOKCLKPKL20, author = {Hwang Huh and Wanik Cho and Jinhaeng Lee and Yujong Noh and Yongsoon Park and Sunghwa Ok and Jongwoo Kim and Kayoung Cho and Hyunchul Lee and Geonu Kim and Kangwoo Park and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Chankeun Kwon and Hanna Cho and Chanhui Jeong and Yujin Yang and Jayoon Goo and Jangwon Park and Juhyeong Lee and Heonki Kirr and Kangwook Jo and Cheoljoong Park and Hyeonsu Nam and Hyunseok Song and Sangkyu Lee and Woopyo Jeong and Kun{-}Ok Ahn and Tae{-}Sung Jung}, title = {13.2 {A} 1Tb 4b/Cell 96-Stacked-WL 3D {NAND} Flash Memory with 30MB/s Program Throughput Using Peripheral Circuit Under Memory Cell Array Technique}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {220--221}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063117}, doi = {10.1109/ISSCC19947.2020.9063117}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuhCLNPOKCLKPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLPPPACSSKCC20, author = {Donguk Kim and Seunghyun Lee and Dahwan Park and Canxing Piao and Jihoon Park and Yeonsoo Ahn and Kihwan Cho and Jungsoon Shin and Seung Min Song and Seong{-}Jin Kim and Jung{-}Hoon Chun and Jaehyuk Choi}, title = {5.4 {A} Dynamic Pseudo 4-Tap {CMOS} Time-of-Flight Image Sensor with Motion Artifact Suppression and Background Light Cancelling Over 120klux}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {100--102}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063101}, doi = {10.1109/ISSCC19947.2020.9063101}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLPPPACSSKCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psb/HaoKTSK20, author = {Jie Hao and Sai Chandra Kosaraju and Nelson Zange Tsaku and Dae Hyun Song and Mingon Kang}, title = {PAGE-Net: Interpretable and Integrative Deep Learning for Survival Analysis Using HistopathologicalImages and Genomic Data}, booktitle = {Pacific Symposium on Biocomputing 2020, Fairmont Orchid, Hawaii, USA, January 3-7, 2020}, pages = {355--366}, year = {2020}, url = {https://psb.stanford.edu/psb-online/proceedings/psb20/Hao.pdf}, timestamp = {Fri, 13 Aug 2021 16:31:26 +0200}, biburl = {https://dblp.org/rec/conf/psb/HaoKTSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/SongKCLK20, author = {Tae{-}Gyun Song and Dong{-}Kyu Kim and Jeong{-}Hyun Cho and Ji{-}Hun Lee and Hyun{-}Sik Kim}, title = {A 50.7dB-DR Finger-Resistance Extractable Multi-Touch Sensor {IC} Achieving Finger-Classification Accuracy of 97.7{\%} on 6.7-Inch Capacitive Touch Screen Panel}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162818}, doi = {10.1109/VLSICIRCUITS18222.2020.9162818}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/SongKCLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-03115, author = {Youngtaek Kim and Jaeyoung Kim and Hyeon Jeon and Young{-}Ho Kim and Hyunjoo Song and Bo Hyoung Kim and Jinwook Seo}, title = {Githru: Visual Analytics for Understanding Software Development History Through Git Metadata Analysis}, journal = {CoRR}, volume = {abs/2009.03115}, year = {2020}, url = {https://arxiv.org/abs/2009.03115}, eprinttype = {arXiv}, eprint = {2009.03115}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-03115.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-12466, author = {Alexander Partin and Thomas S. Brettin and Yvonne A. Evrard and Yitan Zhu and Hyun Seung Yoo and Fangfang Xia and Songhao Jiang and Austin Clyde and Maulik Shukla and Michael Fonstein and James H. Doroshow and Rick Stevens}, title = {Learning Curves for Drug Response Prediction in Cancer Cell Lines}, journal = {CoRR}, volume = {abs/2011.12466}, year = {2020}, url = {https://arxiv.org/abs/2011.12466}, eprinttype = {arXiv}, eprint = {2011.12466}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-12466.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiPLCSHNSDHK19, author = {Ki Hong Choi and Jinhyoung Park and Joo Myung Lee and Taewon Choi and Young Bin Song and Joo{-}Yong Hahn and Chang{-}Wook Nam and Eun{-}Seok Shin and Joon{-}Hyung Doh and Seung{-}Ho Hur and Bon{-}Kwon Koo}, title = {Comparison of Current and Novel ECG-Independent Algorithms for Resting Pressure Derived Physiologic Indices}, journal = {{IEEE} Access}, volume = {7}, pages = {144313--144323}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2940085}, doi = {10.1109/ACCESS.2019.2940085}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiPLCSHNSDHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKCJSALPY19, author = {Jimin Lee and Hyejin Kim and Hyungjoo Cho and YoungJu Jo and Yujin Song and Daewoong Ahn and Kangwon Lee and YongKeun Park and Sung{-}Joon Ye}, title = {Deep-Learning-Based Label-Free Segmentation of Cell Nuclei in Time-Lapse Refractive Index Tomograms}, journal = {{IEEE} Access}, volume = {7}, pages = {83449--83460}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2924255}, doi = {10.1109/ACCESS.2019.2924255}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKCJSALPY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/ChuluunsaikhanS19, author = {Tserenpurev Chuluunsaikhan and Jin{-}Hyun Song and Kwan{-}Hee Yoo and Hyung{-}Chul Rah and Aziz Nasridinov}, title = {Agriculture Big Data Analysis System Based on Korean Market Information}, journal = {J. Multim. Inf. Syst.}, volume = {6}, number = {4}, pages = {217--224}, year = {2019}, url = {https://doi.org/10.33851/jmis.2019.6.4.217}, doi = {10.33851/JMIS.2019.6.4.217}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/ChuluunsaikhanS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaSWXKVBSHH19, author = {Hyunsoo Ha and Wim Sijbers and Roland Van Wegberg and Jiawei Xu and Mario Konijnenburg and Peter Vis and Arjan Breeschoten and Shuang Song and Chris Van Hoof and Nick Van Helleputte}, title = {A Bio-Impedance Readout {IC} With Digital-Assisted Baseline Cancellation for Two-Electrode Measurement}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {11}, pages = {2969--2979}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2939077}, doi = {10.1109/JSSC.2019.2939077}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaSWXKVBSHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/DiCristinaKSCDI19, author = {Giovanni DiCristina and Kyungrae Kang and Seung Jin Song and Jong Ho Choi and Hyungrok Do and Seong{-}Kyun Im}, title = {Visualization of streamline tracing inlet-isolator flows using a planar laser Rayleigh scattering imaging technique}, journal = {J. Vis.}, volume = {22}, number = {2}, pages = {359--369}, year = {2019}, url = {https://doi.org/10.1007/s12650-018-00541-6}, doi = {10.1007/S12650-018-00541-6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/DiCristinaKSCDI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/SongYPHKS19, author = {Sanggeun Song and Gil{-}Suk Yang and Seong Jun Park and Sungguan Hong and Ji{-}Hyun Kim and Jaeyoung Sung}, title = {Frequency spectrum of chemical fluctuation: {A} probe of reaction mechanism and dynamics}, journal = {PLoS Comput. Biol.}, volume = {15}, number = {9}, year = {2019}, url = {https://doi.org/10.1371/journal.pcbi.1007356}, doi = {10.1371/JOURNAL.PCBI.1007356}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/SongYPHKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJKLLLLPS19, author = {Hyeyoung Lee and Jin{-}A. Jeon and Jinyong Kim and Hyunsu Lee and Moo Hyun Lee and Manwoo Lee and Seungcheol Lee and Hwanbae Park and Sukjune Song}, title = {Measurement of Switching Performance of Pixelated Silicon Sensor Integrated with Field Effect Transistor}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5580}, year = {2019}, url = {https://doi.org/10.3390/s19245580}, doi = {10.3390/S19245580}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeJKLLLLPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/BaekSKJ19, author = {Myung{-}Sun Baek and Jin{-}Hyuk Song and O{-}Hyung Kwon and Jun{-}Young Jung}, title = {Self-Interference Cancellation in Time-Domain for {DOCSIS} 3.1 Uplink System With Full Duplex}, journal = {{IEEE} Trans. Broadcast.}, volume = {65}, number = {4}, pages = {695--701}, year = {2019}, url = {https://doi.org/10.1109/TBC.2019.2897738}, doi = {10.1109/TBC.2019.2897738}, timestamp = {Thu, 31 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/BaekSKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/SongVLHHKWXHSSB19, author = {Shuang Song and Peter Vis and Chris van Liempd and Chris Van Hoof and Nick Van Helleputte and Mario Konijnenburg and Roland Van Wegberg and Jiawei Xu and Hyunsoo Ha and Wim Sijbers and Stefano Stanzione and Dwaipayan Biswas and Arjan Breeschoten}, title = {A 769 {\(\mu\)}W Battery-Powered Single-Chip SoC With {BLE} for Multi-Modal Vital Sign Monitoring Health Patches}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {13}, number = {6}, pages = {1506--1517}, year = {2019}, url = {https://doi.org/10.1109/TBCAS.2019.2945114}, doi = {10.1109/TBCAS.2019.2945114}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/SongVLHHKWXHSSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimKJPASKLK19, author = {Hojung Kim and Yongkyu Kim and Hyunwook Ji and Hyunsik Park and Jungkwuen An and Hoon Song and Yun Tae Kim and Hong{-}Seok Lee and Kichul Kim}, title = {A Single-Chip {FPGA} Holographic Video Processor}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {3}, pages = {2066--2073}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2835424}, doi = {10.1109/TIE.2018.2835424}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimKJPASKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeKCKSJSPHPCLS19, author = {Seongsoo Lee and Donghyun Kim and Yeonje Cho and Hongseok Kim and Chiuk Song and Seungtaek Jeong and Jinwook Song and Gyeyoung Park and Seokwoo Hong and Junyong Park and Kyungjun Cho and Hyunsuk Lee and Chulhun Seo and Seungyoung Ahn and Joungho Kim}, title = {Low Leakage Electromagnetic Field Level and High Efficiency Using a Novel Hybrid Loop-Array Design for Wireless High Power Transfer System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {6}, pages = {4356--4367}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2851988}, doi = {10.1109/TIE.2018.2851988}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeKCKSJSPHPCLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/SongHLJS19, author = {Youngsoo Song and Daijoon Hyun and Jingon Lee and Jinwook Jung and Youngsoo Shin}, title = {Cut Optimization for Redundant Via Insertion in Self-Aligned Double Patterning}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {24}, number = {6}, pages = {61:1--61:21}, year = {2019}, url = {https://doi.org/10.1145/3355391}, doi = {10.1145/3355391}, timestamp = {Fri, 15 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/SongHLJS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/HyunSSB19, author = {Sangwon Hyun and Jiyoung Song and Seungchyul Shin and Doo{-}Hwan Bae}, title = {Statistical Verification Framework for Platooning System of Systems with Uncertainty}, booktitle = {26th Asia-Pacific Software Engineering Conference, {APSEC} 2019, Putrajaya, Malaysia, December 2-5, 2019}, pages = {212--219}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/APSEC48747.2019.00037}, doi = {10.1109/APSEC48747.2019.00037}, timestamp = {Mon, 13 Jan 2020 17:06:02 +0100}, biburl = {https://dblp.org/rec/conf/apsec/HyunSSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/SuhSJCCLLA19, author = {Boin Suh and Injun Song and Woojin Jeon and Younggil Cha and Kyerim Che and Seung Hyun Lee and Kijoon Lee and Jinung An}, title = {Cortical Regions Associated with Visual-Auditory Integration: an fNIRS study}, booktitle = {7th International Winter Conference on Brain-Computer Interface, {BCI} 2019, Gangwon, Korea (South), February 18-20, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IWW-BCI.2019.8737315}, doi = {10.1109/IWW-BCI.2019.8737315}, timestamp = {Tue, 22 Mar 2022 14:42:10 +0100}, biburl = {https://dblp.org/rec/conf/bci3/SuhSJCCLLA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/IgnatovLZWLHHSU19, author = {Andrey Ignatov and Juncheng Li and Jiajie Zhang and Haoyu Wu and Jie Li and Rui Huang and Muhammad Haris and Greg Shakhnarovich and Norimichi Ukita and Yuzhi Zhao and Lai{-}Man Po and Radu Timofte and Tiantian Zhang and Zongbang Liao and Xiang Shi and Yujia Zhang and Weifeng Ou and Pengfei Xian and Jingjing Xiong and Chang Zhou and Wing Yin Yu and Yubin Yubin and Sung{-}Jea Ko and Bingxin Hou and Bumjun Park and Songhyun Yu and Sangmin Kim and Jechang Jeong and Seung{-}Wook Kim and Kwang{-}Hyun Uhm and Seo{-}Won Ji and Sung{-}Jin Cho and Jun{-}Pyo Hong and Kangfu Mei}, title = {{AIM} 2019 Challenge on {RAW} to {RGB} Mapping: Methods and Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {3584--3590}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00443}, doi = {10.1109/ICCVW.2019.00443}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/IgnatovLZWLHHSU19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/SongTHJB19, author = {Jiyoung Song and Jacob O. T{\o}rring and Sangwon Hyun and Eunkyoung Jee and Doo{-}Hwan Bae}, title = {Slicing executable system-of-systems models for efficient statistical verification}, booktitle = {Proceedings of the 7th International Workshop on Software Engineering for Systems-of-Systems and 13th Workshop on Distributed Software Development, Software Ecosystems and Systems-of-Systems, SESoS-WDES 2019, Montreal, QC, Canada, May 28, 2019}, pages = {18--25}, publisher = {{IEEE} / {ACM}}, year = {2019}, url = {https://doi.org/10.1109/SESoS/WDES.2019.00011}, doi = {10.1109/SESOS/WDES.2019.00011}, timestamp = {Mon, 12 Jul 2021 15:46:54 +0200}, biburl = {https://dblp.org/rec/conf/icse/SongTHJB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaSWXKVBSHH19, author = {Hyunsoo Ha and Wim Sijbers and Roland Van Wegberg and Jiawei Xu and Mario Konijnenburg and Peter Vis and Arjan Breeschoten and Shuang Song and Chris Van Hoof and Nick Van Helleputte}, title = {A Bio-Impedance Readout {IC} With Digital-Assisted Baseline Cancellation for 2-Electrode Measurement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {368--370}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662433}, doi = {10.1109/ISSCC.2019.8662433}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaSWXKVBSHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KonijnenburgWSH19, author = {Mario Konijnenburg and Roland Van Wegberg and Shuang Song and Hyunsoo Ha and Wim Sijbers and Jiawei Xu and Stefano Stanzione and Chris van Liempd and Dwaipayan Biswas and Arjan Breeschoten and Peter Vis and Chris Van Hoof and Nick Van Helleputte}, title = {A 769{\(\mu\)}W Battery-Powered Single-Chip SoC With {BLE} for Multi-Modal Vital Sign Health Patches}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {360--362}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662520}, doi = {10.1109/ISSCC.2019.8662520}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KonijnenburgWSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkSLSCK19, author = {Hyunsu Park and Junyoung Song and Yeonho Lee and Jincheol Sim and Jonghyuck Choi and Chulwoo Kim}, title = {A 3-bit/2UI 27Gb/s {PAM-3} Single-Ended Transceiver Using One-Tap {DFE} for Next-Generation Memory Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {382--384}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662462}, doi = {10.1109/ISSCC.2019.8662462}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkSLSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/ChenJMFKSPSYMSS19, author = {Richard Chen and Filip Jankovic and Nikki Marinsek and Luca Foschini and Lampros Kourtis and Alessio Signorini and Melissa Pugh and Jie Shen and Roy Yaari and Vera Maljkovic and Marc Sunga and Han Hee Song and Hyun Joon Jung and Belle L. Tseng and Andrew Trister}, editor = {Ankur Teredesai and Vipin Kumar and Ying Li and R{\'{o}}mer Rosales and Evimaria Terzi and George Karypis}, title = {Developing Measures of Cognitive Impairment in the Real World from Consumer-Grade Multimodal Sensor Streams}, booktitle = {Proceedings of the 25th {ACM} {SIGKDD} International Conference on Knowledge Discovery {\&} Data Mining, {KDD} 2019, Anchorage, AK, USA, August 4-8, 2019}, pages = {2145--2155}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3292500.3330690}, doi = {10.1145/3292500.3330690}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/kdd/ChenJMFKSPSYMSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/JeonJCCSJKJ19, author = {Yeseul Jeon and Chongsoo Jung and Song{-}I Cheon and Hyungjoo Cho and Ji{-}Hoon Suh and Hyuntak Jeon and Seok{-}Tae Koh and Minkyu Je}, title = {A 100Mb/s Galvanically-Coupled Body-Channel-Communication Transceiver with 4.75pJ/b {TX} and 26.8 pJ/b {RX} for Bionic Arms}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {292}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778040}, doi = {10.23919/VLSIC.2019.8778040}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/JeonJCCSJKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-12250, author = {Minyoung Chung and Jingyu Lee and Wisoo Song and Youngchan Song and Il{-}Hyung Yang and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Automatic Registration between Cone-Beam {CT} and Scanned Surface via Deep-Pose Regression Neural Networks and Clustered Similarities}, journal = {CoRR}, volume = {abs/1907.12250}, year = {2019}, url = {http://arxiv.org/abs/1907.12250}, eprinttype = {arXiv}, eprint = {1907.12250}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-12250.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-11119, author = {Jianri Li and Jae{-}Whan Lee and Woo{-}Sang Song and Ki{-}Young Shin and Byung{-}Hyun Go}, title = {Designovel's system description for Fashion-IQ challenge 2019}, journal = {CoRR}, volume = {abs/1910.11119}, year = {2019}, url = {http://arxiv.org/abs/1910.11119}, eprinttype = {arXiv}, eprint = {1910.11119}, timestamp = {Fri, 25 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-11119.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CheonHHKKKSSS18, author = {Jung Hee Cheon and Kyoohyung Han and Seong{-}Min Hong and Hyoun Jin Kim and Junsoo Kim and Suseong Kim and Hosung Seo and Hyungbo Shim and Yongsoo Song}, title = {Toward a Secure Drone System: Flying With Real-Time Homomorphic Authenticated Encryption}, journal = {{IEEE} Access}, volume = {6}, pages = {24325--24339}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2819189}, doi = {10.1109/ACCESS.2018.2819189}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/CheonHHKKKSSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/SongB18, author = {Ha Yoon Song and Ji Hyun Baik}, title = {Algorithm based on particle filter framework for personal moving state classification}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {9}, number = {3}, pages = {513--530}, year = {2018}, url = {https://doi.org/10.1007/s12652-016-0439-3}, doi = {10.1007/S12652-016-0439-3}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/SongB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/MaCJKYLCN18, author = {Songling Ma and Jiwon Choi and Xuemei Jin and Hyun{-}Yi Kim and Jihye Yun and Weontae Lee and Kang{-}Yell Choi and Kyoung Tai No}, title = {Discovery of a small-molecule inhibitor of Dvl-CXXC5 interaction by computational approaches}, journal = {J. Comput. Aided Mol. Des.}, volume = {32}, number = {5}, pages = {643--655}, year = {2018}, url = {https://doi.org/10.1007/s10822-018-0118-x}, doi = {10.1007/S10822-018-0118-X}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/MaCJKYLCN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/LeeSYPPSPC18, author = {Jeongeun Lee and Hyun{-}Je Song and Eunsil Yoon and Seong{-}Bae Park and Sung{-}Hye Park and Jeong{-}Wook Seo and Peom Park and Jinwook Choi}, title = {Automated extraction of Biomarker information from pathology reports}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {18}, number = {1}, pages = {29:1--29:11}, year = {2018}, url = {https://doi.org/10.1186/s12911-018-0609-7}, doi = {10.1186/S12911-018-0609-7}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/LeeSYPPSPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimOPJLKJS18, author = {Dae Hoon Kim and Hong Gi Oh and Woo Hwan Park and Dong Cheol Jeon and Ki Moo Lim and Hyung Jin Kim and Byoung Kuk Jang and Kwang Soup Song}, title = {Detection of Alpha-Fetoprotein in Hepatocellular Carcinoma Patient Plasma with Graphene Field-Effect Transistor}, journal = {Sensors}, volume = {18}, number = {11}, pages = {4032}, year = {2018}, url = {https://doi.org/10.3390/s18114032}, doi = {10.3390/S18114032}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimOPJLKJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/XuKHWSBHH18, author = {Jiawei Xu and Mario Konijnenburg and Hyunsoo Ha and Roland Van Wegberg and Shuang Song and Dolores Blanco{-}Almaz{\'{a}}n and Chris Van Hoof and Nick Van Helleputte}, title = {A 36 {\(\mu\)}W 1.1 mm\({}^{\mbox{2}}\) Reconfigurable Analog Front-End for Cardiovascular and Respiratory Signals Recording}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {12}, number = {4}, pages = {774--783}, year = {2018}, url = {https://doi.org/10.1109/TBCAS.2018.2814699}, doi = {10.1109/TBCAS.2018.2814699}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/XuKHWSBHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/XuKSHWMFHRH18, author = {Jiawei Xu and Mario Konijnenburg and Shuang Song and Hyunsoo Ha and Roland Van Wegberg and Massimo Mazzillo and Giorgio Fallica and Chris Van Hoof and Walter De Raedt and Nick Van Helleputte}, title = {A 665 {\(\mu\)}W Silicon Photomultiplier-Based {NIRS/EEG/EIT} Monitoring {ASIC} for Wearable Functional Brain Imaging}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {12}, number = {6}, pages = {1267--1277}, year = {2018}, url = {https://doi.org/10.1109/TBCAS.2018.2883289}, doi = {10.1109/TBCAS.2018.2883289}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/XuKSHWMFHRH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YeLBRSCJP18, author = {Eunbi Ye and Seung{-}Hyun Lee and Jinhwan Baik and Seon Young Ryu and Won Hoon Song and Eue{-}Keun Choi and Chang Wook Jeong and Sung{-}Min Park}, title = {Developing a Computational Model of Renal Nerves and Surgical System for Laparoscopic Renal Denervation}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {4524--4527}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513120}, doi = {10.1109/EMBC.2018.8513120}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/YeLBRSCJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LimCAKKBSKK18, author = {Kyunghyun Lim and Minsoo Choi and Myat Thu Linn Aung and Kyunghwan Kim and Ji{-}Seong Kim and Rock{-}Hyun Baek and Ho{-}Jin Song and Tony Tae{-}Hyoung Kim and Byungsub Kim}, title = {Experimental Verification of a Simple, Intuitive, and Accurate Closed-Form Transfer Function Model for Diverse High-Speed Interconnects}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {239--240}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649925}, doi = {10.1109/ISOCC.2018.8649925}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LimCAKKBSKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/CheongYWHKLCKKY18, author = {Wooseong Cheong and Chanho Yoon and Seonghoon Woo and Kyuwook Han and Daehyun Kim and Chulseung Lee and Youra Choi and Shine Kim and Dongku Kang and Geunyeong Yu and Jaehong Kim and Jaechun Park and Ki{-}Whan Song and Ki{-}Tae Park and Sangyeun Cho and Hwaseok Oh and Daniel D. G. Lee and Jin{-}Hyeok Choi and Jaeheon Jeong}, title = {A flash memory controller for 15{\(\mu\)}s ultra-low-latency {SSD} using high-speed 3D {NAND} flash with 3{\(\mu\)}s read time}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {338--340}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310322}, doi = {10.1109/ISSCC.2018.8310322}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/CheongYWHKLCKKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XuKLSHWSMFRHH18, author = {Jiawei Xu and Mario Konijnenburg and Budi Lukita and Shuang Song and Hyunsoo Ha and Roland Van Wegberg and Erfan Sheikhi and Massimo Mazzillo and Giorgio Fallica and Walter De Raedt and Chris Van Hoof and Nick Van Helleputte}, title = {A 665{\(\mu\)}W silicon photomultiplier-based {NIRS/EEG/EIT} monitoring asic for wearable functional brain imaging}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {294--296}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310300}, doi = {10.1109/ISSCC.2018.8310300}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/XuKLSHWSMFRHH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/KoSCCKYJS18, author = {Sungwook Ko and Hyunji Song and Yulim Cho and Jiwon Chung and Sojeong Kim and Daeun Yim and Daehan Jin and Anthony Smith}, title = {LoRa network performance comparison between open area and tree farm based on {PHY} factors}, booktitle = {2018 {IEEE} Sensors Applications Symposium, {SAS} 2018, Seoul, South Korea, March 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SAS.2018.8336763}, doi = {10.1109/SAS.2018.8336763}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/sas2/KoSCCKYJS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/YimCCSJKKSR18, author = {Daeun Yim and Jiwon Chung and Yulim Cho and Hyunji Song and Daehan Jin and Sojeong Kim and Sungwook Ko and Anthony Smith and Austin Riegsecker}, title = {An experimental LoRa performance evaluation in tree farm}, booktitle = {2018 {IEEE} Sensors Applications Symposium, {SAS} 2018, Seoul, South Korea, March 12-14, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SAS.2018.8336764}, doi = {10.1109/SAS.2018.8336764}, timestamp = {Tue, 13 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sas2/YimCCSJKKSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/commres/Lee-WonLSB17, author = {Roselyn J. Lee{-}Won and Ji Young Lee and Hyunjin Song and Lorraine Borghetti}, title = {"To the Bottle {I} Go . . . to Drain My Strain"}, journal = {Commun. Res.}, volume = {44}, number = {3}, pages = {388--415}, year = {2017}, url = {https://doi.org/10.1177/0093650215607595}, doi = {10.1177/0093650215607595}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/commres/Lee-WonLSB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/SongOK17, author = {Junmo Song and Dong{-}hyun Oh and Jiwon Kang}, title = {Robust estimation in stochastic frontier models}, journal = {Comput. Stat. Data Anal.}, volume = {105}, pages = {243--267}, year = {2017}, url = {https://doi.org/10.1016/j.csda.2016.08.005}, doi = {10.1016/J.CSDA.2016.08.005}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csda/SongOK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChaYKS17, author = {Hyun{-}Jong Cha and Ho{-}Kyung Yang and Jin{-}Mook Kim and You{-}Jin Song}, title = {A Design of System using homomorphic encryption for multimedia data management}, journal = {Multim. Tools Appl.}, volume = {76}, number = {19}, pages = {19897--19912}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3767-6}, doi = {10.1007/S11042-016-3767-6}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChaYKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungSSSCC17, author = {Ku{-}young Chung and Kwang{-}Sub Song and Kangsoo Shin and Jinho Sohn and Seok Hyun Cho and Joon{-}Hyuk Chang}, title = {Noncontact Sleep Study by Multi-Modal Sensor Fusion}, journal = {Sensors}, volume = {17}, number = {7}, pages = {1685}, year = {2017}, url = {https://doi.org/10.3390/s17071685}, doi = {10.3390/S17071685}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungSSSCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimSKJM17, author = {Joon Hyub Kim and Min{-}Jung Song and Ki Beom Kim and Joon{-}Hyung Jin and Nam Ki Min}, title = {Evaluation of Surface Cleaning Procedures in Terms of Gas Sensing Properties of Spray-Deposited {CNT} Film: Thermal- and O\({}_{\mbox{2}}\) Plasma Treatments}, journal = {Sensors}, volume = {17}, number = {1}, pages = {73}, year = {2017}, url = {https://doi.org/10.3390/s17010073}, doi = {10.3390/S17010073}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimSKJM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeoCS17, author = {Seung{-}Hyun Seo and Jung{-}In Choi and Jinseok Song}, title = {Secure Utilization of Beacons and UAVs in Emergency Response Systems for Building Fire Hazard}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2200}, year = {2017}, url = {https://doi.org/10.3390/s17102200}, doi = {10.3390/S17102200}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeoCS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/HwangSCJCL17, author = {Jung Yeon Hwang and Boyeon Song and Daeseon Choi and Seung{-}Hun Jin and Hyun Sook Cho and Mun{-}Kyu Lee}, title = {Simplified small exponent test for batch verification}, journal = {Theor. Comput. Sci.}, volume = {662}, pages = {48--58}, year = {2017}, url = {https://doi.org/10.1016/j.tcs.2016.12.017}, doi = {10.1016/J.TCS.2016.12.017}, timestamp = {Thu, 28 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/HwangSCJCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/SongLKLKS17, author = {Hyunjoo Song and Jeongjin Lee and Tae Jung Kim and Kyoung Ho Lee and Bo Hyoung Kim and Jinwook Seo}, title = {GazeDx: Interactive Visual Analytics Framework for Comparative Gaze Analysis with Volumetric Medical Images}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {23}, number = {1}, pages = {311--320}, year = {2017}, url = {https://doi.org/10.1109/TVCG.2016.2598796}, doi = {10.1109/TVCG.2016.2598796}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvcg/SongLKLKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/KimYKKLS17, author = {Jin Hyun Kim and Sunmi Yeo and Min Kim and Sangbum Kye and Youngbae Lee and Tai{-}Kyong Song}, editor = {Qingli Li and Lipo Wang and Mei Zhou and Li Sun and Song Qiu and Hongying Liu}, title = {A smart-phone based portable ultrasound imaging system for point-of-care applications}, booktitle = {10th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2017, Shanghai, China, October 14-16, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CISP-BMEI.2017.8302121}, doi = {10.1109/CISP-BMEI.2017.8302121}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/KimYKKLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JungKSHLKS17, author = {Daekyoung Jung and Wonjae Kim and Hyunjoo Song and Jeongin Hwang and Bongshin Lee and Bo Hyoung Kim and Jinwook Seo}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {ChartSense: Interactive Data Extraction from Chart Images}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017}, pages = {6706--6717}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3025453.3025957}, doi = {10.1145/3025453.3025957}, timestamp = {Tue, 06 Nov 2018 16:58:42 +0100}, biburl = {https://dblp.org/rec/conf/chi/JungKSHLKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeHSJNKK17, author = {Ju Hyung Lee and Hyun Ki Hong and Byeong{-}Wook Song and Yu Jin Jung and Young Cheol Na and Nam Hyun Kim and Bong{-}Soo Kim}, title = {Preliminary study on Low Intensity Focused Ultrasound system For neuromodulation}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {4545--4548}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037867}, doi = {10.1109/EMBC.2017.8037867}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeHSJNKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/ChouKKYCLMCBSMM17, author = {Namsun Chou and YooNa Kang and Ho{-}Seong Kang and Jeong{-}Dae Yun and Wonju Chun and Kyeong Jae Lee and HyunMin Moon and Ik{-}Kyu Choi and Donghak Byun and Inho Song and Dong{-}Jun Moon and Jin{-}Hee Moon and Byeong Han Lee and Jungsuk Kim and Sung{-}Keun You and Sohee Kim}, title = {Development of an intrafascicular neural interface for peripheral nerve implantation}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {847--850}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009354}, doi = {10.1109/ICORR.2017.8009354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/ChouKKYCLMCBSMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/KimCCJJKLLLYCLC17, author = {Won Kim and Ahyoung Choi and Jaehyuk Choi and Ok{-}Ran Jeong and Yong Ju Jung and Sangwoo Kang and Joohyung Lee and Sang{-}Woong Lee and Woong{-}Kee Loh and Joon Yoo and Seongcheol Chung and Hyungchul Lee and Sungmi Chon and YoungCheol Jeon and Han Sook Kim and Jin{-}Whan Kim and Jung{-}Hun Lee and Youna Min and Geun{-}Sil Song and Sun Ok Yang}, editor = {Maria Indrawan{-}Santiago and Matthias Steinbauer and Ivan Luiz Salvadori and Ismail Khalil and Gabriele Anderst{-}Kotsis}, title = {Korea's software education initiative}, booktitle = {Proceedings of the 19th International Conference on Information Integration and Web-based Applications {\&} Services, iiWAS 2017, Salzburg, Austria, December 4-6, 2017}, pages = {553--557}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3151759.3151800}, doi = {10.1145/3151759.3151800}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiwas/KimCCJJKLLLYCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongKRKPPHYDLLK17, author = {Taejoong Song and Hoonki Kim and Woojin Rim and Yongho Kim and Sunghyun Park and Changnam Park and Minsun Hong and Giyong Yang and Jeongho Do and Jinyoung Lim and Seungyoung Lee and Ingyum Kim and Sanghoon Baek and Jonghoon Jung and Daewon Ha and Hyungsoon Jang and Taejung Lee and Chul{-}Hong Park and Bongjae Kwon and Hyuntaek Jung and Sungwee Cho and Yongjae Choo and Jaeseung Choi}, title = {12.2 {A} 7nm FinFET {SRAM} macro using {EUV} lithography for peripheral repair analysis}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {208--209}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870334}, doi = {10.1109/ISSCC.2017.7870334}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongKRKPPHYDLLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/ParkKNJS17, author = {Keunyeol Park and Ohoon Kwon and Hyunseob Noh and Minhyun Jin and Minkyu Song}, title = {Design of an Asynchronous Detector with Priority Encoding Technique}, booktitle = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017, Bochum, Germany, July 3-5, 2017}, pages = {529--532}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISVLSI.2017.98}, doi = {10.1109/ISVLSI.2017.98}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/ParkKNJS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/AnSS17, author = {Ji Hun An and Heemang Song and Hyun{-}Chool Shin}, editor = {James Jong Hyuk Park and Shu{-}Ching Chen and Kim{-}Kwang Raymond Choo}, title = {Progressive Motion Artifact Removal in {PPG} Signal for Accurate Heart Rate Estimation}, booktitle = {Advanced Multimedia and Ubiquitous Engineering - MUE/FutureTech 2017, Seoul, Korea, 22-24 May 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {448}, pages = {580--587}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-5041-1\_92}, doi = {10.1007/978-981-10-5041-1\_92}, timestamp = {Wed, 22 Jul 2020 12:38:11 +0200}, biburl = {https://dblp.org/rec/conf/mue/AnSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webist/SongKJW17, author = {Jinwoo Song and Hyunjune Kim and Ming Jin and Honguk Woo}, editor = {Tim A. Majchrzak and Paolo Traverso and Karl{-}Heinz Krempels and Val{\'{e}}rie Monfort}, title = {A Revisit to Web Browsing on Wearable Devices}, booktitle = {Proceedings of the 13th International Conference on Web Information Systems and Technologies, {WEBIST} 2017, Porto, Portugal, April 25-27, 2017}, pages = {212--224}, publisher = {SciTePress}, year = {2017}, url = {https://doi.org/10.5220/0006232102120224}, doi = {10.5220/0006232102120224}, timestamp = {Wed, 14 Jun 2017 09:36:50 +0200}, biburl = {https://dblp.org/rec/conf/webist/SongKJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/HouYSL17, author = {Jong{-}Uk Hou and In{-}Jae Yu and Hyun{-}Ji Song and Heung{-}Kyu Lee}, editor = {Brent ByungHoon Kang and Taesoo Kim}, title = {Robust 3D Mesh Watermarking Scheme for an Anti-Collusion Fingerprint Code}, booktitle = {Information Security Applications - 18th International Conference, {WISA} 2017, Jeju Island, Korea, August 24-26, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10763}, pages = {25--35}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-93563-8\_3}, doi = {10.1007/978-3-319-93563-8\_3}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wisa/HouYSL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/JeongYS16, author = {Hyunmi Jeong and Jinwoo Yang and Minseok Song}, title = {Video quality adaptation to limit energy usage in mobile systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {62}, number = {3}, pages = {301--309}, year = {2016}, url = {https://doi.org/10.1109/TCE.2016.7613197}, doi = {10.1109/TCE.2016.7613197}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/JeongYS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKYYJAKKSSPKN16, author = {Hyunui Lee and Sukyong Kang and Hye{-}Seung Yu and Won{-}Joo Yun and Jae{-}Hun Jung and Sungoh Ahn and Wang{-}Soo Kim and Beomyong Kil and Yoo{-}Chang Sung and Sang{-}Hoon Shin and Yong{-}Sik Park and Yong{-}Hwan Kim and Kyung{-}Woo Nam and Indal Song and Kyomin Sohn and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {Design of non-contact 2Gb/s {I/O} test methods for high bandwidth memory {(HBM)}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844162}, doi = {10.1109/ASSCC.2016.7844162}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeKYYJAKKSSPKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiSKBS16, author = {Koeun Choi and Hyunjoo Song and Kyle Koh and Jinwook Bok and Jinwook Seo}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Peek-a-View: Smartphone Cover Interaction for Multi-Tasking}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {4658--4662}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858426}, doi = {10.1145/2858036.2858426}, timestamp = {Wed, 01 Jun 2022 08:38:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiSKBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JinSK16, author = {Jung Hyun Jin and Young Bae Song and Duk Kyung Kim}, title = {Improved localization by mal-function Wi-Fi detection and DCT/quantization in disaster environments}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {474--477}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763516}, doi = {10.1109/ICTC.2016.7763516}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/JinSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ih/HouKSL16, author = {Jong{-}Uk Hou and Dongkyu Kim and Hyun{-}Ji Song and Heung{-}Kyu Lee}, editor = {Fernando P{\'{e}}rez{-}Gonz{\'{a}}lez and Patrick Bas and Tanya Ignatenko and Fran{\c{c}}ois Cayre}, title = {Secure Image Display through Visual Cryptography: Exploiting Temporal Responsibilities of the Human Eye}, booktitle = {Proceedings of the 4th {ACM} Workshop on Information Hiding and Multimedia Security, IH{\&}MMSec 2016, Vigo, Galicia, Spain, June 20-22, 2016}, pages = {169--174}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2909827.2930805}, doi = {10.1145/2909827.2930805}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ih/HouKSL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TanakaHVGKPYPEG16, author = {Tomoharu Tanaka and Mark Helm and Tommaso Vali and Ramin Ghodsi and Koichi Kawai and Jae{-}Kwan Park and Shigekazu Yamada and Feng Pan and Yuichi Einaga and Ali Ghalam and Toru Tanzawa and Jason Guo and Takaaki Ichikawa and Erwin Yu and Satoru Tamada and Tetsuji Manabe and Jiro Kishimoto and Yoko Oikawa and Yasuhiro Takashima and Hidehiko Kuge and Midori Morooka and Ali Mohammadzadeh and Jong Kang and Jeff Tsai and Emanuele Sirizotti and Eric Lee and Luyen Vu and Yuxing Liu and Hoon Choi and Kwonsu Cheon and Daesik Song and Daniel Shin and Jung Hee Yun and Michele Piccardi and Kim{-}Fung Chan and Yogesh Luthra and Dheeraj Srinivasan and Srinivasarao Deshmukh and Kalyan Kavalipurapu and Dan Nguyen and Girolamo Gallo and Sumant Ramprasad and Michelle Luo and Qiang Tang and Michele Incarnati and Agostino Macerola and Luigi Pilolli and Luca De Santis and Massimo Rossini and Violante Moschiano and Giovanni Santin and Bernardino Tronca and Hyunseok Lee and Vipul Patel and Ted Pekny and Aaron Yip and Naveen Prabhu and Purval Sule and Trupti Bemalkhedkar and Kiranmayee Upadhyayula and Camila Jaramillo}, title = {7.7 {A} 768Gb 3b/cell 3D-floating-gate {NAND} flash memory}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {142--144}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417947}, doi = {10.1109/ISSCC.2016.7417947}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TanakaHVGKPYPEG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/ParkSLA16, author = {Sangwoo Park and Iickho Song and Seungwon Lee and Jin{-}Hyun Ahn}, title = {Effect of simultaneous transmit and receive antennas on cooperative cognitive radio networks}, booktitle = {{IEEE} 59th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2016, Abu Dhabi, United Arab Emirates, October 16-19, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MWSCAS.2016.7870036}, doi = {10.1109/MWSCAS.2016.7870036}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/ParkSLA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/LeePS16, author = {Ji{-}Hyun Lee and Hyeoun{-}Ae Park and Tae{-}Min Song}, editor = {Walter Sermeus and Paula M. Procter and Patrick Weber}, title = {Development and Evaluation of a Low Fertility Ontology for Analyzing Social Data in Korea}, booktitle = {Nursing Informatics 2016 - eHealth for All: Every Level Collaboration - From Project to Realization, Proceedings of the 13th International Congress on Nursing Informatics, Geneva, Switzerland, June 25-29, 2016}, series = {Studies in Health Technology and Informatics}, volume = {225}, pages = {1076--1077}, publisher = {{IOS} Press}, year = {2016}, url = {https://doi.org/10.3233/978-1-61499-658-3-1076}, doi = {10.3233/978-1-61499-658-3-1076}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/LeePS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sarnoff/ParkALS16, author = {Sangwoo Park and Jin{-}Hyun Ahn and Seungwon Lee and Iickho Song}, title = {Full-duplex communication in cooperative cognitive radio networks: Preliminary results}, booktitle = {37th {IEEE} Sarnoff Symposium 2016, Newark, NJ, USA, September 19-21, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SARNOF.2016.7846773}, doi = {10.1109/SARNOF.2016.7846773}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sarnoff/ParkALS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSSWCKKKKJKH16, author = {Sangheon Lee and Jeonghwan Song and Changhyuk Seong and Jiyong Woo and Jong{-}Moon Choi and Soon{-}Chan Kwon and Ho{-}Joon Kim and Hyun{-}Suk Kang and Soo Gil Kim and Hoe Gwon Jung and Kee{-}Won Kwon and Hyunsang Hwang}, title = {Full chip integration of 3-d cross-point ReRAM with leakage-compensating write driver and disturbance-aware sense amplifier}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573503}, doi = {10.1109/VLSIC.2016.7573503}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSSWCKKKKJKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KimLKCYKGNHJKPK15, author = {Dae{-}Kyum Kim and Jaewook Lee and Sae Rom Kim and Dong{-}Sic Choi and Yae Jin Yoon and Ji Hyun Kim and Gyeongyun Go and Dinh Nhung and Kahye Hong and Su Chul Jang and Si{-}Hyun Kim and Kyong{-}Su Park and Oh Youn Kim and Hyun Taek Park and Ji Hye Seo and Elena Aikawa and Monika Baj{-}Krzyworzeka and Bas W. M. van Balkom and Mattias Belting and Lionel Blanc and Vincent Bond and Antonella Bongiovanni and Francesc E. Borr{\`{a}}s and Luc Bu{\'{e}}e and Edit I. Buz{\'{a}}s and Lesley Cheng and Aled Clayton and Emanuele Cocucci and Charles S. Dela Cruz and Dominic M. Desiderio and Dolores Di Vizio and Karin Ekstr{\"{o}}m and Juan M. Falcon{-}Perez and Chris Gardiner and Bernd Giebel and David W. Greening and Julia Christina Gross and Dwijendra Gupta and An Hendrix and Andrew F. Hill and Michelle M. Hill and Esther Nolte{-}'t Hoen and Do Won Hwang and Jameel Inal and Medicharla V. Jagannadham and Muthuvel Jayachandran and Young{-}Koo Jee and Malene J{\o}rgensen and Kwang Pyo Kim and Yoon{-}Keun Kim and Thomas Kislinger and Cecilia L{\"{a}}sser and Dong Soo Lee and Hakmo Lee and Johannes van Leeuwen and Thomas Lener and Ming{-}Lin Liu and Jan L{\"{o}}tvall and Antonio Marcilla and Suresh Mathivanan and Andreas M{\"{o}}ller and Jess Morhayim and Fran{\c{c}}ois Mullier and Irina Nazarenko and Rienk Nieuwland and Diana N. Nunes and Ken C. Pang and Jaesung Park and Tushar Patel and Gabriella Pocsfalvi and Hernando del Portillo and Ulrich Putz and Marcel I. Ramirez and Marcio L. Rodrigues and Tae{-}Young Roh and Felix Royo and Susmita Sahoo and Raymond Schiffelers and Shivani Sharma and Pia Siljander and Richard J. Simpson and Carolina Soekmadji and Philip Stahl and Allan Stensballe and Ewa L. Stepien and Hidetoshi Tahara and Arne Trummer and Hadi Valadi and Laura J. Vella and Sun Nyunt Wai and Kenneth W. Witwer and Mar{\'{\i}}a Y{\'{a}}{\~{n}}ez{-}M{\'{o}} and Hyewon Youn and Reinhard Zeidler and Yong Song Gho}, title = {EVpedia: a community web portal for extracellular vesicles research}, journal = {Bioinform.}, volume = {31}, number = {6}, pages = {933--939}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu741}, doi = {10.1093/BIOINFORMATICS/BTU741}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KimLKCYKGNHJKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YounSCSSLHLM15, author = {Su Hyun Youn and Taeyong Sim and Ahnryul Choi and Jinsung Song and Ki Young Shin and Il Kwon Lee and Hyun Mu Heo and Daeweon Lee and Joung Hwan Mun}, title = {Multi-class biological tissue classification based on a multi-classifier: Preliminary study of an automatic output power control for ultrasonic surgical units}, journal = {Comput. Biol. Medicine}, volume = {61}, pages = {92--100}, year = {2015}, url = {https://doi.org/10.1016/j.compbiomed.2015.03.021}, doi = {10.1016/J.COMPBIOMED.2015.03.021}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YounSCSSLHLM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Yongho Kim and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi and Hyo{-}Sig Won and Jaehong Park}, title = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement Techniques for Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {158--169}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2362842}, doi = {10.1109/JSSC.2014.2362842}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimSS15, author = {Hyunjin Kim and Umesh Sampath and Minho Song}, title = {Multi-Stress Monitoring System with Fiber-Optic Mandrels and Fiber Bragg Grating Sensors in a Sagnac Loop}, journal = {Sensors}, volume = {15}, number = {8}, pages = {18579--18586}, year = {2015}, url = {https://doi.org/10.3390/s150818579}, doi = {10.3390/S150818579}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SampathKKKS15, author = {Umesh Sampath and Hyunjin Kim and Dae{-}gil Kim and Young{-}Chon Kim and Minho Song}, title = {\emph{In-}\emph{S}\emph{itu} Cure Monitoring of Wind Turbine Blades by Using Fiber Bragg Grating Sensors and Fresnel Reflection Measurement}, journal = {Sensors}, volume = {15}, number = {8}, pages = {18229--18238}, year = {2015}, url = {https://doi.org/10.3390/s150818229}, doi = {10.3390/S150818229}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SampathKKKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/JinBYKJSK15, author = {Hyun{-}Bae Jin and Gi{-}Yeol Bae and Kwang{-}Hee Yoon and Tae{-}Ho Kim and Ji{-}Hoon Jang and Byung Cheol Song and Jin{-}Ku Kang}, title = {A Link Layer Design for DisplayPort Interface with State Machine Based Packet Processing}, journal = {J. Signal Process. Syst.}, volume = {79}, number = {1}, pages = {89--98}, year = {2015}, url = {https://doi.org/10.1007/s11265-014-0868-1}, doi = {10.1007/S11265-014-0868-1}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/JinBYKJSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apbpm/ParkSBSHC15, author = {Minjeong Park and Minseok Song and Tae Hyun Baek and SookYoung Son and Seung Jin Ha and Sung Woo Cho}, editor = {Joonsoo Bae and Suriadi Suriadi and Lijie Wen}, title = {Workload and Delay Analysis in Manufacturing Process Using Process Mining}, booktitle = {Asia Pacific Business Process Management - Third Asia Pacific Conference, {AP-BPM} 2015, Busan, South Korea, June 24-26, 2015, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {219}, pages = {138--151}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19509-4\_11}, doi = {10.1007/978-3-319-19509-4\_11}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apbpm/ParkSBSHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KangBKPLJPKLS15, author = {Hyun gil Kang and Sua Bae and Pilsu Kim and Jiwon Park and Gunho Lee and Woojin Jung and Minsuk Park and Kang{-}sik Kim and Wooyoul Lee and Tai{-}Kyong Song}, title = {Column-based micro-beamformer for improved 2D beamforming using a matrix array transducer}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2015, Atlanta, GA, USA, October 22-24, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BioCAS.2015.7348450}, doi = {10.1109/BIOCAS.2015.7348450}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KangBKPLJPKLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeSKL15, author = {Yi Jae Lee and Kang{-}Il Song and Ji{-}Yoon Kang and Soo Hyun Lee}, title = {Fabrication and characterization of stimulus nerve cuff electrode with highly roughened surface for chronic implant}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {3415--3418}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319126}, doi = {10.1109/EMBC.2015.7319126}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeSKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emccompo/ParkKPKLPS15, author = {Hyun Ho Park and Dong Gun Kam and Young{-}Bae Park and Jiseong Kim and Jae{-}Deok Lim and Hark{-}Byeong Park and Eakhwan Song}, title = {{RF} interference evaluation of flexible flat cables for high-speed data transmission in mobile devices}, booktitle = {10th International Workshop on the Electromagnetic Compatibility of Integrated Circuits, {EMC} Compo 2015, Edinburgh, UK, November 10-13, 2015}, pages = {169--173}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMCCompo.2015.7358351}, doi = {10.1109/EMCCOMPO.2015.7358351}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/emccompo/ParkKPKLPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kmo/KimSALK15, author = {Hyung{-}sun Kim and Gen{-}Soo Song and Hyo{-}Beom Ahn and Chang{-}Yong Lee and Jin Ho Kim}, editor = {Lorna Uden and Marjan Hericko and I{-}Hsien Ting}, title = {A Study on the Effects of {LED} Light's Pulse Width Modulation on Work Concentration}, booktitle = {Knowledge Management in Organizations - 10th International Conference, {KMO} 2015, Maribor, Slovenia, August 24-28, 2015, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {224}, pages = {550--560}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-21009-4\_41}, doi = {10.1007/978-3-319-21009-4\_41}, timestamp = {Fri, 19 May 2017 01:26:07 +0200}, biburl = {https://dblp.org/rec/conf/kmo/KimSALK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secsr/HwangKCJS15, author = {Jung Yeon Hwang and Seung{-}Hyun Kim and Daeseon Choi and Seung{-}Hun Jin and Boyeon Song}, editor = {Liqun Chen and Shin'ichiro Matsuo}, title = {Robust Authenticated Key Exchange Using Passwords and Identity-Based Signatures}, booktitle = {Security Standardisation Research - Second International Conference, {SSR} 2015, Tokyo, Japan, December 15-16, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9497}, pages = {43--69}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27152-1\_3}, doi = {10.1007/978-3-319-27152-1\_3}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/secsr/HwangKCJS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/WonKCSK15, author = {Jin{-}Ju Won and Sungho Kim and Youngrea Cho and Woo{-}Jin Song and So{-}Hyun Kim}, title = {Synthetic {SAR/IR} database generation for sensor fusion-based {A.T.R}}, booktitle = {12th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2015, Goyang, South Korea, October 28-30, 2015}, pages = {421--424}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/URAI.2015.7358893}, doi = {10.1109/URAI.2015.7358893}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/WonKCSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YangYCLWYHKSCY14, author = {Xiaopeng Yang and Hee Chul Yu and Younggeun Choi and Wonsup Lee and Baojian Wang and Jaedo Yang and Hongpil Hwang and Ji Hyun Kim and Jisoo Song and Baik Hwan Cho and Heecheon You}, title = {A hybrid semi-automatic method for liver segmentation based on level-set methods using multiple seed points}, journal = {Comput. Methods Programs Biomed.}, volume = {113}, number = {1}, pages = {69--79}, year = {2014}, url = {https://doi.org/10.1016/j.cmpb.2013.08.019}, doi = {10.1016/J.CMPB.2013.08.019}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/YangYCLWYHKSCY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LeeLKLLYPK14, author = {Dong Hyung Lee and Seok Jae Lee and Ja{-}Ryong Koo and Ho Won Lee and Song Eun Lee and Hyung Jin Yang and Jaehoon Park and Young Kwan Kim}, title = {Improved efficiency and lifetime for green phosphorescent organic light-emitting diodes using charge control layer}, journal = {Displays}, volume = {35}, number = {2}, pages = {79--83}, year = {2014}, url = {https://doi.org/10.1016/j.displa.2014.02.002}, doi = {10.1016/J.DISPLA.2014.02.002}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LeeLKLLYPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongAL14, author = {Ji{-}Hyun Song and Hongsub An and Sangmin Lee}, title = {Speech/Music Classification Enhancement for 3GPP2 {SMV} Codec Based on Deep Belief Networks}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {2}, pages = {661--664}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.661}, doi = {10.1587/TRANSFUN.E97.A.661}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SongAL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/ChoLCO14, author = {Jungchan Cho and Minsik Lee and Hyung Jin Chang and Songhwai Oh}, title = {Robust action recognition using local motion and group sparsity}, journal = {Pattern Recognit.}, volume = {47}, number = {5}, pages = {1813--1825}, year = {2014}, url = {https://doi.org/10.1016/j.patcog.2013.12.004}, doi = {10.1016/J.PATCOG.2013.12.004}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/ChoLCO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/YeomSHH14, author = {Joon{-}Hyung Yeom and Seong{-}Ho Song and Jinwoo Hong and In{-}Joong Ha}, title = {Singular perturbationlike approach to compensation of actuator dynamics effect in missile control}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {50}, number = {4}, pages = {2417--2439}, year = {2014}, url = {https://doi.org/10.1109/TAES.2014.120332}, doi = {10.1109/TAES.2014.120332}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/YeomSHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/UmKCCSKLBKCKSP14, author = {Ji{-}Yong Um and Yoon{-}Jee Kim and Seong{-}Eun Cho and Min{-}Kyun Chae and Jongkeun Song and Bae{-}Hyung Kim and Seung{-}Hun Lee and Jihoon Bang and Youngil Kim and Kyungil Cho and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {An Analog-Digital Hybrid {RX} Beamformer Chip With Non-Uniform Sampling for Ultrasound Medical Imaging With 2D {CMUT} Array}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {799--809}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2375958}, doi = {10.1109/TBCAS.2014.2375958}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/UmKCCSKLBKCKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimJYS14, author = {Euiseok Kim and Hyunmi Jeong and Jinwoo Yang and Minseok Song}, title = {Balancing energy use against video quality in mobile devices}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {60}, number = {3}, pages = {517--524}, year = {2014}, url = {https://doi.org/10.1109/TCE.2014.6937338}, doi = {10.1109/TCE.2014.6937338}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimJYS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/SongYKS14, author = {Hyunjoo Song and Jihye Yun and Bo Hyoung Kim and Jinwook Seo}, title = {GazeVis: Interactive 3D Gaze Visualization for Contiguous Cross-Sectional Medical Images}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {20}, number = {5}, pages = {726--739}, year = {2014}, url = {https://doi.org/10.1109/TVCG.2013.271}, doi = {10.1109/TVCG.2013.271}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/SongYKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/KimSK14, author = {Jin Tae Kim and Hyunsoo Song and Dong{-}Soo Kwon}, editor = {Gerhard Sagerer and Michita Imai and Tony Belpaeme and Andrea Lockerd Thomaz}, title = {Behavioral analysis of a touch-based interaction between humans and an egg-shaped robot according to protrusions}, booktitle = {{ACM/IEEE} International Conference on Human-Robot Interaction, HRI'14, Bielefeld, Germany, March 3-6, 2014}, pages = {206--207}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559636.2563708}, doi = {10.1145/2559636.2563708}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/KimSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKOKSC14, author = {Igor Kim and Gwangzeen Ko and Jinhyung Oh and Hyunduk Kang and Myung Sun Song and Jaeick Choi}, title = {Performance evaluation and enhancement of scheduled and polled block {ACK} multicast protocols}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {416--421}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983171}, doi = {10.1109/ICTC.2014.6983171}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimKOKSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhCSC14, author = {Jinhyung Oh and Jaeick Choi and Myungsun Song and Hyung{-}Do Choi}, title = {Performance comparison of cooperative downlink transmission schemes in {IEEE} 802.11ac: Interference alignment vs. {MU-MIMO} with {TDMA}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {200--205}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983117}, doi = {10.1109/ICTC.2014.6983117}, timestamp = {Sun, 29 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/OhCSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/OhKCSCK14, author = {Jinhyung Oh and Gwangzeen Ko and Jaeick Choi and Myungsun Song and Hyung{-}Do Choi and Myeong{-}Jin Kim}, title = {Protocol design for interference alignment in 802.11 {WLAN} interfering network}, booktitle = {Sixth International Conference on Ubiquitous and Future Networks, {ICUFN} 2014, Shanghai, China, July 8-11, 2014}, pages = {549--554}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICUFN.2014.6876855}, doi = {10.1109/ICUFN.2014.6876855}, timestamp = {Thu, 28 Jul 2022 14:35:38 +0200}, biburl = {https://dblp.org/rec/conf/icufn/OhKCSCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/OhSCCK14, author = {Jinhyung Oh and Myungsun Song and Jaeick Choi and Hyung{-}Do Choi and Myeong{-}Jin Kim}, title = {Partial band interference alignment for overlapped {WLAN} channel allocation}, booktitle = {Sixth International Conference on Ubiquitous and Future Networks, {ICUFN} 2014, Shanghai, China, July 8-11, 2014}, pages = {555--559}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICUFN.2014.6876856}, doi = {10.1109/ICUFN.2014.6876856}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/OhSCCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscit/OhCSC14, author = {Jinhyung Oh and Jaeick Choi and Myungsun Song and Hyung{-}Do Choi}, title = {Simple linear transceiver designs for interference alignment in {IEEE} 802.11ac interfering network}, booktitle = {14th International Symposium on Communications and Information Technologies, {ISCIT} 2014, Incheon, South Korea, September 24-26, 2014}, pages = {40--44}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCIT.2014.7011866}, doi = {10.1109/ISCIT.2014.7011866}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iscit/OhCSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSHBLLPCCCKCK14, author = {Hyun{-}Woo Lee and Junyoung Song and Sangah Hyun and Seunggeun Baek and Yuri Lim and Jungwan Lee and Minsu Park and Haerang Choi and Changkyu Choi and Jin{-}Youp Cha and Jaeil Kim and Hoon Choi and Seung{-}Wook Kwack and Yonggu Kang and Jongsam Kim and Junghoon Park and Jonghwan Kim and Jin{-}Hee Cho and Chulwoo Kim and Yunsaing Kim and Jaejin Lee and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.3 {A} 1.35V 5.0Gb/s/pin {GDDR5M} with 5.4mW standby power and an error-adaptive duty-cycle corrector}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {434--435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757502}, doi = {10.1109/ISSCC.2014.6757502}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSHBLLPCCCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKNCKKLCKCK14, author = {Ki{-}Tae Park and Jin{-}Man Han and Dae{-}Han Kim and Sangwan Nam and Kihwan Choi and Minsu Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Kyung{-}Min Kang and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Hyun Wook Park and Sang{-}Won Shim and Hyun{-}Jun Yoon and Doo{-}Hyun Kim and Sang{-}Won Park and Kangbin Lee and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jeunghwan Park and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dongkyu Youn and Won{-}Tae Kim and Taehyun Kim and Sung{-}Jun Kim and Sungwhan Seo and Hyunggon Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Moosung Kim and Myong{-}Seok Kim and Jinseon Yeon and Jae{-}hoon Jang and Han{-}Soo Kim and Woonkyung Lee and Duheon Song and Sungsoo Lee and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {19.5 Three-dimensional 128Gb {MLC} vertical {NAND} Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {334--335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757458}, doi = {10.1109/ISSCC.2014.6757458}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKNCKKLCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Kee Sup Kim and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi}, title = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques for low-power applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757413}, doi = {10.1109/ISSCC.2014.6757413}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/UmSKCCSKLBKCKSP14, author = {Ji{-}Yong Um and Eun{-}Woo Song and Yoon{-}Jee Kim and Seong{-}Eun Cho and Min{-}Kyun Chae and Jongkeun Song and Bae{-}Hyung Kim and Seunghun Lee and Jihoon Bang and Youngil Kim and Kyungil Cho and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {24.8 An analog-digital-hybrid single-chip {RX} beamformer with non-uniform sampling for 2D-CMUT ultrasound imaging to achieve wide dynamic range of delay and small chip area}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {426--427}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757499}, doi = {10.1109/ISSCC.2014.6757499}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/UmSKCCSKLBKCKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/LeeYCCSK13, author = {Joonsung Lee and Yizhuang Song and Narae Choi and Sungmin Cho and Jin Keun Seo and Dong{-}Hyun Kim}, title = {Noninvasive Measurement of Conductivity Anisotropy at Larmor Frequency Using {MRI}}, journal = {Comput. Math. Methods Medicine}, volume = {2013}, pages = {421619:1--421619:12}, year = {2013}, url = {https://doi.org/10.1155/2013/421619}, doi = {10.1155/2013/421619}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/LeeYCCSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongL13, author = {Ji{-}Hyun Song and Sangmin Lee}, title = {Voice Activity Detection Based on Generalized Normal-Laplace Distribution Incorporating Conditional {MAP}}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {12}, pages = {2888--2891}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.2888}, doi = {10.1587/TRANSINF.E96.D.2888}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SongL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/OhKYJ13, author = {Jihoon Oh and Jae Hyung Kwon and Po Song Yang and Jaeseung Jeong}, title = {Auditory Imagery Modulates Frequency-specific Areas in the Human Auditory Cortex}, journal = {J. Cogn. Neurosci.}, volume = {25}, number = {2}, pages = {175--187}, year = {2013}, url = {https://doi.org/10.1162/jocn\_a\_00280}, doi = {10.1162/JOCN\_A\_00280}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/OhKYJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoPYLJSCHKJ13, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive Multi-Pulse Program Scheme Based on Tunneling Speed Classification for Next Generation Multi-Bit/Cell {NAND} {FLASH}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {948--959}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237974}, doi = {10.1109/JSSC.2013.2237974}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoPYLJSCHKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/WuPSSC13, author = {Zhengguang Wu and Ju H. Park and Hongye Su and Bo Song and Jian Chu}, title = {Mixed \emph{H}\({}_{\mbox{{\(\infty\)}}}\) and passive filtering for singular systems with time delays}, journal = {Signal Process.}, volume = {93}, number = {7}, pages = {1705--1711}, year = {2013}, url = {https://doi.org/10.1016/j.sigpro.2013.01.003}, doi = {10.1016/J.SIGPRO.2013.01.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/WuPSSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/KimJALS13, author = {Wan Kim and Hyunchul Joo and Ki Jin An and Inkyu Lee and Hwangjun Song}, title = {Urgency-based packet scheduling and routing algorithms for delay-sensitive data over MANETs}, journal = {Wirel. Networks}, volume = {19}, number = {7}, pages = {1595--1609}, year = {2013}, url = {https://doi.org/10.1007/s11276-013-0557-1}, doi = {10.1007/S11276-013-0557-1}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/KimJALS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/ChangKCOYC13, author = {Hyung Jin Chang and Jiyun Kim and Jungchan Cho and Songhwai Oh and Kwang Moo Yi and Jin Young Choi}, editor = {Tilo Burghardt and Dima Damen and Walterio W. Mayol{-}Cuevas and Majid Mirmehdi}, title = {Action Chart: {A} Representation for Efficient Recognition of Complex Activity}, booktitle = {British Machine Vision Conference, {BMVC} 2013, Bristol, UK, September 9-13, 2013}, publisher = {{BMVA} Press}, year = {2013}, url = {https://doi.org/10.5244/C.27.81}, doi = {10.5244/C.27.81}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/ChangKCOYC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ZaidiJSLY13, author = {Syed Muhammad Asad Zaidi and Ji{-}Eun Jung and Byunghun Song and Hyung Su Lee and Hee Yong Youn}, title = {Multi-Channel Multi-Path video transmission over wireless sensor networks}, booktitle = {10th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {277--282}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CCNC.2013.6488458}, doi = {10.1109/CCNC.2013.6488458}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/ZaidiJSLY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecctd/HwangKRLNS13, author = {Inkyung Hwang and Daehyuk Kim and Ji{-}Hyun Roh and Mun{-}Kyo Lee and Sun{-}Phil Nah and Minkyu Song}, title = {An 8-b cascaded folding {A/D} converter with a new fully differential source follower}, booktitle = {21st European Conference on Circuit Theory and Design, {ECCTD} 2013, Dresden, Germany, September 8-12, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ECCTD.2013.6662272}, doi = {10.1109/ECCTD.2013.6662272}, timestamp = {Mon, 09 Aug 2021 01:32:18 +0200}, biburl = {https://dblp.org/rec/conf/ecctd/HwangKRLNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChoiSKHPS13, author = {Eunhye Choi and Suin Song and Hyejin Kim and Jiyeon Hong and Hyunggon Park and Mihaela van der Schaar}, title = {Utility-based server management strategy in cloud networks}, booktitle = {Workshops Proceedings of the Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {464--469}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOMW.2013.6825031}, doi = {10.1109/GLOCOMW.2013.6825031}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChoiSKHPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimYKCRKSAPPK13, author = {Ki Young Kim and Changwook Yoon and Nam Yoon Kim and Jinsung Choi and Young{-}Ho Ryu and Dong{-}Zo Kim and Keum{-}Su Song and Chi{-}Hyung Ahn and Eunseok Park and Yun{-}Kwon Park and Sangwook Kwon}, title = {Magnetic resonance wireless power transfer system for practical mid-range distance powering scenario references}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {175--176}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486846}, doi = {10.1109/ICCE.2013.6486846}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimYKCRKSAPPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangKKOSC13, author = {Hyunduk Kang and Gwangzeen Ko and Igor Kim and Jinhyung Oh and Myung Sun Song and Jaeick Choi}, title = {Overlapping {BSS} interference mitigation among {WLAN} systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {913--917}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675517}, doi = {10.1109/ICTC.2013.6675517}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KangKKOSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPPKNS13, author = {Jung{-}Hyun Kim and Jin Soo Park and Ki{-}Hyeon Park and Inseon Kim and Mi{-}Young Nam and Hong{-}Yeop Song}, title = {Reliability comparison of various regenerating codes for cloud services}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {649--653}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675444}, doi = {10.1109/ICTC.2013.6675444}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimPPKNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhKKKSC13, author = {Jinhyung Oh and Gwangzeen Ko and Igor Kim and Hyunduk Kang and Myungsun Song and Jaeick Choi}, title = {Distributed implicit interference alignment in 802.11ac {WLAN} network}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {421--426}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675387}, doi = {10.1109/ICTC.2013.6675387}, timestamp = {Fri, 04 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/OhKKKSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkPKKSL13, author = {Ki{-}Hyeon Park and Jin Soo Park and Jung{-}Hyun Kim and Inseon Kim and Hong{-}Yeop Song and Jang Yong Lee}, title = {Performance comparison of {LDPC} convolutional codes for memory size and encoder block size}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {512--515}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675408}, doi = {10.1109/ICTC.2013.6675408}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkPKKSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/JeongYLYHS13, author = {Hae{-}Duck Joshua Jeong and Sang{-}Kug Ye and Jiyoung Lim and Ilsun You and WooSeok Hyun and Hee{-}Kyoung Song}, editor = {Leonard Barolli and Ilsun You and Fatos Xhafa and Fang{-}Yie Leu and Hsing{-}Chung Chen}, title = {A Remote Computer Control System Using Speech Recognition Technologies of Mobile Devices}, booktitle = {Seventh International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {595--600}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IMIS.2013.105}, doi = {10.1109/IMIS.2013.105}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/JeongYLYHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/KimJAS13, author = {Wan Kim and Hyunchul Joo and Ki Jin An and Hwangjun Song}, editor = {Roberto Saracco and Khaled Ben Letaief and Mario Gerla and Sergio Palazzo and Luigi Atzori}, title = {A novel packet urgency metric-based cross-layer design for video streaming over multi-rate MANETs}, booktitle = {2013 9th International Wireless Communications and Mobile Computing Conference, {IWCMC} 2013, Sardinia, Italy, July 1-5, 2013}, pages = {1193--1198}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IWCMC.2013.6583726}, doi = {10.1109/IWCMC.2013.6583726}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/KimJAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsda/KimSKLK13, author = {Jung{-}Hyun Kim and Hong{-}Yeop Song and Jihyung Kim and Kwang Jae Lim and Dong Seung Kwon}, title = {Distributed Frequency Synchronization for OFDMA-based wireless mesh networks}, booktitle = {The Sixth International Workshop on Signal Design and Its Applications in Communications, {IWSDA} 2013, Tokyo, Japan, October 27 - November 1, 2013}, pages = {138--141}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IWSDA.2013.6849082}, doi = {10.1109/IWSDA.2013.6849082}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwsda/KimSKLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/LeeMSYKKR13, author = {Jooyi Lee and Ji Chan Maeng and Byeonghun Song and Hyunmin Yoon and Taeho Kim and Won{-}Tae Kim and Minsoo Ryu}, editor = {James J. Park and Joseph Kee{-}Yin Ng and Hwa{-}Young Jeong and Agustinus Borgy Waluyo}, title = {Software-Based Fault Detection and Recovery for Cyber-Physical Systems}, booktitle = {Multimedia and Ubiquitous Engineering, {MUE} 2013, May 9-11, 2013, Seoul, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {240}, pages = {1107--1112}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6738-6\_136}, doi = {10.1007/978-94-007-6738-6\_136}, timestamp = {Sun, 04 Jun 2017 10:10:13 +0200}, biburl = {https://dblp.org/rec/conf/mue/LeeMSYKKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimSK13, author = {Jin Tae Kim and Hyunsoo Song and Dong{-}Soo Kwon}, title = {Behavioral analysis of touch-based interaction of humans with an egg-shaped robot}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {730--733}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677440}, doi = {10.1109/URAI.2013.6677440}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ZaidiJKSK12, author = {Syed Muhammad Asad Zaidi and Ji{-}Eun Jung and Minsoo Kang and Byunghun Song and Ki{-}Hyung Kim}, title = {Remote Industrial Sensor Network Monitoring Using {M2M} Based Ethical Sniffers}, journal = {Int. J. Distributed Sens. Networks}, volume = {8}, year = {2012}, url = {https://doi.org/10.1155/2012/286424}, doi = {10.1155/2012/286424}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ZaidiJKSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/WuPSSC12, author = {Zheng{-}Guang Wu and Ju H. Park and Hongye Su and Bo Song and Jian Chu}, title = {Exponential synchronization for complex dynamical networks with sampled-data}, journal = {J. Frankl. Inst.}, volume = {349}, number = {9}, pages = {2735--2749}, year = {2012}, url = {https://doi.org/10.1016/j.jfranklin.2012.09.002}, doi = {10.1016/J.JFRANKLIN.2012.09.002}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/WuPSSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12, author = {Chulbum Kim and Jinho Ryu and Tae{-}Sung Lee and Hyunggon Kim and Jaewoo Lim and Jaeyong Jeong and Seonghwan Seo and Hongsoo Jeon and Bokeun Kim and Inyoul Lee and Dooseop Lee and Pansuk Kwak and Seongsoon Cho and Yongsik Yim and Changhyun Cho and Woopyo Jeong and Kwang{-}Il Park and Jin{-}Man Han and Duheon Song and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 21 nm High Performance 64 Gb {MLC} {NAND} Flash Memory With 400 MB/s Asynchronous Toggle {DDR} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {981--989}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185341}, doi = {10.1109/JSSC.2012.2185341}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/KimLSLPSKC12, author = {Dong{-}Wook Kim and Hyung{-}Gyu Lim and Ki{-}Woong Seong and Jyung Hyun Lee and Hee Joon Park and Byung{-}Seop Song and Myoung Nam Kim and Jin{-}Ho Cho}, title = {Verification of open platform board and bluetooth dongle for wireless controlling binaural hearing aids}, booktitle = {Proceedings of 2012 {IEEE-EMBS} International Conference on Biomedical and Health Informatics, Hong Kong, China, January 5-7, 2012}, pages = {593--595}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BHI.2012.6211652}, doi = {10.1109/BHI.2012.6211652}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/bhi/KimLSLPSKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/JangNLJSLK12, author = {Yongwon Jang and Hyung Wook Noh and In Bum Lee and Ji{-}Wook Jung and Yoonseon Song and Sooyeul Lee and Seunghwan Kim}, title = {Development of a patch type embedded cardiac function monitoring system using dual microprocessor for arrhythmia detection in heart disease patient}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {2162--2165}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346389}, doi = {10.1109/EMBC.2012.6346389}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/JangNLJSLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/JeongLSJNL12, author = {Ji{-}Wook Jeong and In Bum Lee and Yoonseon Song and Yongwon Jang and Hyung Wook Noh and Sooyeul Lee}, title = {Sequential algorithm for the detection of the shockable rhythms in electrocardiogram}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {5082--5085}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347136}, doi = {10.1109/EMBC.2012.6347136}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/JeongLSJNL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/NohJLSJL12, author = {Hyung Wook Noh and Yongwon Jang and In Bum Lee and Yoonseon Song and Ji{-}Wook Jeong and Sooyeul Lee}, title = {A preliminary study of the effect of electrode placement in order to define a suitable location for two electrodes and obtain sufficiently reliable {ECG} signals when monitoring with wireless system}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {2124--2127}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6346380}, doi = {10.1109/EMBC.2012.6346380}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/NohJLSJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vissym/SongLKS12, author = {Hyunjoo Song and Bongshin Lee and Bo Hyoung Kim and Jinwook Seo}, editor = {Miriah Meyer and Tino Weinkauf}, title = {DiffMatrix: Matrix-based Interactive Visualization for Comparing Temporal Trends}, booktitle = {14th Eurographics Conference on Visualization, EuroVis 2012 - Short Papers, Vienna, Austria, June 5-8, 2012}, publisher = {Eurographics Association}, year = {2012}, url = {https://doi.org/10.2312/PE/EuroVisShort/EuroVisShort2012/103-107}, doi = {10.2312/PE/EUROVISSHORT/EUROVISSHORT2012/103-107}, timestamp = {Wed, 01 Jul 2020 13:32:37 +0200}, biburl = {https://dblp.org/rec/conf/vissym/SongLKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoPYLJSCHKJ12, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive multi-pulse program scheme based on tunneling speed classification for next generation multi-bit/cell {NAND} {FLASH}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {136--137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243827}, doi = {10.1109/VLSIC.2012.6243827}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoPYLJSCHKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinSJKYCKPYSCS12, author = {Seung{-}Hwan Shin and Dong{-}Kyo Shim and Jaeyong Jeong and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and Tae{-}Young Kim and Hyun Wook Park and Hyun{-}Jun Yoon and Youngsun Song and Yoon{-}Hee Choi and Sang{-}Won Shim and Yang{-}Lo Ahn and Ki{-}Tae Park and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {A new 3-bit programming algorithm using SLC-to-TLC migration for 8MB/s high performance {TLC} {NAND} flash memory}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {132--133}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243825}, doi = {10.1109/VLSIC.2012.6243825}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShinSJKYCKPYSCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/SongPCSKK11, author = {Young Soo Song and Chan Hee Park and Hee{-}Joon Chung and Hyunjung Shin and Jihun Kim and Ju Han Kim}, title = {Semantically enabled and statistically supported biological hypothesis testing with tissue microarray databases}, journal = {{BMC} Bioinform.}, volume = {12}, number = {{S-1}}, pages = {S51}, year = {2011}, url = {https://doi.org/10.1186/1471-2105-12-S1-S51}, doi = {10.1186/1471-2105-12-S1-S51}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/SongPCSKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SonKAHYMPHJCJK11, author = {Jong{-}Pil Son and Jin Ho Kim and Woo Song Ahn and Seung Uk Han and Satoru Yamada and Byung{-}Sick Moon and Churoo Park and Hong{-}Sun Hwang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Soo{-}Won Kim}, title = {An Area-Efficient, Low-VDD, Highly Reliable Multi-Cell Antifuse System Fully Operative in DRAMs}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {10}, pages = {1690--1697}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.1690}, doi = {10.1587/TRANSELE.E94.C.1690}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SonKAHYMPHJCJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongKKB11, author = {Bongsub Song and Dohyung Kim and Kwangsoo Kim and Jinwook Burm}, title = {A Sub-Harmonic {RF} Transmitter Architecture with Simultaneous Power Combination and {LO} Leakage Cancellation}, journal = {{IEICE} Trans. Electron.}, volume = {94-C}, number = {5}, pages = {858--861}, year = {2011}, url = {https://doi.org/10.1587/transele.E94.C.858}, doi = {10.1587/TRANSELE.E94.C.858}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SongKKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/SeoSCY11, author = {Yongho Seo and Eunjee Song and Jin Choi and Hyun Seung Yang}, title = {Wearable assistance system for interacting with electronic devices in smart digital home}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {7}, number = {3}, pages = {184--191}, year = {2011}, url = {https://doi.org/10.1504/IJAHUC.2011.040118}, doi = {10.1504/IJAHUC.2011.040118}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/SeoSCY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/LeeSC11, author = {Woojung Lee and Ji{-}Hyun Song and Joon{-}Hyuk Chang}, title = {Minima-controlled speech presence uncertainty tracking method for speech enhancement}, journal = {Signal Process.}, volume = {91}, number = {1}, pages = {155--161}, year = {2011}, url = {https://doi.org/10.1016/j.sigpro.2010.06.019}, doi = {10.1016/J.SIGPRO.2010.06.019}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/LeeSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LeeSPMLMK11, author = {Jinkwan Lee and Jiyoung Song and Sangjoon Park and Hyunjoo Mun and Jongchan Lee and Youngsong Mun and Byunggi Kim}, title = {{MLP} network for optimal {MR} decision in a large-scale nesting mobile networks}, journal = {J. Supercomput.}, volume = {56}, number = {2}, pages = {190--211}, year = {2011}, url = {https://doi.org/10.1007/s11227-009-0362-x}, doi = {10.1007/S11227-009-0362-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LeeSPMLMK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ParkWKYSK11, author = {Jae Cheol Park and Jin Soo Wang and Hyun Gu Kang and Seokho Yoon and Iickho Song and Yun Hee Kim}, title = {Detection of Variable Subband Nulling Mode for OFDM-Based Cognitive Radio in Narrowband Interference Channels}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {10}, number = {3}, pages = {782--791}, year = {2011}, url = {https://doi.org/10.1109/TWC.2011.121410.091314}, doi = {10.1109/TWC.2011.121410.091314}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ParkWKYSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/allerton/HyunS0YH11, author = {Myounghae Hyun and Won Taek Song and Jinho Choi and Seungjae Yoo and Jeongseok Ha}, title = {Code design for type-I wiretap channel thanks}, booktitle = {49th Annual Allerton Conference on Communication, Control, and Computing, Allerton 2011, Allerton Park {\&} Retreat Center, Monticello, IL, USA, 28-30 September, 2011}, pages = {1424--1429}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/Allerton.2011.6120335}, doi = {10.1109/ALLERTON.2011.6120335}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/allerton/HyunS0YH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/OhHPCCLMALDSWJRSVPRYTSFCD11, author = {Sangmin Oh and Anthony Hoogs and A. G. Amitha Perera and Naresh P. Cuntoor and Chia{-}Chih Chen and Jong Taek Lee and Saurajit Mukherjee and J. K. Aggarwal and Hyungtae Lee and Larry S. Davis and Eran Swears and Xiaoyang Wang and Qiang Ji and Kishore K. Reddy and Mubarak Shah and Carl Vondrick and Hamed Pirsiavash and Deva Ramanan and Jenny Yuen and Antonio Torralba and Bi Song and Anesco Fong and Amit K. Roy{-}Chowdhury and Mita Desai}, title = {{AVSS} 2011 demo session: {A} large-scale benchmark dataset for event recognition in surveillance video}, booktitle = {8th {IEEE} International Conference on Advanced Video and Signal-Based Surveillance, {AVSS} 2011, Klagenfurt, Austria, August 30 - September 2, 2011}, pages = {527--528}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/AVSS.2011.6027400}, doi = {10.1109/AVSS.2011.6027400}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avss/OhHPCCLMALDSWJRSVPRYTSFCD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KohSJKS11, author = {Kyle Koh and Hyunjoo Song and Daekyoung Jung and Bo Hyoung Kim and Jinwook Seo}, editor = {Desney S. Tan and Saleema Amershi and Bo Begole and Wendy A. Kellogg and Manas Tungare}, title = {What do you see when you interact with friends online?: face, hand, or canvas?}, booktitle = {Proceedings of the International Conference on Human Factors in Computing Systems, {CHI} 2011, Extended Abstracts Volume, Vancouver, BC, Canada, May 7-12, 2011}, pages = {2131--2136}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1979742.1979911}, doi = {10.1145/1979742.1979911}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/KohSJKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SongKHLPH11, author = {Kyohyun Song and Gunhee Kim and Inkyu Han and Jeongyoung Lee and Ji{-}Hyung Park and Sungdo Ha}, editor = {Desney S. Tan and Saleema Amershi and Bo Begole and Wendy A. Kellogg and Manas Tungare}, title = {CheMO: mixed object instruments and interactions for tangible chemistry experiments}, booktitle = {Proceedings of the International Conference on Human Factors in Computing Systems, {CHI} 2011, Extended Abstracts Volume, Vancouver, BC, Canada, May 7-12, 2011}, pages = {2305--2310}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1979742.1979907}, doi = {10.1145/1979742.1979907}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/SongKHLPH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/OhHPCCLMALDSWJRSVPRYTSFRD11, author = {Sangmin Oh and Anthony Hoogs and A. G. Amitha Perera and Naresh P. Cuntoor and Chia{-}Chih Chen and Jong Taek Lee and Saurajit Mukherjee and J. K. Aggarwal and Hyungtae Lee and Larry S. Davis and Eran Swears and Xiaoyang Wang and Qiang Ji and Kishore K. Reddy and Mubarak Shah and Carl Vondrick and Hamed Pirsiavash and Deva Ramanan and Jenny Yuen and Antonio Torralba and Bi Song and Anesco Fong and Amit K. Roy{-}Chowdhury and Mita Desai}, title = {A large-scale benchmark dataset for event recognition in surveillance video}, booktitle = {The 24th {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2011, Colorado Springs, CO, USA, 20-25 June 2011}, pages = {3153--3160}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CVPR.2011.5995586}, doi = {10.1109/CVPR.2011.5995586}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/OhHPCCLMALDSWJRSVPRYTSFRD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ParkCKKLKS11, author = {Ji{-}Hye Park and Youn{-}Hee Choi and Cheol{-}Woo Ko and Heung{-}Sik Kim and Sang{-}Gyu Lee and Hyung{-}Gyoo Kim and Keun{-}Bae Song}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Hyun Seob Cho and Osvaldo Gervasi and Stephen S. Yau and Byeong Ho Kang and L. Javier Garc{\'{\i}}a{-}Villalba}, title = {Poor Periodontal Health in Type 1 Diabetic Youth}, booktitle = {Grid and Distributed Computing - International Conference, {GDC} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {261}, pages = {67--76}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27180-9\_9}, doi = {10.1007/978-3-642-27180-9\_9}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/ParkCKKLKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HongLNLKSKLKKJMLC11, author = {YouSik Hong and Sang{-}Suk Lee and Dong{-}Hyun Nam and Woo{-}Beom Lee and Hongkyun Kim and Young Jun Song and Kwang{-}Baek Kim and Geuk Lee and Jeong Jin Kang and Rethina Kumar and HyunSoo Jin and Chanwoo Moon and Young Dae Lee and ChangDuk Chung}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Smart Pulse Wave Detection System Using Intelligence}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6935}, pages = {213--220}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24082-9\_26}, doi = {10.1007/978-3-642-24082-9\_26}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/HongLNLKSKLKKJMLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HwangSNKAKL11, author = {Dong{-}Hyun Hwang and Jung{-}Eun Song and Sang{-}Pil Nam and Hyo{-}Jin Kim and Tai{-}Ji An and Kwang{-}Soo Kim and Seung{-}Hoon Lee}, title = {A range-scaled 13b 100MS/s 0.13{\(\mu\)}m {CMOS} SHA-free {ADC} based on a single reference}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {62--65}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138646}, doi = {10.1109/ISOCC.2011.6138646}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HwangSNKAKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11, author = {Hoeju Chung and Byung{-}Hoon Jeong and ByungJun Min and Youngdon Choi and Beak{-}Hyung Cho and Junho Shin and Jinyoung Kim and Jung Sunwoo and Joon{-}min Park and Qi Wang and Yong{-}jun Lee and Sooho Cha and Dukmin Kwon and Sang{-}Tae Kim and Sunghoon Kim and Yoohwan Rho and Mu{-}Hui Park and Jaewhan Kim and Ickhyun Song and Sunghyun Jun and Jaewook Lee and KiSeung Kim and Ki{-}won Lim and Won{-}ryul Chung and ChangHan Choi and HoGeun Cho and Inchul Shin and Woochul Jun and Seokwon Hwang and Ki{-}Whan Song and KwangJin Lee and Sang{-}whan Chang and Woo{-}Yeong Cho and Jei{-}Hwan Yoo and Young{-}Hyun Jun}, title = {A 58nm 1.8V 1Gb {PRAM} with 6.4MB/s program {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {500--502}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746415}, doi = {10.1109/ISSCC.2011.5746415}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11, author = {Ki{-}Tae Park and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and In{-}Mo Kim and Bo{-}Geun Kim and Min{-}Seok Kim and Yoon{-}Hee Choi and Seung{-}Hwan Shin and Youngson Song and Joo{-}Yong Park and Jae{-}Eun Lee and Chang{-}Gyu Eun and Ho{-}Chul Lee and Hyeong{-}Jun Kim and Jun{-}Hee Lee and Jong{-}Young Kim and Tae{-}Min Kweon and Hyun{-}Jun Yoon and Taehyun Kim and Dong{-}Kyo Shim and Jongsun Sel and Ji{-}Yeon Shin and Pansuk Kwak and Jin{-}Man Han and Keon{-}Soo Kim and Sungsoo Lee and Youngho Lim and Tae{-}Sung Jung}, title = {A 7MB/s 64Gb 3-bit/cell {DDR} {NAND} flash memory in 20nm-node technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {212--213}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746287}, doi = {10.1109/ISSCC.2011.5746287}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/JangKKKBKES10, author = {Hyunchul Jang and Jinhyun Kim and Sang{-}Kyun Kim and Chul Kim and Soon{-}Hee Bae and Anna Kim and Dong{-}Myung Eom and Mi{-}Young Song}, title = {Ontology for medicinal materials based on traditional Korean medicine}, journal = {Bioinform.}, volume = {26}, number = {18}, pages = {2359--2360}, year = {2010}, url = {https://doi.org/10.1093/bioinformatics/btq424}, doi = {10.1093/BIOINFORMATICS/BTQ424}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/JangKKKBKES10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/WangHSK10, author = {Jin Soo Wang and Sung{-}Hyun Hwang and Iickho Song and Yun Hee Kim}, title = {Reduction of {PAPR} without Side Information for Frequency Switched Transmit Diversity-Based {MIMO-OFDM} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {14}, number = {12}, pages = {1116--1118}, year = {2010}, url = {https://doi.org/10.1109/LCOMM.2010.101810.101359}, doi = {10.1109/LCOMM.2010.101810.101359}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/WangHSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10, author = {Ki{-}Whan Song and Jinyoung Kim and Jae{-}Man Yoon and Sua Kim and Huijung Kim and Hyun{-}Woo Chung and Hyungi Kim and Kanguk Kim and Hwan{-}Wook Park and Hyun Chul Kang and Nam{-}Kyun Tak and Dukha Park and Woo{-}Seop Kim and Yeong{-}Taek Lee and Yong Chul Oh and Gyo{-}Young Jin and Jei{-}Hwan Yoo and Donggun Park and Kyungseok Oh and Changhyun Kim and Young{-}Hyun Jun}, title = {A 31 ns Random Cycle VCAT-Based 4F \({}^{\mbox{2}}\) {DRAM} With Manufacturability and Enhanced Cell Efficiency}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {4}, pages = {880--888}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2040229}, doi = {10.1109/JSSC.2010.2040229}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SongKLS10, author = {Hyunjoo Song and Bo Hyoung Kim and Bongshin Lee and Jinwook Seo}, editor = {Elizabeth D. Mynatt and Don Schoner and Geraldine Fitzpatrick and Scott E. Hudson and W. Keith Edwards and Tom Rodden}, title = {A comparative evaluation on tree visualization methods for hierarchical structures with large fan-outs}, booktitle = {Proceedings of the 28th International Conference on Human Factors in Computing Systems, {CHI} 2010, Atlanta, Georgia, USA, April 10-15, 2010}, pages = {223--232}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1753326.1753359}, doi = {10.1145/1753326.1753359}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/SongKLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/SonKAHMPHJCJK10, author = {Jong{-}Pil Son and Jin Ho Kim and Woo Song Ahn and Seung Uk Han and Byung{-}Sick Moon and Churoo Park and Hong{-}Sun Hwang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Soo{-}Won Kim}, title = {A highly reliable multi-cell antifuse scheme using {DRAM} cell capacitors}, booktitle = {36th European Solid-State Circuits Conference, {ESSCIRC} 2010, Sevilla, Spain, September 13-17, 2010}, pages = {482--485}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ESSCIRC.2010.5619748}, doi = {10.1109/ESSCIRC.2010.5619748}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/SonKAHMPHJCJK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkSKLC10, author = {Yun{-}Sik Park and Ji{-}Hyun Song and Sang{-}Ick Kang and Woojung Lee and Joon{-}Hyuk Chang}, title = {A statistical model-based double-talk detection incorporating soft decision}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2010, 14-19 March 2010, Sheraton Dallas Hotel, Dallas, Texas, {USA}}, pages = {5082--5085}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICASSP.2010.5495051}, doi = {10.1109/ICASSP.2010.5495051}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkSKLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KimCKSC10, author = {Sang{-}Kyun Kim and Jae{-}Hun Choi and Sang{-}Ick Kang and Ji{-}Hyun Song and Joon{-}Hyuk Chang}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {Toward detecting voice activity employing soft decision in second-order conditional {MAP}}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {3082--3085}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-767}, doi = {10.21437/INTERSPEECH.2010-767}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KimCKSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SongLPKC10, author = {Ji{-}Hyun Song and Kyu{-}Ho Lee and Yun{-}Sik Park and Sang{-}Ick Kang and Joon{-}Hyuk Chang}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {On using Gaussian mixture model for double-talk detection in acoustic echo suppression}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2778--2781}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-735}, doi = {10.21437/INTERSPEECH.2010-735}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SongLPKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/FranklinPJKYULKHJSK10, author = {A. Antony Franklin and Jin{-}Suk Pak and Hoiyoon Jung and SangWon Kim and Sung{-}Jin You and Jung{-}Sun Um and Sunmin Lim and Gwangzeen Ko and Sung{-}Hyun Hwang and Byung Jang Jeong and Myung Sun Song and Chang{-}Joo Kim}, editor = {Rodrigo C. de Lamare and Paul D. Mitchell and Martin Haardt and Yuriy V. Zakharov and Alister G. Burr}, title = {Cognitive Radio test-bed based on {ECMA-392} International Standard}, booktitle = {Proceedings of the 2010 7th International Symposium on Wireless Communication Systems, {ISWCS} 2010, 19-22 September 2010, University of York, York, {UK}}, pages = {1026--1030}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISWCS.2010.5624263}, doi = {10.1109/ISWCS.2010.5624263}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/FranklinPJKYULKHJSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/SongIPS09, author = {Seung{-}Hun Song and Hyun{-}Ja Im and Ji{-}Won Park and Tae{-}Kyung Sung}, title = {A New Angle-Based Location Method Using a Forward-Link Signal}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2009}, year = {2009}, url = {https://doi.org/10.1155/2009/407893}, doi = {10.1155/2009/407893}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/SongIPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongC09, author = {Ji{-}Hyun Song and Joon{-}Hyuk Chang}, title = {Efficient Implementation of Voiced/Unvoiced Sounds Classification Based on {GMM} for {SMV} Codec}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {8}, pages = {2120--2123}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.2120}, doi = {10.1587/TRANSFUN.E92.A.2120}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SongC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/JeongLSKP09, author = {Jin{-}Hwan Jeong and Yong{-}Ju Lee and SongWoo Sok and Hag{-}Young Kim and Kimyoo{-}Hyun Park}, title = {Cable-TV-based home video streaming system: practice and experience}, journal = {{IEEE} Netw.}, volume = {23}, number = {6}, pages = {22--28}, year = {2009}, url = {https://doi.org/10.1109/MNET.2009.5350349}, doi = {10.1109/MNET.2009.5350349}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/JeongLSKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/ChoiKSSK09, author = {Wonil Choi and Hyunhee Kim and Wook Song and Jiseok Song and Jihong Kim}, title = {ePRO-MP: {A} tool for profiling and optimizing energy and performance of mobile multiprocessor applications}, journal = {Sci. Program.}, volume = {17}, number = {4}, pages = {285--294}, year = {2009}, url = {https://doi.org/10.3233/SPR-2009-0289}, doi = {10.3233/SPR-2009-0289}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/ChoiKSSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/BaeJS09, author = {Jinsoo Bae and Hyun Joo and Iickho Song}, title = {A spectrum-based searching technique for the most favorable section of digital music}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {4}, pages = {2122--2126}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5373777}, doi = {10.1109/TCE.2009.5373777}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/BaeJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChangKSC09, author = {Hyung Jin Chang and Pyo Jae Kim and Dong Sung Song and Jin Young Choi}, title = {Optical image stabilizing system using multirate fuzzy {PID} controller for mobile device camera}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {2}, pages = {303--311}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5174385}, doi = {10.1109/TCE.2009.5174385}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChangKSC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ParkSCC09, author = {Yun{-}Sik Park and Ji{-}Hyun Song and Jae{-}Hun Choi and Joon{-}Hyuk Chang}, title = {Enhanced minimum statistics technique incorporating soft decision for noise suppression}, booktitle = {10th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2009, Brighton, United Kingdom, September 6-10, 2009}, pages = {1347--1350}, publisher = {{ISCA}}, year = {2009}, url = {https://doi.org/10.21437/Interspeech.2009-418}, doi = {10.21437/INTERSPEECH.2009-418}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ParkSCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ParkSCC09a, author = {Yun{-}Sik Park and Ji{-}Hyun Song and Jae{-}Hun Choi and Joon{-}Hyuk Chang}, title = {Soft decision-based acoustic echo suppression in a frequency domain}, booktitle = {10th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2009, Brighton, United Kingdom, September 6-10, 2009}, pages = {2599--2602}, publisher = {{ISCA}}, year = {2009}, url = {https://doi.org/10.21437/Interspeech.2009-684}, doi = {10.21437/INTERSPEECH.2009-684}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ParkSCC09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09, author = {Hyun{-}Woo Lee and Won{-}Joo Yun and Young{-}Kyoung Choi and Hyang{-}Hwa Choi and Jong{-}Jin Lee and Ki{-}Han Kim and Shin{-}Deok Kang and Ji{-}Yeon Yang and Jae{-}Suck Kang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Byong{-}Tae Chung}, title = {A 1.6V 3.3Gb/s {GDDR3} {DRAM} with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {140--141}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977347}, doi = {10.1109/ISSCC.2009.4977347}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/JeongSJKKJ09, author = {Soonmook Jeong and Tae Houn Song and Hyun Uk Jeong and Myung Jin Kim and Key Ho Kwon and Jae Wook Jeon}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede}, title = {Game control using multiple sensors}, booktitle = {MoMM'2009 - The 7th International Conference on Advances in Mobile Computing and Multimedia, 14-16 December 2009, Kuala Lumpur, Malaysia}, pages = {632--636}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1821748.1821871}, doi = {10.1145/1821748.1821871}, timestamp = {Mon, 09 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/momm/JeongSJKKJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/LeeLSLK09, author = {Woohun Lee and Geehyuk Lee and Jiseok Song and Boram Lee and Hyunjung Kim}, editor = {Daniel Wigdor}, title = {Digital decal}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2009, New Orleans, Louisiana, USA, August 3-7, 2009, Emerging Technologies}, pages = {8:1}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1597956.1597964}, doi = {10.1145/1597956.1597964}, timestamp = {Fri, 12 Mar 2021 10:18:34 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/LeeLSLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KimSKP08, author = {Woo{-}Cheol Kim and Ji{-}Young Song and Seung{-}Woo Kim and Sanghyun Park}, title = {Image retrieval model based on weighted visual features determined by relevance feedback}, journal = {Inf. Sci.}, volume = {178}, number = {22}, pages = {4301--4313}, year = {2008}, url = {https://doi.org/10.1016/j.ins.2008.06.025}, doi = {10.1016/J.INS.2008.06.025}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/KimSKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaePISLKKPPLBMH08, author = {Seung{-}Jun Bae and Kwang{-}Il Park and Jeong{-}Don Ihm and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Yoon{-}Sik Park and Min{-}Sang Park and Hong{-}Kyong Lee and Sam{-}Young Bang and Gil{-}Shin Moon and Seokwon Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Sunghoon Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim and Soo{-}In Cho}, title = {An 80 nm 4 Gb/s/pin 32 bit 512 Mb {GDDR4} Graphics {DRAM} With Low Power and Low Noise Data Bus Inversion}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {121--131}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.908002}, doi = {10.1109/JSSC.2007.908002}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaePISLKKPPLBMH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/moc/ParkBKS08, author = {So Ryoung Park and Jinsoo Bae and Hyun Gu Kang and Iickho Song}, title = {On the polynomial representation for the number of partitions with fixed length}, journal = {Math. Comput.}, volume = {77}, number = {262}, pages = {1135--1151}, year = {2008}, url = {https://doi.org/10.1090/S0025-5718-07-02082-0}, doi = {10.1090/S0025-5718-07-02082-0}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/moc/ParkBKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/SongLCKK08, author = {Ji{-}Hyun Song and Kye{-}Hwan Lee and Joon{-}Hyuk Chang and Jong Kyu Kim and Nam Soo Kim}, title = {Analysis and Improvement of Speech/Music Classification for 3GPP2 {SMV} Based on {GMM}}, journal = {{IEEE} Signal Process. Lett.}, volume = {15}, pages = {103--106}, year = {2008}, url = {https://doi.org/10.1109/LSP.2007.911184}, doi = {10.1109/LSP.2007.911184}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/SongLCKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/SongKNYH08, author = {Hyungjoon Song and Jihyung Kim and Sangho Nam and Takki Yu and Daesik Hong}, title = {Joint Doppler-Frequency Diversity for {OFDM} Systems Using Hybrid Interference Cancellation in Time-Varying Multipath Fading Channels}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {57}, number = {1}, pages = {635--641}, year = {2008}, url = {https://doi.org/10.1109/TVT.2007.905594}, doi = {10.1109/TVT.2007.905594}, timestamp = {Fri, 28 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/SongKNYH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/YooRSJKKSPHJ08, author = {Sanghyun Yoo and Yo{-}han Roh and In Chul Song and Joo Hyuk Jeon and Myoung{-}Ho Kim and Hak Soo Kim and Jin Hyun Son and Young Sang Paik and Joo Hyun Han and Hyun Ki Jang}, editor = {Yong{-}Jin Park and Yanghee Choi}, title = {Rule-based Dynamic Business Process Modification and Adaptation}, booktitle = {2008 International Conference on Information Networking, {ICOIN} 2008, Busan, Korea, January 23-25, 2008}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICOIN.2008.4472793}, doi = {10.1109/ICOIN.2008.4472793}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/icoin/YooRSJKKSPHJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KangSLPC08, author = {Sang{-}Ick Kang and Ji{-}Hyun Song and Kye{-}Hwan Lee and Yun{-}Sik Park and Joon{-}Hyuk Chang}, title = {A statistical model-based voice activity detection employing minimum classification error technique}, booktitle = {9th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2008, Brisbane, Australia, September 22-26, 2008}, pages = {103--106}, publisher = {{ISCA}}, year = {2008}, url = {https://doi.org/10.21437/Interspeech.2008-23}, doi = {10.21437/INTERSPEECH.2008-23}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KangSLPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeKSC08, author = {Kye{-}Hwan Lee and Sang{-}Ick Kang and Ji{-}Hyun Song and Joon{-}Hyuk Chang}, title = {Group delay function for improved gender identification}, booktitle = {9th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2008, Brisbane, Australia, September 22-26, 2008}, pages = {1513--1516}, publisher = {{ISCA}}, year = {2008}, url = {https://doi.org/10.21437/Interspeech.2008-434}, doi = {10.21437/INTERSPEECH.2008-434}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeKSC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08, author = {Won{-}Joo Yun and Hyun{-}Woo Lee and Dongsuk Shin and Shin{-}Deok Kang and Ji{-}Yeon Yang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyang{-}Hwa Choi and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Young{-}Kyoung Choi and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Ye Seok Yang}, title = {A 0.1-to-1.5GHz 4.2mW All-Digital {DLL} with Dual Duty-Cycle Correction Circuit and Update Gear Circuit for {DRAM} in 66nm {CMOS} Technology}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {282--283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523167}, doi = {10.1109/ISSCC.2008.4523167}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/YoonLSPSL08, author = {Je{-}Han Yoon and Jihyo Lee and HeeJun Song and Younghee Park and Hyun{-}Sik Shim and Jongchang Lee}, title = {{ARPS:} Active recognition photography system for child-care robot}, booktitle = {{IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2008, Seoul, South Korea, August 20-22, 2008}, pages = {220--225}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/MFI.2008.4648068}, doi = {10.1109/MFI.2008.4648068}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/YoonLSPSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wafr/SongLY08, author = {Dezhen Song and Hyun Nam Lee and Jingang Yi}, editor = {Howie Choset and Marco Morales and Todd D. Murphey}, title = {On the Analysis of the Depth Error on the Road Plane for Monocular Vision-Based Robot Navigation}, booktitle = {Algorithmic Foundation of Robotics VIII, Selected Contributions of the Eight International Workshop on the Algorithmic Foundations of Robotics, {WAFR} 2008, Guanajuato, Mexico, December 7-9, 2008}, series = {Springer Tracts in Advanced Robotics}, volume = {57}, pages = {301--315}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-642-00312-7\_19}, doi = {10.1007/978-3-642-00312-7\_19}, timestamp = {Fri, 04 Feb 2022 12:19:08 +0100}, biburl = {https://dblp.org/rec/conf/wafr/SongLY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/ParkBLKLKLSKJDSKSL07, author = {Kwang{-}Hyun Park and Zeungnam Bien and Ju{-}Jang Lee and Byung Kook Kim and Jong{-}Tae Lim and Jin{-}Oh Kim and Heyoung Lee and Dimitar H. Stefanov and Dae{-}Jin Kim and Jin{-}Woo Jung and Jun{-}Hyeong Do and Kap{-}Ho Seo and Chong Hui Kim and Won{-}Gyu Song and Woo{-}Jun Lee}, title = {Robotic smart house to assist people with movement disabilities}, journal = {Auton. Robots}, volume = {22}, number = {2}, pages = {183--198}, year = {2007}, url = {https://doi.org/10.1007/s10514-006-9012-9}, doi = {10.1007/S10514-006-9012-9}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/ParkBLKLKLSKJDSKSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arobots/SongLYL07, author = {Dezhen Song and Hyun Nam Lee and Jingang Yi and Anthony Levandowski}, title = {Vision-based motion planning for an autonomous motorcycle on ill-structured roads}, journal = {Auton. Robots}, volume = {23}, number = {3}, pages = {197--212}, year = {2007}, url = {https://doi.org/10.1007/s10514-007-9042-y}, doi = {10.1007/S10514-007-9042-Y}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/arobots/SongLYL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YounKSKS07, author = {Chan{-}Hyun Youn and Jinho Kim and Hyewon Song and Desok Kim and Eun Bo Shim}, title = {Informant Driven e-Health Service for Identification of Heart Rate Changes from Mental Stress}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {90-D}, number = {12}, pages = {2104--2107}, year = {2007}, url = {https://doi.org/10.1093/ietisy/e90-d.12.2104}, doi = {10.1093/IETISY/E90-D.12.2104}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YounKSKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/SongPLMK07, author = {Jiyoung Song and Sangjoon Park and Jongchan Lee and Hyun{-}Joo Moon and Byunggi Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {Explicit Routing Designation {(ERD)} Method the Cache Information in Nested Mobile Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, pages = {764--777}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1\_69}, doi = {10.1007/978-3-540-74477-1\_69}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/SongPLMK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JoPLSC07, author = {Q{-}Haing Jo and Yun{-}Sik Park and Kye{-}Hwan Lee and Ji{-}Hyun Song and Joon{-}Hyuk Chang}, title = {Voice activity detection based on support vector machine using effective feature vectors}, booktitle = {8th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2007, Antwerp, Belgium, August 27-31, 2007}, pages = {2937--2940}, publisher = {{ISCA}}, year = {2007}, url = {https://doi.org/10.21437/Interspeech.2007-732}, doi = {10.21437/INTERSPEECH.2007-732}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JoPLSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/KimCSC07, author = {Pyo Jae Kim and Hyung Jin Chang and Dong Sung Song and Jin Young Choi}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {Fast Support Vector Data Description Using K-Means Clustering}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4493}, pages = {506--514}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72395-0\_64}, doi = {10.1007/978-3-540-72395-0\_64}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/KimCSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07, author = {Jeong{-}Don Ihm and Seung{-}Jun Bae and Kwang{-}Il Park and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Ho{-}Kyung Lee and Min{-}Sang Park and Sam{-}Young Bang and Mi{-}Jin Lee and Gil{-}Shin Moon and Young{-}Wook Jang and Suk{-}Won Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Su{-}Jin Park and Ok{-}Joo Park and Se{-}Mi Yang and Jin{-}Yong Choi and Young{-}Wook Kim and Hyun{-}Kyu Lee and Sunghoon Kim and Seong{-}Jin Jang and Young{-}Hyun Jun and Soo{-}In Cho}, title = {An 80nm 4Gb/s/pin 32b 512Mb {GDDR4} Graphics {DRAM} with Low-Power and Low-Noise Data-Bus Inversion}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {492--617}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373509}, doi = {10.1109/ISSCC.2007.373509}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/KimAPSC07, author = {Hyuncheol Kim and Seong{-}Jin Ahn and Byungyeon Park and Jihyun Song and Jun Kyun Choi}, editor = {Tat{-}Jen Cham and Jianfei Cai and Chitra Dorai and Deepu Rajan and Tat{-}Seng Chua and Liang{-}Tien Chia}, title = {Functional Architecture of Performance Measurement System Based on Grid Monitoring Architecture}, booktitle = {Advances in Multimedia Modeling, 13th International Multimedia Modeling Conference, {MMM} 2007, Singapore, January 9-12, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4352}, pages = {576--583}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-69429-8\_61}, doi = {10.1007/978-3-540-69429-8\_61}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmm/KimAPSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkLYKSC06, author = {Il{-}Yong Park and Hyung{-}Gyu Lim and YoungHo Yoon and Min{-}Kyu Kim and Byung{-}Seop Song and Jin{-}Ho Cho}, title = {A Transcutaneous Recharging System with the Function of Bi-directional Signal Transmission for Fully-Implantable Middle Ear Hearing Devices}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {6}, pages = {1692--1694}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.6.1692}, doi = {10.1093/IETFEC/E89-A.6.1692}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkLYKSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YoonLLPPKWSC06, author = {YoungHo Yoon and Hyung{-}Gyu Lim and Jyung Hyun Lee and Hee Joon Park and Il{-}Yong Park and Min{-}Kyu Kim and Chul{-}Ho Won and Byung{-}Seop Song and Jin{-}Ho Cho}, title = {Design of a Signal Processing Module with Various Filters Characteristics for Fully Implantable Middle Ear Hearing Devices}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {6}, pages = {1695--1698}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.6.1695}, doi = {10.1093/IETFEC/E89-A.6.1695}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YoonLLPPKWSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/KimKKSKB06, author = {Jin{-}Woo Kim and JuHum Kwon and Young{-}Gab Kim and Chee{-}Yang Song and Hyun Seok Kim and Doo{-}Kwon Baik}, title = {EAFoC: Enterprise Architecture Framework Based on Commonality}, journal = {J. Comput. Sci. Technol.}, volume = {21}, number = {6}, pages = {952--964}, year = {2006}, url = {https://doi.org/10.1007/s11390-006-0952-5}, doi = {10.1007/S11390-006-0952-5}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/KimKKSKB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCLKLCJCSPSC06, author = {Churoo Park and Hoeju Chung and Yun{-}Sang Lee and Jaekwan Kim and JaeJun Lee and Moo Sung Chae and Dae{-}Hee Jung and Sung{-}Ho Choi and Seung{-}young Seo and Taek{-}Seon Park and Jun{-}Ho Shin and Jin{-}Hyung Cho and Seunghoon Lee and Ki{-}Whan Song and Kyu{-}Hyoun Kim and Jung{-}Bae Lee and Changhyun Kim and Soo{-}In Cho}, title = {A 512-mb {DDR3} {SDRAM} prototype with C\({}_{\mbox{IO}}\) minimization and self-calibration techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {4}, pages = {831--838}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.870808}, doi = {10.1109/JSSC.2006.870808}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkCLKLCJCSPSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SongJ06, author = {Joo{-}Hyun Song and Yuhong Jiang}, title = {Visual working memory for simple and complex features: An fMRI study}, journal = {NeuroImage}, volume = {30}, number = {3}, pages = {963--972}, year = {2006}, url = {https://doi.org/10.1016/j.neuroimage.2005.10.006}, doi = {10.1016/J.NEUROIMAGE.2005.10.006}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/SongJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/SongYHNJJ06, author = {Hyewon Song and Chan{-}Hyun Youn and Changhee Han and Dong Su Nam and Gwang{-}Ja Jin and Sangjin Jeong}, title = {QoS-constraint Configuration Management Policy in Grid over {GMPLS} Networks}, booktitle = {Sixth International Conference on Computer and Information Technology {(CIT} 2006), 20-22 September 2006, Seoul, Korea}, pages = {64}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CIT.2006.156}, doi = {10.1109/CIT.2006.156}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/SongYHNJJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/YoonPKPCCJSSCO06, author = {Dae Hyun Yoon and Min Jeoung Park and Dong Hee Kim and Jin Ho Park and Seung Ho Choi and Su Yeon Choi and In Kyong Jeong and Won Hee Sim and Chan Soo Shin and Sang Heon Cho and Byung Hee Oh}, title = {{NSC} (New Songdo City: Newly Developed City as Free Economic Zone in South Korea) Ubiquitous Healthcare Project - Developing Prospective Health Management Model, Integrating On-line and Off-line Healthcare Service}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-002-1.622268/f-001-1.622269/a-480-1.622299/a-481-1.622296}, timestamp = {Wed, 17 Apr 2024 11:48:16 +0200}, biburl = {https://dblp.org/rec/conf/amia/YoonPKPCCJSSCO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/SongLYL06, author = {Dezhen Song and Hyun Nam Lee and Jingang Yi and Anthony Levandowski}, title = {Vision-based Motion Planning for an Autonomous Motorcycle on Ill-Structured Road}, booktitle = {2006 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2006, October 9-15, 2006, Beijing, China}, pages = {3279--3286}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IROS.2006.282438}, doi = {10.1109/IROS.2006.282438}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/SongLYL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/LeeLKLJKS06, author = {Jinwon Lee and Youngki Lee and Seungwoo Kang and Sang Jeong Lee and Hyunju Jin and Byoungjip Kim and Junehwa Song}, title = {BMQ-Index: Shared and Incremental Processing of Border Monitoring Queries over Data Streams}, booktitle = {7th International Conference on Mobile Data Management {(MDM} 2006), Nara, Japan, May 9-13, 2006}, pages = {38}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MDM.2006.41}, doi = {10.1109/MDM.2006.41}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/LeeLKLJKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/Sung0SKLC06, author = {Jongwoo Sung and Daeyoung Kim and Hyungjoo Song and Junghyun Kim and Seong Yong Lim and Jin Soo Choi}, title = {UPnP based intelligent multimedia service architecture for digital home network}, booktitle = {The Fourth {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems and the Second International Workshop on Collaborative Computing, Integration, and Assurance, {SEUS} 2006 / {WCCIA} 2006, Gyeongju, South Korea, April 27-28, 2006}, pages = {157--162}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/SEUS-WCCIA.2006.46}, doi = {10.1109/SEUS-WCCIA.2006.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seus/Sung0SKLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/OhKSPBP06, author = {Jongho Oh and Hyun Gu Kang and Iickho Song and So Ryoung Park and Jinsoo Bae and Juho Park}, title = {Analysis of Weak Signal Detectors Under Moving Average of Impulsive Noise}, booktitle = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/VTCF.2006.302}, doi = {10.1109/VTCF.2006.302}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/OhKSPBP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkLLLKPHC06, author = {Bong Hyuk Park and Seungsik Lee and Hui Dong Lee and Kyung{-}Ai Lee and Bon{-}Hyun Ku and Ji{-}Seon Paek and Songcheol Hong and Sangsung Choi}, title = {Transceiver Design Technology for Full Digital {DS-UWB} Applications}, booktitle = {Proceedings of the 64th {IEEE} Vehicular Technology Conference, {VTC} Fall 2006, 25-28 September 2006, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, pages = {1--4}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/VTCF.2006.601}, doi = {10.1109/VTCF.2006.601}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkLLLKPHC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BaeSJ05, author = {Jinsoo Bae and Iickho Song and Hyun Joo}, title = {An Asymptotic Relative Performance Measure for Signal Detectors Based on the Correlation Information of Statistics}, journal = {{IEICE} Trans. Commun.}, volume = {88-B}, number = {12}, pages = {4643--4646}, year = {2005}, url = {https://doi.org/10.1093/ietcom/e88-b.12.4643}, doi = {10.1093/IETCOM/E88-B.12.4643}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/BaeSJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/SongKKPLC05, author = {Iickho Song and Jinkyu Koo and Hyoungmoon Kwon and So Ryoung Park and Sung Ro Lee and Bo{-}Hyun Chung}, title = {A novel detection criterion for weak m-ary signals and its application to ultrawideband multiple access systems}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {54}, number = {6}, pages = {2024--2036}, year = {2005}, url = {https://doi.org/10.1109/TVT.2005.858165}, doi = {10.1109/TVT.2005.858165}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/SongKKPLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vissym/HongJSRBS05, author = {Jin Hong and Dong Hyun Jeong and Christopher D. Shaw and William Ribarsky and Mark Borodovsky and Chang Geun Song}, editor = {Ken Brodlie and David J. Duke and Kenneth I. Joy}, title = {GVis: {A} Scalable Visualization Framework for Genomic Data}, booktitle = {7th Joint Eurographics - {IEEE} {VGTC} Symposium on Visualization, Leeds, UK, June 1-3, 2005}, pages = {191--198}, publisher = {Eurographics Association}, year = {2005}, url = {https://doi.org/10.2312/VisSym/EuroVis05/191-198}, doi = {10.2312/VISSYM/EUROVIS05/191-198}, timestamp = {Wed, 01 Jul 2020 11:42:27 +0200}, biburl = {https://dblp.org/rec/conf/vissym/HongJSRBS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeOSYKJ04, author = {Sungkeun Lee and Wongeun Oh and Myunghyun Song and Hyun Yoe and Jin{-}Gwang Koh and Changryul Jung}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {A Queue Management Scheme for Alleviating the Impact of Packet Size on the Achieved Throughput}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {294--301}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_37}, doi = {10.1007/978-3-540-24707-4\_37}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeOSYKJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/SongHH04, author = {Jin{-}Young Song and Yong Ho Hwang and Hyun{-}Ki Hong}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {View Morphing Based on Auto-calibration for Generation of In-between Views}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3044}, pages = {799--808}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24709-8\_84}, doi = {10.1007/978-3-540-24709-8\_84}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/SongHH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/ChoSM03, author = {Song Yean Cho and Jin Hyun Sin and Byung In Mun}, title = {Mechanism to improve the reliability of the broadcasting for multisources}, booktitle = {Proceedings of the Global Telecommunications Conference, 2003. {GLOBECOM} '03, San Francisco, CA, USA, 1-5 December 2003}, pages = {1263--1268}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/GLOCOM.2003.1258441}, doi = {10.1109/GLOCOM.2003.1258441}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/ChoSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/KimHLSY03, author = {Jongsung Kim and Seokhie Hong and Sangjin Lee and Jung Hwan Song and Hyungjin Yang}, editor = {Jong In Lim and Dong Hoon Lee}, title = {Truncated Differential Attacks on 8-Round {CRYPTON}}, booktitle = {Information Security and Cryptology - {ICISC} 2003, 6th International Conference, Seoul, Korea, November 27-28, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2971}, pages = {446--456}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24691-6\_33}, doi = {10.1007/978-3-540-24691-6\_33}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisc/KimHLSY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/ChoSM03, author = {Song Yean Cho and Jin Hyun Sin and Byung In Mun}, title = {Reliable Broadcast Scheme Initiated by Receiver In Ad Hoc Networks}, booktitle = {28th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2003), The Conference on Leading Edge and Practical Computer Networking, 20-24 October 2003, Bonn/K{\"{o}}nigswinter, Germany, Proceedings}, pages = {281--282}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/LCN.2003.1243140}, doi = {10.1109/LCN.2003.1243140}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/ChoSM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metmbs/ChangSLKCC03, author = {Heejung Chang and Hyungki Song and Kangsun Lee and Gyochang Kim and Jonghoon Chun and Jinwook Choi}, editor = {Faramarz Valafar and Homayoun Valafar}, title = {CDSSGen: {A} Dynamic Clinical Decision Support System Generator}, booktitle = {Proceedings of the International Conference on Mathematics and Engineering Techniques in Medicine and Biological Scienes, {METMBS} '03, June 23 - 26, 2003, Las Vegas, Nevada, {USA}}, pages = {205--209}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Thu, 23 Jun 2016 15:53:27 +0200}, biburl = {https://dblp.org/rec/conf/metmbs/ChangSLKCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ms/YoonKSL03, author = {Ji Sup Yoon and Sung Hyun Kim and Tai Gil Song and Jong Youl Lee}, editor = {M. H. Hamza}, title = {Graphic Simulation of Remote Handling Operation using Virtual Master-Slave Manipulator}, booktitle = {Proceedings of the {IASTED} International Conference on Modelling and Simulation {(MS} 2003), February 24-26, 2003, Palm Springs, California, {USA}}, pages = {476--481}, publisher = {{IASTED/ACTA} Press}, year = {2003}, timestamp = {Wed, 16 Jul 2003 12:42:44 +0200}, biburl = {https://dblp.org/rec/conf/ms/YoonKSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/YooJJPS02, author = {Hun{-}Woo Yoo and Dong{-}Sik Jang and Seh{-}Hwan Jung and Jin{-}Hyung Park and Kwang{-}Seop Song}, title = {Visual information retrieval system via content-based approach}, journal = {Pattern Recognit.}, volume = {35}, number = {3}, pages = {749--769}, year = {2002}, url = {https://doi.org/10.1016/S0031-3203(01)00072-3}, doi = {10.1016/S0031-3203(01)00072-3}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/YooJJPS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/HongJKSLKY01, author = {Soon{-}Hyuk Hong and Jae Wook Jeon and Key Ho Kwon and Tai Gil Song and Jong Youl Lee and Sung Hyun Kim and Ji Sup Yoon}, title = {A real-time graphic simulator to monitor spent nuclear fuel dismantlement devices}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2001: Expanding the Societal Role of Robotics in the the Next Millennium, Maui, HI, USA, October 29 - November 3, 2001}, pages = {452--457}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/IROS.2001.973398}, doi = {10.1109/IROS.2001.973398}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/HongJKSLKY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ce/SongHTK97, author = {J. S. Song and Sun{-}Hwa Hahn and K. Y. Tak and Jin Hyung Kim}, title = {An intelligent tutoring system for introductory {C} language course}, journal = {Comput. Educ.}, volume = {28}, number = {2}, pages = {93--102}, year = {1997}, url = {https://doi.org/10.1016/S0360-1315(97)00003-1}, doi = {10.1016/S0360-1315(97)00003-1}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ce/SongHTK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhsc/SongKKY97, author = {Hyojeong Song and Boseob Kwon and Ji{-}Yun Kim and Hyunsoo Yoon}, title = {Two Real-Time Flow Controls in Wormhole Networks}, journal = {Int. J. High Speed Comput.}, volume = {9}, number = {3}, pages = {237--255}, year = {1997}, url = {https://doi.org/10.1142/S0129053397000155}, doi = {10.1142/S0129053397000155}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhsc/SongKKY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/BaeRCSK96, author = {Jinsoo Bae and Youngkwon Ryu and Taejoo Chang and Iickho Song and Hyung{-}Myung Kim}, title = {Nonparametric detection of known and random signals based on zero-crossings}, journal = {Signal Process.}, volume = {52}, number = {1}, pages = {75--82}, year = {1996}, url = {https://doi.org/10.1016/0165-1684(96)00063-1}, doi = {10.1016/0165-1684(96)00063-1}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/BaeRCSK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/0001SK93, author = {Jinho Choi and Iickho Song and Hyung{-}Myung Kim}, title = {On estimating the direction of arrival when the number of signal sources is unknown}, journal = {Signal Process.}, volume = {34}, number = {2}, pages = {193--205}, year = {1993}, url = {https://doi.org/10.1016/0165-1684(93)90162-4}, doi = {10.1016/0165-1684(93)90162-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/0001SK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/0001SKKK93, author = {Jinho Choi and Iickho Song and Sangyoub Kim and Sun Young Kim and Hyung{-}Myung Kim}, title = {A statistical analysis of {MUSIC} null-spectrum via decomposition of estimation error}, journal = {Signal Process.}, volume = {34}, number = {2}, pages = {179--192}, year = {1993}, url = {https://doi.org/10.1016/0165-1684(93)90161-3}, doi = {10.1016/0165-1684(93)90161-3}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/0001SKKK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.