![](https://dblp.org/img/logo.ua.320x120.png)
![](https://dblp.org/img/dropdown.dark.16x16.png)
![](https://dblp.org/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.org/img/search.dark.16x16.png)
![search dblp](https://dblp.org/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "Joo-Sang Park"
@article{DBLP:journals/access/AnLPI24, author = {Jaepung An and Joo Ho Lee and Sanghun Park and Insung Ihm}, title = {Integrating Heterogeneous {VR} Systems Into Physical Space for Collaborative Extended Reality}, journal = {{IEEE} Access}, volume = {12}, pages = {9848--9859}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3347781}, doi = {10.1109/ACCESS.2023.3347781}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AnLPI24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeePL24, author = {Joo{-}Chang Lee and Sang{-}Hun Park and Dong{-}Kuk Lim}, title = {Optimal Design of an {IPMSM} for HEVs Using Circular Area Movement Optimization With the Pattern Search Method}, journal = {{IEEE} Access}, volume = {12}, pages = {41611--41619}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3367743}, doi = {10.1109/ACCESS.2024.3367743}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeePL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LimKJHCKPJJCB24, author = {Munwon Lim and Yunhee Kim and Shengxin Jin and Sangwoo Ha and Sung Yong Chang and Hae Su Kang and Gyu Sang Park and Mi Lee Joo and Chang{-}Sik Jung and Youngjin Cho and Suk Joo Bae}, title = {Depth-Based Condition Monitoring and Contributing Factor Analysis for Anomalies in Combined Cycle Power Plant}, journal = {{IEEE} Access}, volume = {12}, pages = {73400--73412}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3403864}, doi = {10.1109/ACCESS.2024.3403864}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LimKJHCKPJJCB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkYY24, author = {Joo{-}Hyung Park and Qin Yang and Sang{-}Jo Yoo}, title = {{RHRA-DRL:} RSU-Assisted Hybrid Road-Aware Routing Using Distributed Reinforcement Learning in Internet of Vehicles}, journal = {{IEEE} Access}, volume = {12}, pages = {25385--25396}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3366280}, doi = {10.1109/ACCESS.2024.3366280}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/DhoLMKKLKKKPP24, author = {Yun{-}Sik Dho and Byeong Cheol Lee and HyeongCheol Moon and Kyung Min Kim and Ho Kang and Eun Jung Lee and Min{-}Sung Kim and Jin Wook Kim and Yong Hwy Kim and Sang Joon Park and Chul{-}Kee Park}, title = {Validation of real-time inside-out tracking and depth realization technologies for augmented reality-based neuronavigation}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {19}, number = {1}, pages = {15--25}, year = {2024}, url = {https://doi.org/10.1007/s11548-023-02993-0}, doi = {10.1007/S11548-023-02993-0}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cars/DhoLMKKLKKKPP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ParkSPNS24, author = {Sunghong Park and Sang Joon Son and Kanghee Park and Yonghyun Nam and Hyunjung Shin}, title = {In-house data adaptation to public data: Multisite {MRI} harmonization to predict Alzheimer's disease conversion}, journal = {Expert Syst. Appl.}, volume = {238}, number = {Part {E}}, pages = {122253}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122253}, doi = {10.1016/J.ESWA.2023.122253}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ParkSPNS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JinLMJLYLCKHJPKLKK24, author = {Jahoon Jin and Soo{-}Min Lee and Kyunghwan Min and Sodam Ju and Jihoon Lim and Jisu Yook and Jihoon Lee and Hyunsu Chae and Kwonwoo Kang and Yunji Hong and Yeongcheol Jeong and Sungsik Park and Sang{-}Ho Kim and Jongwoo Lee and Joonsuk Kim and Sung{-}Ung Kwak}, title = {A 4-nm 16-Gb/s/pin Single-Ended {PAM-4} Parallel Transceiver With Switching-Jitter Compensation and Transmitter Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {1}, pages = {184--195}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3319637}, doi = {10.1109/JSSC.2023.3319637}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/JinLMJLYLCKHJPKLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ParkLLPCYC24, author = {Jeongeun Park and Seungwon Lim and Joonhyung Lee and Sangbeom Park and Minsuk Chang and Youngjae Yu and Sungjoon Choi}, title = {{CLARA:} Classifying and Disambiguating User Commands for Reliable Interactive Robotic Agents}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {2}, pages = {1059--1066}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3338514}, doi = {10.1109/LRA.2023.3338514}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/ParkLLPCYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKLJPKKYJSL24, author = {Myeongseop Kim and Sungjun Kim and Dabin Lee and Hyo Keun Jang and Sanghoon Park and Yejin Kim and Jaesoon Kim and Seok{-}Hyun Youn and Huitae Joo and Seunghyun Son and Sang{-}Heon Lee}, title = {Spatiotemporal Protein Variations Based on VIIRS-Derived Regional Protein Algorithm in the Northern East China Sea}, journal = {Remote. Sens.}, volume = {16}, number = {5}, pages = {829}, year = {2024}, url = {https://doi.org/10.3390/rs16050829}, doi = {10.3390/RS16050829}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKLJPKKYJSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJNYH24, author = {Sangyoon Park and Sungha Ju and Minh Hieu Nguyen and Sanghyun Yoon and Joon Heo}, title = {Automated Point Cloud Registration Approach Optimized for a Stop-and-Go Scanning System}, journal = {Sensors}, volume = {24}, number = {1}, pages = {138}, year = {2024}, url = {https://doi.org/10.3390/s24010138}, doi = {10.3390/S24010138}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJNYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/ParkKLKPKH24, author = {Joongun Park and Seunghyo Kang and Sanghyeon Lee and Taehoon Kim and Jongse Park and Youngjin Kwon and Jaehyuk Huh}, title = {Hardware-hardened Sandbox Enclaves for Trusted Serverless Computing}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {21}, number = {1}, pages = {13:1--13:25}, year = {2024}, url = {https://doi.org/10.1145/3632954}, doi = {10.1145/3632954}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/ParkKLKPKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LeeKWWEP24, author = {Sanghoon Lee and Jinyoung Kim and Gwangjin Wi and Yuchang Won and Yongsoon Eun and Kyung{-}Joon Park}, title = {Deep Reinforcement Learning-Driven Scheduling in Multijob Serial Lines: {A} Case Study in Automotive Parts Assembly}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {2}, pages = {2932--2943}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3292538}, doi = {10.1109/TII.2023.3292538}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/LeeKWWEP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LeePLLC24, author = {Dong{-}Woo Lee and Hyung Jun Park and Dongmin Lee and Sangchul Lee and Jooho Choi}, title = {A Novel Kalman Filter-Based Prognostics Framework for Performance Degradation of Quadcopter Motors}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--12}, year = {2024}, url = {https://doi.org/10.1109/TIM.2023.3332389}, doi = {10.1109/TIM.2023.3332389}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/LeePLLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LeePPCHACKKK24, author = {Haeni Lee and Sang Min Park and Jeongwoo Park and Soon{-}Woo Cho and Seongyi Han and Joongho Ahn and Seonghee Cho and Chulhong Kim and Chang{-}Seok Kim and Jeesu Kim}, title = {Transportable Multispectral Optical-Resolution Photoacoustic Microscopy Using Stimulated Raman Scattering Spectrum}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {73}, pages = {1--9}, year = {2024}, url = {https://doi.org/10.1109/TIM.2024.3351259}, doi = {10.1109/TIM.2024.3351259}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/LeePPCHACKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/MoonLJP24, author = {Sihoon Moon and Sanghoon Lee and Wonhong Jeon and Kyung{-}Joon Park}, title = {Learning-Enabled Network-Control Co-Design for Energy-Efficient Industrial Internet of Things}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {21}, number = {2}, pages = {1478--1489}, year = {2024}, url = {https://doi.org/10.1109/TNSM.2023.3324282}, doi = {10.1109/TNSM.2023.3324282}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/MoonLJP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SeoNHKKPKP0SWCK24, author = {Minseok Seo and Xuan Truong Nguyen and Seok Joong Hwang and Yongkee Kwon and Guhyun Kim and Chanwook Park and Ilkon Kim and Jaehan Park and Jeongbin Kim and Woojae Shin and Jongsoon Won and Haerang Choi and Kyuyoung Kim and Daehan Kwon and Chunseok Jeong and Sangheon Lee and Yongseok Choi and Wooseok Byun and Seungcheol Baek and Hyuk{-}Jae Lee and John Kim}, editor = {Rajiv Gupta and Nael B. Abu{-}Ghazaleh and Madan Musuvathi and Dan Tsafrir}, title = {{IANUS:} Integrated Accelerator based on {NPU-PIM} Unified Memory System}, booktitle = {Proceedings of the 29th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 3, {ASPLOS} 2024, La Jolla, CA, USA, 27 April 2024- 1 May 2024}, pages = {545--560}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3620666.3651324}, doi = {10.1145/3620666.3651324}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asplos/SeoNHKKPKP0SWCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/RyuKPLKHIKJKY24, author = {Junha Ryu and Hankyul Kwon and Wonhoon Park and Zhiyong Li and Beomseok Kwon and Donghyeon Han and Dongseok Im and Sangyeob Kim and Hyungnam Joo and Minsung Kim and Hoi{-}Jun Yoo}, title = {A Low-Power Neural Graphics System for Instant 3D Modeling and Real-Time Rendering on Mobile {AR/VR} Devices}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2024, Tokyo, Japan, April 17-19, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COOLCHIPS61292.2024.10531171}, doi = {10.1109/COOLCHIPS61292.2024.10531171}, timestamp = {Wed, 05 Jun 2024 20:57:58 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/RyuKPLKHIKJKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeLKCPP24, author = {Injae Lee and Sanga Lee and Jinseop Kim and Hyeonjoon Choi and Sinyoung Park and Joonki Paik}, title = {Enhanced Visual Object Tracking and Segmentation in Military Environments: Overcoming Camouflage and Deformation Challenges}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457247}, doi = {10.1109/ICEIC61013.2024.10457247}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeLKCPP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkCLLYP24, author = {Joohyun Park and Woorim Choi and Hyeonuk Lee and Hyojin Lee and Sangwoo Yun and Joonki Paik}, title = {Enhancing Around View System for {VEHICLES:} Lut Correction Via Deep Learning}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457280}, doi = {10.1109/ICEIC61013.2024.10457280}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkCLLYP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ParkKSPL24, author = {Sang Jun Park and Min Joo Kim and Yu Gyeong Son and Donggun Park and Yushin Lee}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {A Comparative Study on Methods to Interact with Close-Distance Objects in Mixed Reality Environment: Direct Method vs. Raycasting Method}, booktitle = {{HCI} International 2024 Posters - 26th International Conference on Human-Computer Interaction, {HCII} 2024, Washington, DC, USA, June 29 - July 4, 2024, Proceedings, Part {III}}, series = {Communications in}, volume = {2116}, pages = {92--101}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-61950-2\_11}, doi = {10.1007/978-3-031-61950-2\_11}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ParkKSPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24, author = {Jeongyeol Bae and Sangsung Lee and Joonggeun Lee and Ikkyun Jo and Heesoo Kim and Kyunghyun Yoon and Taejong Kim and Jiyoung Lee and Myunghun Lee and Jaeseung Lee and Jongmin Jeong and Sungjun Lee and Taewan Kim and Sungjoo Kim and Gwangsik Cho and Duksoo Kim and Sangyun Lee and Pilsung Jang and Euibong Yang and Jeongmin Song and Gwangchun Park and Se{-}Eun Choi and Juhee Son and Won Ko and Jonghyun Kim and Seong Ho Park and Sangho Lee and Yoonki Lee and Euiyoung Park and Pillseong Kang and Taeyeon Kim and Hyojin Lee and Byungki Han and Joonhee Lee and Jongsoo Lee and Sangmin Yoo}, title = {4.3 {A} 43mm\({}^{\mbox{2}}\) Fully Integrated Legacy Cellular and 5G {FR1} {RF} Transceiver with 24RX/3TX Supporting Inter-Band 7CA/5CA 4{\texttimes}4 {MIMO} with 1K-QAM}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {80--82}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454281}, doi = {10.1109/ISSCC49657.2024.10454281}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/BaeLLJKYKLLLJLKKCKLJYSPCSKKPLLPKKLHLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and SungHoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJLSYPWJRHKKKKKLLSLKPLBKLY24, author = {Jongsoo Lee and Jaehyuk Jang and Wooseok Lee and Bosung Suh and Heeyong Yoo and Beomyu Park and Jeongkyun Woo and Jaeeun Jang and Inhyo Ryu and Honggul Han and Jaeyoung Kim and Byoungjoong Kang and Minchul Kang and Hojung Kang and John Kang and Minseob Lee and Danbi Lee and Hyeonuk Son and Suhyeon Lee and Soyeon Kim and Hongjong Park and Sangsung Lee and Jeongyeol Bae and Huijung Kim and Joonhee Lee and Sangmin Yoo}, title = {4.2 {A} Tri-Band Dual-Concurrent Wi-Fi 802.11be Transceiver Achieving -46dB {TX/RX} {EVM} Floor at 7.1GHz for a 4K-QAM 320MHz Signal}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {78--80}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454333}, doi = {10.1109/ISSCC49657.2024.10454333}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJLSYPWJRHKKKKKLLSLKPLBKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RyuKPLKHIKJY24, author = {Junha Ryu and Hankyul Kwon and Wonhoon Park and Zhiyong Li and Beomseok Kwon and Donghyeon Han and Dongseok Im and Sangyeob Kim and Hyungnam Joo and Hoi{-}Jun Yoo}, title = {20.7 NeuGPU: {A} 18.5mJ/Iter Neural-Graphics Processing Unit for Instant-Modeling and Real-Time Rendering with Segmented-Hashing Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {372--374}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454276}, doi = {10.1109/ISSCC49657.2024.10454276}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/RyuKPLKHIKJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24, author = {Jaehyeok Yang and Hyeongjun Ko and Kyunghoon Kim and Hyunsu Park and Jihwan Park and Ji{-}Hyo Kang and Jin{-}Youp Cha and Seongjin Kim and Youngtaek Kim and Minsoo Park and Gangsik Lee and Keonho Lee and Sanghoon Lee and Gyunam Jeon and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Seonwoo Hwang and Boram Kim and Sang{-}Yeon Byeon and Sungkwon Lee and Hyeonyeol Park and Joohwan Cho and Jonghwan Kim}, title = {13.1 {A} 35.4Gb/s/pin 16Gb {GDDR7} with a Low-Power Clocking Architecture and {PAM3} {IO} Circuitry}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {232--234}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454560}, doi = {10.1109/ISSCC49657.2024.10454560}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimLYSHYKPL24, author = {Dae{-}Ub Kim and Jyung Chan Lee and Sanghwa Yoo and Jongtae Song and Kyeong{-}Eun Han and JiWook Youn and Bup{-}Joong Kim and Chanho Park and Joon Ki Lee}, title = {Optically Networked Heterogeneous Data-centric Computing System with Silicon Photonics Transceivers}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526573}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimLYSHYKPL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeeKPYHYLK24, author = {Seungchul Lee and Namje Kim and Miran Park and Kihong Yoon and Mihee Hwang and Joonsang Yu and Sangho Lee and O.{-}Kyun Kwon}, title = {400G cost-effective {EML} for {B5G/6G} Fronthaul Network}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526918}, timestamp = {Thu, 06 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeeKPYHYLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08979, author = {Sihoon Moon and Sanghoon Lee and Kyung{-}Joon Park}, title = {Learning-enabled Flexible Job-shop Scheduling for Scalable Smart Manufacturing}, journal = {CoRR}, volume = {abs/2402.08979}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08979}, doi = {10.48550/ARXIV.2402.08979}, eprinttype = {arXiv}, eprint = {2402.08979}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08979.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11882, author = {Imjin Ahn and Hansle Gwon and Young{-}Hak Kim and Tae Joon Jun and Sanghyun Park}, title = {{NOTE:} Notable generation Of patient Text summaries through Efficient approach based on direct preference optimization}, journal = {CoRR}, volume = {abs/2402.11882}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11882}, doi = {10.48550/ARXIV.2402.11882}, eprinttype = {arXiv}, eprint = {2402.11882}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-11513, author = {Joonhyung Lee and Sangbeom Park and Yongin Kwon and Jemin Lee and Minwook Ahn and Sungjoon Choi}, title = {Visual Preference Inference: An Image Sequence-Based Preference Reasoning in Tabletop Object Manipulation}, journal = {CoRR}, volume = {abs/2403.11513}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.11513}, doi = {10.48550/ARXIV.2403.11513}, eprinttype = {arXiv}, eprint = {2403.11513}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-11513.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11972, author = {Hyuhng Joon Kim and Youna Kim and Cheonbok Park and Junyeob Kim and Choonghyun Park and Kang Min Yoo and Sang{-}goo Lee and Taeuk Kim}, title = {Aligning Language Models to Explicitly Handle Ambiguity}, journal = {CoRR}, volume = {abs/2404.11972}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11972}, doi = {10.48550/ARXIV.2404.11972}, eprinttype = {arXiv}, eprint = {2404.11972}, timestamp = {Wed, 22 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11972.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-13081, author = {Jaehyung Kim and Jaehyun Nam and Sangwoo Mo and Jongjin Park and Sang{-}Woo Lee and Minjoon Seo and Jung{-}Woo Ha and Jinwoo Shin}, title = {SuRe: Summarizing Retrievals using Answer Candidates for Open-domain {QA} of LLMs}, journal = {CoRR}, volume = {abs/2404.13081}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.13081}, doi = {10.48550/ARXIV.2404.13081}, eprinttype = {arXiv}, eprint = {2404.13081}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-13081.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-01033, author = {Seong{-}Joon Park and Heeyoul Kwak and Sang{-}Hyo Kim and Yongjune Kim and Jong{-}Seon No}, title = {CrossMPT: Cross-attention Message-Passing Transformer for Error Correcting Codes}, journal = {CoRR}, volume = {abs/2405.01033}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.01033}, doi = {10.48550/ARXIV.2405.01033}, eprinttype = {arXiv}, eprint = {2405.01033}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-01033.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangGJPKNJLKPN23, author = {Sanghoon Kang and Yunfei Gao and Jaeho Jeong and Seong{-}Joon Park and Jae{-}Won Kim and Jong{-}Seon No and Ha Hyeon Jeon and Jeong Wook Lee and Sunghwan Kim and Hosung Park and Albert No}, title = {Generative Adversarial Networks for {DNA} Storage Channel Simulator}, journal = {{IEEE} Access}, volume = {11}, pages = {3781--3793}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3235201}, doi = {10.1109/ACCESS.2023.3235201}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KangGJPKNJLKPN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/BangLPPNGPK23, author = {Ina Bang and Sang{-}Mok Lee and Seojoung Park and Joon Young Park and Linh Khanh Nong and Ye Gao and Bernhard O. Palsson and Donghyuk Kim}, title = {Deep-learning optimized {DEOCSU} suite provides an iterable pipeline for accurate ChIP-exo peak calling}, journal = {Briefings Bioinform.}, volume = {24}, number = {2}, year = {2023}, url = {https://doi.org/10.1093/bib/bbad024}, doi = {10.1093/BIB/BBAD024}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/BangLPPNGPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimPLYO23, author = {Sang Hoe Kim and Jun Shin Park and Hee Soo Lee and Sanghyuk Yoo and Kyong Joo Oh}, title = {Combining {CNN} and Grad-CAM for profitability and explainability of investment strategy: Application to the {KOSPI} 200 futures}, journal = {Expert Syst. Appl.}, volume = {225}, pages = {120086}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.120086}, doi = {10.1016/J.ESWA.2023.120086}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimPLYO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/KwonLNNPCM23, author = {Yongsik Kwon and Seonho Lee and Yehyun Nam and Joong Chae Na and Kunsoo Park and Sang K. Cha and Bongki Moon}, title = {DB+-tree: {A} new variant of B+-tree for main-memory database systems}, journal = {Inf. Syst.}, volume = {119}, pages = {102287}, year = {2023}, url = {https://doi.org/10.1016/j.is.2023.102287}, doi = {10.1016/J.IS.2023.102287}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/is/KwonLNNPCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/DicksonDCBKMCFB23, author = {Timothy O. Dickson and Zeynep Toprak Deniz and Martin Cochet and Troy J. Beukema and Marcel A. Kossel and Thomas Morf and Young{-}Ho Choi and Pier Andrea Francese and Matthias Br{\"{a}}ndli and Christian W. Baks and Jonathan E. Proesel and John F. Bulzacchelli and Michael P. Beakes and Byoung{-}Joo Yoo and Hyoungbae Ahn and Dong{-}Hyuk Lim and Gunil Kang and Sang{-}Hune Park and Mounir Meghelli and Hyo{-}Gyuem Rhew and Daniel J. Friedman and Michael Choi and Mehmet Soyuer and Jongshin Shin}, title = {A 72-GS/s, 8-Bit DAC-Based Wireline Transmitter in 4-nm FinFET {CMOS} for 200+ Gb/s Serial Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1074--1086}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3228632}, doi = {10.1109/JSSC.2022.3228632}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/DicksonDCBKMCFB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ChoKKPKYAOLSK23, author = {Kyungjin Cho and Jeeyoung Kim and Ki Duk Kim and Seungju Park and Junsik Kim and Jihye Yun and Yura Ahn and Sang Young Oh and Sang Min Lee and Joon Beom Seo and Namkug Kim}, title = {MuSiC-ViT: {A} multi-task Siamese convolutional vision transformer for differentiating change from no-change in follow-up chest radiographs}, journal = {Medical Image Anal.}, volume = {89}, pages = {102894}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102894}, doi = {10.1016/J.MEDIA.2023.102894}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/ChoKKPKYAOLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangP23, author = {Seok{-}Hoon Kang and Joon{-}Sang Park}, title = {Aligned Matching: Improving Small Object Detection in {SSD}}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2589}, year = {2023}, url = {https://doi.org/10.3390/s23052589}, doi = {10.3390/S23052589}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJPLL23, author = {Kyungho Kim and Sung{-}Joon Jang and Jonghee Park and Eunchong Lee and Sang{-}Seol Lee}, title = {Lightweight and Energy-Efficient Deep Learning Accelerator for Real-Time Object Detection on Edge Devices}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1185}, year = {2023}, url = {https://doi.org/10.3390/s23031185}, doi = {10.3390/S23031185}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimJPLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KangPKCK23, author = {Young{-}Min Kang and Jung{-}Jin Park and Geon{-}Hak Kim and Ik{-}Joon Chang and Jinsang Kim}, title = {Low-Complexity Double-Node-Upset Resilient Latch Design Using Novel Stacked Cross-Coupled Elements}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {9}, pages = {3619--3623}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3266489}, doi = {10.1109/TCSII.2023.3266489}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KangPKCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomccap/ParkKLK23, author = {Jae Hyun Park and Sanghoon Kim and Joo Chan Lee and Jong Hwan Ko}, title = {Scalable Color Quantization for Task-centric Image Compression}, journal = {{ACM} Trans. Multim. Comput. Commun. Appl.}, volume = {19}, number = {2s}, pages = {82:1--82:18}, year = {2023}, url = {https://doi.org/10.1145/3551389}, doi = {10.1145/3551389}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tomccap/ParkKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ParkKKC023, author = {Jun{-}Hyung Park and Yeachan Kim and Junho Kim and Joon{-}Young Choi and SangKeun Lee}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Dynamic Structure Pruning for Compressing CNNs}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {9408--9416}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i8.26127}, doi = {10.1609/AAAI.V37I8.26127}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ParkKKC023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/Lee0PKCCKKLLOPH23, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created through Human-Machine Collaboration}, booktitle = {Proceedings of the 61st Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6692--6712}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.acl-long.370}, doi = {10.18653/V1/2023.ACL-LONG.370}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/Lee0PKCCKKLLOPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aike/RohCP23, author = {Joo{-}Young Roh and Sang{-}Hoon Choi and Ki{-}Woong Park}, title = {{CO-TRIS:} Container Orchestration - Transforming container using Resource Inspection System}, booktitle = {Sixth {IEEE} International Conference on Artificial Intelligence and Knowledge Engineering, {AIKE} 2023, Laguna Hills, CA, USA, September 25-27, 2023}, pages = {121--124}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIKE59827.2023.00027}, doi = {10.1109/AIKE59827.2023.00027}, timestamp = {Sun, 04 Feb 2024 18:12:16 +0100}, biburl = {https://dblp.org/rec/conf/aike/RohCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeePKK23, author = {Woojun Lee and Sangwoo Park and Dongwon Kim and Joonhyuk Kang}, title = {Neural Filter Design for Frequency Selective Channel Equalization}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {1015--1019}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10059823}, doi = {10.1109/CCNC51644.2023.10059823}, timestamp = {Tue, 21 Mar 2023 13:49:39 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/LeePKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ParkWOKL23, author = {Kwanyong Park and Sanghyun Woo and Seoung Wug Oh and In So Kweon and Joon{-}Young Lee}, title = {Mask-Guided Matting in the Wild}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1992--2001}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.00198}, doi = {10.1109/CVPR52729.2023.00198}, timestamp = {Mon, 28 Aug 2023 16:14:07 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ParkWOKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkKKCK23, author = {Jung{-}Jin Park and Young{-}Min Kang and Geon{-}Hak Kim and Ik{-}Joon Chang and Jinsang Kim}, title = {Transistor Sizing Scheme for DICE-Based Radiation-Resilient Latches}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049983}, doi = {10.1109/ICEIC57457.2023.10049983}, timestamp = {Tue, 21 Mar 2023 16:00:01 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkKKCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkJKPHMKL23, author = {Jaeu Park and Jinwoong Jeong and Donggun Kim and Changsik Pak and Joon Pio Hong and Sungjoon Min and Byungchae Kim and Sanghoon Lee}, title = {Flexible {\&} Stretchable {EMG} Sensor for Lower Extremity Amputee}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10341075}, doi = {10.1109/EMBC40787.2023.10341075}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/ParkJKPHMKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/ChoiKPM023, author = {Joon{-}Young Choi and Junho Kim and Jun{-}Hyung Park and Wing{-}Lam Mok and SangKeun Lee}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {SMoP: Towards Efficient and Effective Prompt Tuning with Sparse Mixture-of-Prompts}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14306--14316}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.884}, doi = {10.18653/V1/2023.EMNLP-MAIN.884}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/ChoiKPM023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimCLKPLYK23, author = {Hyuhng Joon Kim and Hyunsoo Cho and Sang{-}Woo Lee and Junyeob Kim and Choonghyun Park and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Universal Domain Adaptation for Robust Handling of Distributional Shifts in {NLP}}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {5888--5905}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.392}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.392}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimCLKPLYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LeeK0LPLJ23, author = {Dongryeol Lee and Segwang Kim and Minwoo Lee and Hwanhee Lee and Joonsuk Park and Sang{-}Woo Lee and Kyomin Jung}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Asking Clarification Questions to Handle Ambiguity in Open-Domain {QA}}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {11526--11544}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.772}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.772}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LeeK0LPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eval4nlp/KimLHPLJK23, author = {Joonghoon Kim and Sangmin Lee and Seung Hun Han and Saeran Park and Jiyoon Lee and Kiyoon Jeong and Pilsung Kang}, editor = {Daniel Deutsch and Rotem Dror and Steffen Eger and Yang Gao and Christoph Leiter and Juri Opitz and Andreas R{\"{u}}ckl{\'{e}}}, title = {Which is better? Exploring Prompting Strategy For LLM-based Metrics}, booktitle = {Proceedings of the 4th Workshop on Evaluation and Comparison of {NLP} Systems, Eval4NLP 2023, Bali, Indonesia, November 1, 2023}, pages = {164--183}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/2023.eval4nlp-1.14}, timestamp = {Fri, 12 Apr 2024 20:58:02 +0200}, biburl = {https://dblp.org/rec/conf/eval4nlp/KimLHPLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geosocial/HeoJPJHKCK23, author = {Joon Heo and Yoohyung Joo and Sangyoon Park and Jaeyoung Jung and Jiwan Hong and Juyeon Ko and Jaelim Cho and Changsoo Kim}, title = {Exploring the Relationship between Greenery in Patients' Living Spaces and Cognitive Health: {A} Study of Urban versus Rural Areas}, booktitle = {Proceedings of the 1st {ACM} {SIGSPATIAL} International Workshop on Geocomputational Analysis of Socio-Economic Data, GeoSocial 2023, Hamburg, Germany, 13 November 2023}, pages = {28--34}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3615892.3628482}, doi = {10.1145/3615892.3628482}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/geosocial/HeoJPJHKCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23, author = {Jin Hyun Kim and Yuhwan Ro and Jinin So and Sukhan Lee and Shinhaeng Kang and YeonGon Cho and Hyeonsu Kim and Byeongho Kim and Kyungsoo Kim and Sangsoo Park and Jin{-}Seong Kim and Sanghoon Cha and Won{-}Jo Lee and Jin Jung and Jonggeon Lee and Jieun Lee and Joon{-}Ho Song and Seungwon Lee and Jeonghyeon Cho and Jaehoon Yu and Kyomin Sohn}, title = {Samsung {PIM/PNM} for Transfmer Based {AI} : Energy Efficiency on {PIM/PNM} Cluster}, booktitle = {35th {IEEE} Hot Chips Symposium, {HCS} 2023, Palo Alto, CA, USA, August 27-29, 2023}, pages = {1--31}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCS59251.2023.10254711}, doi = {10.1109/HCS59251.2023.10254711}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimRSLKCKKKPKCLJLLSLCYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KangPPKP23, author = {Keonwoo Kang and Sangwoo Park and Hasil Park and Donggoo Kang and Joonki Paik}, title = {Action Recognition Using Multi-stream 2D {CNN} with Deep Learning-Based Temporal Modality}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043568}, doi = {10.1109/ICCE56470.2023.10043568}, timestamp = {Tue, 21 Feb 2023 18:13:47 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KangPPKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ParkSL23, author = {JoonKyu Park and Sanghyun Son and Kyoung Mu Lee}, title = {Content-Aware Local {GAN} for Photo-Realistic Super-Resolution}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {10551--10560}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.00971}, doi = {10.1109/ICCV51070.2023.00971}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ParkSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeePK23, author = {Youngjoon Lee and Sangwoo Park and Joonhyuk Kang}, title = {Fast-Convergent Federated Learning via Cyclic Aggregation}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2023, Kuala Lumpur, Malaysia, October 8-11, 2023}, pages = {2175--2179}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIP49359.2023.10222453}, doi = {10.1109/ICIP49359.2023.10222453}, timestamp = {Tue, 21 Nov 2023 12:38:06 +0100}, biburl = {https://dblp.org/rec/conf/icip/LeePK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/JoSLHJKSJP23, author = {SeongHyeon Jo and Youngjo Song and Yechan Lee and Si{-}Hwan Heo and Sang Jin Jang and Yusung Kim and Joon{-}Ho Shin and Jaesung Jeong and Hyung{-}Soon Park}, title = {Functional {MRI} Assessment of Brain Activity During Hand Rehabilitation with an MR-Compatible Soft Glove in Chronic Stroke Patients: {A} Preliminary Study}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2023, Singapore, September 24-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICORR58425.2023.10304776}, doi = {10.1109/ICORR58425.2023.10304776}, timestamp = {Fri, 17 Nov 2023 08:57:23 +0100}, biburl = {https://dblp.org/rec/conf/icorr/JoSLHJKSJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/OhSLPDL23, author = {Sangbae Oh and Jungjin Shin and Hyojoon Lim and Joongki Park and Heedong Do and Namyoon Lee}, title = {Linear Subarrays for Multi-Beam Satellites}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {802--804}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393362}, doi = {10.1109/ICTC58733.2023.10393362}, timestamp = {Tue, 13 Feb 2024 21:32:58 +0100}, biburl = {https://dblp.org/rec/conf/ictc/OhSLPDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/MoonLP23, author = {Sihoon Moon and Sanghoon Lee and Kyung{-}Joon Park}, title = {Graph-based Reinforcement Learning for Flexible Job Shop Scheduling with Transportation Constraints}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312647}, doi = {10.1109/IECON51785.2023.10312647}, timestamp = {Sat, 25 Nov 2023 16:52:31 +0100}, biburl = {https://dblp.org/rec/conf/iecon/MoonLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23, author = {Woongrae Kim and Chulmoon Jung and Seong Nyuh Yoo and Duckhwa Hong and Jeongjin Hwang and Jungmin Yoon and Oh{-}Yong Jung and Joonwoo Choi and Sanga Hyun and Mankeun Kang and Sangho Lee and Dohong Kim and Sanghyun Ku and Donhyun Choi and Nogeun Joo and Sangwoo Yoon and Junseok Noh and Byeongyong Go and Cheolhoe Kim and Sunil Hwang and Mihyun Hwang and Seol{-}Min Yi and Hyungmin Kim and Sanghyuk Heo and Yeonsu Jang and Kyoungchul Jang and Shinho Chu and Yoonna Oh and Kwidong Kim and Junghyun Kim and Soohwan Kim and Jeongtae Hwang and Sangil Park and Junphyo Lee and In{-}Chul Jeong and Joohwan Cho and Jonghwan Kim}, title = {A 1.1V 16Gb {DDR5} {DRAM} with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {414--415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067805}, doi = {10.1109/ISSCC42615.2023.10067805}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKLCPSKJK23, author = {Hyunjoong Kim and Myeongwoo Kim and Kwangmuk Lee and Sanghyeon Cho and Chan Sam Park and Solwoong Song and Dae Sik Keum and Dong Pyo Jang and Jae Joon Kim}, title = {A Behind-The-Ear Patch-Type Mental Healthcare Integrated Interface with 275-Fold Input Impedance Boosting and Adaptive Multimodal Compensation Capabilities}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {478--479}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067723}, doi = {10.1109/ISSCC42615.2023.10067723}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKLCPSKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeongPBLSOLKLMJCYPRS23, author = {Kihwan Seong and Donguk Park and Gyeom{-}Je Bae and Hyunwoo Lee and Youngseob Suh and Wooseuk Oh and Hyemun Lee and Juyoung Kim and Takgun Lee and Geonhoo Mo and Sukhyun Jung and Dongcheol Choi and Byoung{-}Joo Yoo and Sanghune Park and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {A 4nm 32Gb/s 8Tb/s/mm Die-to-Die Chiplet Using {NRZ} Single-Ended Transceiver With Equalization Schemes And Training Techniques}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {114--115}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067477}, doi = {10.1109/ISSCC42615.2023.10067477}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeongPBLSOLKLMJCYPRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/YooSPK23, author = {Seonghoon Yoo and Jiwan Seo and Sangwoo Park and Joonhyuk Kang}, title = {Inter-Mode-Interference-Aware {OAM} Detector via Deep Learning}, booktitle = {34th {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications {PIMRC} 2023, Toronto, ON, Canada, September 5-8, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/PIMRC56721.2023.10294050}, doi = {10.1109/PIMRC56721.2023.10294050}, timestamp = {Mon, 13 Nov 2023 17:50:37 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/YooSPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/starsem/ChoPKKYL23, author = {Hyunsoo Cho and Choonghyun Park and Junyeob Kim and Hyuhng Joon Kim and Kang Min Yoo and Sang{-}goo Lee}, editor = {Alexis Palmer and Jos{\'{e}} Camacho{-}Collados}, title = {Probing Out-of-Distribution Robustness of Language Models with Parameter-Efficient Transfer Learning}, booktitle = {Proceedings of the The 12th Joint Conference on Lexical and Computational Semantics, *SEM@ACL 2023, Toronto, Canada, July 13-14, 2023}, pages = {225--235}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.starsem-1.21}, doi = {10.18653/V1/2023.STARSEM-1.21}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/starsem/ChoPKKYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/YangKNPCNLLK23, author = {Shao{-}Peng Yang and Minjae Kim and Sanghyun Nam and Juhyung Park and Jin{-}Yong Choi and Eyee Hyun Nam and Eunji Lee and Sungjin Lee and Bryan S. Kim}, editor = {Julia Lawall and Dan Williams}, title = {Overcoming the Memory Wall with CXL-Enabled SSDs}, booktitle = {2023 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2023, Boston, MA, USA, July 10-12, 2023}, pages = {601--617}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/atc23/presentation/yang-shao-peng}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/usenix/YangKNPCNLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcc/LeePK23, author = {Youngjoon Lee and Sangwoo Park and Joonhyuk Kang}, title = {Byzantine-Resilient Federated Learning via Reverse Aggregation}, booktitle = {2023 {IEEE} Virtual Conference on Communications (VCC), NY, USA, November 28-30, 2023}, pages = {98--102}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VCC60689.2023.10475121}, doi = {10.1109/VCC60689.2023.10475121}, timestamp = {Tue, 02 Apr 2024 17:41:47 +0200}, biburl = {https://dblp.org/rec/conf/vcc/LeePK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongKSLCPKKJPK23, author = {Jaeyong Jeong and Seong Kwang Kim and Yoon{-}Je Suh and Jisung Lee and Joonyoung Choi and Juhyuk Park and Joon Pyo Kim and Bong Ho Kim and Younjung Jo and Seung{-}Young Park and Jongmin Kim and Sanghyeon Kim}, title = {Cryogenic {RF} Transistors and Routing Circuits Based on 3D Stackable InGaAs HEMTs with Nb Superconductors for Large-Scale Quantum Signal Processing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185262}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185262}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongKSLCPKKJPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeeJJDYYJLHPKKK23, author = {Seungyoung Lee and Sungyup Jung and Yunkyeong Jang and Jungho Do and Jisu Yu and Hyeoungyu You and Minjae Jeong and Jinyoung Lim and Jiyun Han and Sangdo Park and Yongdeok Kim and Jooyeon Kwon and Hoonki Kim and Seiseung Yoon}, title = {Breakthrough Design Technology Co-optimization using {BSPDN} and Standard Cell Variants for Maximizing Block-level {PPA}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185417}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185417}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeeJJDYYJLHPKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/YunLPC23, author = {Jooyeol Yun and Sanghyeon Lee and Minho Park and Jaegul Choo}, title = {iColoriT: Towards Propagating Local Hints to the Right Region in Interactive Colorization by Leveraging Vision Transformer}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2023, Waikoloa, HI, USA, January 2-7, 2023}, pages = {1787--1796}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACV56688.2023.00183}, doi = {10.1109/WACV56688.2023.00183}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/YunLPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-11660, author = {Hyunsoo Cho and Choonghyun Park and Junyeop Kim and Hyuhng Joon Kim and Kang Min Yoo and Sang{-}goo Lee}, title = {Probing Out-of-Distribution Robustness of Language Models with Parameter-Efficient Transfer Learning}, journal = {CoRR}, volume = {abs/2301.11660}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.11660}, doi = {10.48550/ARXIV.2301.11660}, eprinttype = {arXiv}, eprint = {2301.11660}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-11660.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09736, author = {Jun{-}Hyung Park and Yeachan Kim and Junho Kim and Joon{-}Young Choi and SangKeun Lee}, title = {Dynamic Structure Pruning for Compressing CNNs}, journal = {CoRR}, volume = {abs/2303.09736}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09736}, doi = {10.48550/ARXIV.2303.09736}, eprinttype = {arXiv}, eprint = {2303.09736}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09736.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13808, author = {Dongryeol Lee and Segwang Kim and Minwoo Lee and Hwanhee Lee and Joonsuk Park and Sang{-}Woo Lee and Kyomin Jung}, title = {Asking Clarification Questions to Handle Ambiguity in Open-Domain {QA}}, journal = {CoRR}, volume = {abs/2305.13808}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13808}, doi = {10.48550/ARXIV.2305.13808}, eprinttype = {arXiv}, eprint = {2305.13808}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17051, author = {Hwiyeon Kim and Joohee Kim and Yunha Han and Hwajung Hong and Oh{-}Sang Kwon and Young{-}Woo Park and Niklas Elmqvist and Sungahn Ko and Bum Chul Kwon}, title = {Towards Visualization Thumbnail Designs that Entice Reading Data-driven Articles}, journal = {CoRR}, volume = {abs/2305.17051}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17051}, doi = {10.48550/ARXIV.2305.17051}, eprinttype = {arXiv}, eprint = {2305.17051}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17696, author = {Hwaran Lee and Seokhee Hong and Joonsuk Park and Takyoung Kim and Meeyoung Cha and Yejin Choi and Byoung Pil Kim and Gunhee Kim and Eun{-}Ju Lee and Yong Lim and Alice Oh and Sangchul Park and Jung{-}Woo Ha}, title = {SQuARe: {A} Large-Scale Dataset of Sensitive Questions and Acceptable Responses Created Through Human-Machine Collaboration}, journal = {CoRR}, volume = {abs/2305.17696}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17696}, doi = {10.48550/ARXIV.2305.17696}, eprinttype = {arXiv}, eprint = {2305.17696}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-10376, author = {Jeongeun Park and Seungwon Lim and Joonhyung Lee and Sangbeom Park and Minsuk Chang and Youngjae Yu and Sungjoon Choi}, title = {{CLARA:} Classifying and Disambiguating User Commands for Reliable Interactive Robotic Agents}, journal = {CoRR}, volume = {abs/2306.10376}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.10376}, doi = {10.48550/ARXIV.2306.10376}, eprinttype = {arXiv}, eprint = {2306.10376}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-10376.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-04470, author = {Doyoung Park and Jinsoo Kim and Jina Nam and Jooyoung Chang and Sang Min Park}, title = {D-Score: {A} Synapse-Inspired Approach for Filter Pruning}, journal = {CoRR}, volume = {abs/2308.04470}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.04470}, doi = {10.48550/ARXIV.2308.04470}, eprinttype = {arXiv}, eprint = {2308.04470}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-04470.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-08128, author = {Seong{-}Joon Park and Heeyoul Kwak and Sang{-}Hyo Kim and Sunghwan Kim and Yongjune Kim and Jong{-}Seon No}, title = {How to Mask in Error Correction Code Transformer: Systematic and Double Masking}, journal = {CoRR}, volume = {abs/2308.08128}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.08128}, doi = {10.48550/ARXIV.2308.08128}, eprinttype = {arXiv}, eprint = {2308.08128}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-08128.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13937, author = {Joonhyung Lee and Sangbeom Park and Jeongeun Park and Kyungjae Lee and Sungjoon Choi}, title = {{SPOTS:} Stable Placement of Objects with Reasoning in Semi-Autonomous Teleoperation Systems}, journal = {CoRR}, volume = {abs/2309.13937}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13937}, doi = {10.48550/ARXIV.2309.13937}, eprinttype = {arXiv}, eprint = {2309.13937}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13937.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14849, author = {Hyuhng Joon Kim and Hyunsoo Cho and Sang{-}Woo Lee and Junyeob Kim and Choonghyun Park and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, title = {Universal Domain Adaptation for Robust Handling of Distributional Shifts in {NLP}}, journal = {CoRR}, volume = {abs/2310.14849}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14849}, doi = {10.48550/ARXIV.2310.14849}, eprinttype = {arXiv}, eprint = {2310.14849}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14849.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-03754, author = {Joonghoon Kim and Saeran Park and Kiyoon Jeong and Sangmin Lee and Seung Hun Han and Jiyoon Lee and Pilsung Kang}, title = {Which is better? Exploring Prompting Strategy For LLM-based Metrics}, journal = {CoRR}, volume = {abs/2311.03754}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.03754}, doi = {10.48550/ARXIV.2311.03754}, eprinttype = {arXiv}, eprint = {2311.03754}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-03754.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09576, author = {Xiangde Luo and Jia Fu and Yunxin Zhong and Shuolin Liu and Bing Han and Mehdi Astaraki and Simone Bendazzoli and Iuliana Toma{-}Dasu and Yiwen Ye and Ziyang Chen and Yong Xia and Yanzhou Su and Jin Ye and Junjun He and Zhaohu Xing and Hongqiu Wang and Lei Zhu and Kaixiang Yang and Xin Fang and Zhiwei Wang and Chan Woong Lee and Sang Joon Park and Jaehee Chun and Constantin Ulrich and Klaus H. Maier{-}Hein and Nchongmaje Ndipenoch and Alina Dana Miron and Yongmin Li and Yimeng Zhang and Yu Chen and Lu Bai and Jinlong Huang and Chengyang An and Lisheng Wang and Kaiwen Huang and Yunqi Gu and Tao Zhou and Mu Zhou and Shichuan Zhang and Wenjun Liao and Guotai Wang and Shaoting Zhang}, title = {SegRap2023: {A} Benchmark of Organs-at-Risk and Gross Tumor Volume Segmentation for Radiotherapy Planning of Nasopharyngeal Carcinoma}, journal = {CoRR}, volume = {abs/2312.09576}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09576}, doi = {10.48550/ARXIV.2312.09576}, eprinttype = {arXiv}, eprint = {2312.09576}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09576.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaoMHPKLKL22, author = {Le Thi Hue Dao and Truong Thanh Nhat Mai and Wook Hong and Sanghyun Park and Hokwon Kim and Joon Goo Lee and Min{-}Seok Kim and Chul Lee}, title = {Orientation Prediction for {VR} and {AR} Devices Using Inertial Sensors Based on Kalman-Like Error Compensation}, journal = {{IEEE} Access}, volume = {10}, pages = {114306--114317}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3217555}, doi = {10.1109/ACCESS.2022.3217555}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/DaoMHPKLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GoKNPL22, author = {Sojung Go and Jooyoung Kim and Kyoung Jin Noh and Sang Jun Park and Soochahn Lee}, title = {Combined Deep Learning of Fundus Images and Fluorescein Angiography for Retinal Artery/Vein Classification}, journal = {{IEEE} Access}, volume = {10}, pages = {70688--70698}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3187503}, doi = {10.1109/ACCESS.2022.3187503}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/GoKNPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLP22, author = {Sangjun Kim and Sanghoon Lee and Kyung{-}Joon Park}, title = {Real-Time Controller Reconfiguration for Delay-Resilient Cyber-Physical Systems}, journal = {{IEEE} Access}, volume = {10}, pages = {101220--101228}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3208232}, doi = {10.1109/ACCESS.2022.3208232}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLPC22, author = {Jangkyum Kim and Joohyung Lee and Sangdon Park and Jun Kyun Choi}, title = {Power Scheduling Scheme for a Charging Facility Considering the Satisfaction of Electric Vehicle Users}, journal = {{IEEE} Access}, volume = {10}, pages = {25153--25164}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3151355}, doi = {10.1109/ACCESS.2022.3151355}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimLPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonKJCBKCP22, author = {Sewon Yoon and Soobum Kim and Joo{-}Ho Jung and Sangbin Cha and Youngseok Baek and Bontae Koo and In{-}Oh Choi and Sang{-}Hong Park}, title = {Efficient Protocol to Use {FMCW} Radar and {CNN} to Distinguish Micro-Doppler Signatures of Multiple Drones and Birds}, journal = {{IEEE} Access}, volume = {10}, pages = {26033--26044}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3155776}, doi = {10.1109/ACCESS.2022.3155776}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonKJCBKCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/YooPWWMTL22, author = {Sangmin Yoo and Yongmo Park and Ziyu Wang and Yuting Wu and Saaketh Medepalli and Wesley Thio and Wei D. Lu}, title = {Columnar Learning Networks for Multisensory Spatiotemporal Learning}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {11}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200179}, doi = {10.1002/AISY.202200179}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/YooPWWMTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/KimPL22, author = {Sangjun Kim and Kyung{-}Joon Park and Chenyang Lu}, title = {A Survey on Network Security for Cyber-Physical Systems: From Threats to Resilient Design}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {24}, number = {3}, pages = {1534--1573}, year = {2022}, url = {https://doi.org/10.1109/COMST.2022.3187531}, doi = {10.1109/COMST.2022.3187531}, timestamp = {Sat, 10 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsur/KimPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/ParkCLJRKHSY22, author = {Bumhee Park and Byung Jin Choi and Heirim Lee and Jong{-}Hwan Jang and Hyunwoong Roh and Eun Young Kim and Changhyung Hong and Sang Joon Son and Dukyong Yoon}, title = {Modeling Brain Volume Using Deep Learning-Based Physical Activity Features in Patients With Dementia}, journal = {Frontiers Neuroinformatics}, volume = {16}, pages = {795171}, year = {2022}, url = {https://doi.org/10.3389/fninf.2022.795171}, doi = {10.3389/FNINF.2022.795171}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/ParkCLJRKHSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KimPKK22, author = {Dongwon Kim and Sangwoo Park and Jinkyu Kang and Joonhyuk Kang}, title = {Block-Fading Non-Stationary Channel Estimation for {MIMO-OFDM} Systems via Meta-Learning}, journal = {{IEEE} Commun. Lett.}, volume = {26}, number = {12}, pages = {2924--2928}, year = {2022}, url = {https://doi.org/10.1109/LCOMM.2022.3204763}, doi = {10.1109/LCOMM.2022.3204763}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/KimPKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LeePAK22, author = {Youngjoon Lee and Sangwoo Park and Jin{-}Hyun Ahn and Joonhyuk Kang}, title = {Accelerated Federated Learning via Greedy Aggregation}, journal = {{IEEE} Commun. Lett.}, volume = {26}, number = {12}, pages = {2919--2923}, year = {2022}, url = {https://doi.org/10.1109/LCOMM.2022.3203581}, doi = {10.1109/LCOMM.2022.3203581}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/LeePAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/SeoPK22, author = {Junghaa Seo and Sangwoo Park and Joonhyuk Kang}, title = {Secure wireless communication via adversarial machine learning: \emph{A Priori} vs. \emph{A Posteriori}}, journal = {{ICT} Express}, volume = {8}, number = {2}, pages = {220--224}, year = {2022}, url = {https://doi.org/10.1016/j.icte.2021.06.005}, doi = {10.1016/J.ICTE.2021.06.005}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/SeoPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/DwivediHBRGADMB22, author = {Yogesh K. Dwivedi and David Laurie Hughes and Abdullah M. Baabdullah and Samuel Ribeiro{-}Navarrete and Mihalis Giannakis and Mutaz M. Al{-}Debei and Denis Dennehy and Bhimaraya A. Metri and Dimitrios Buhalis and Christy M. K. Cheung and Kieran Conboy and Ronan Doyle and Rameshwar Dubey and Vincent Dutot and Reto Felix and D. P. Goyal and Anders Gustafsson and Chris Hinsch and Ikram Jebabli and Marijn Janssen and Young{-}Gab Kim and Jooyoung Kim and Stefan Koos and David Kreps and Nir Kshetri and Vikram Kumar and Keng{-}Boon Ooi and Savvas Papagiannidis and Ilias O. Pappas and Ariana Polyviou and Sang{-}Min Park and Neeraj Pandey and Maciel Manoel Queiroz and Ramakrishnan Raman and Philipp A. Rauschnabel and Anuragini Shirish and Marianna Sigala and Konstantina Spanaki and Garry Wei{-}Han Tan and Manoj Kumar Tiwari and Giampaolo Viglia and Samuel Fosso Wamba}, title = {Metaverse beyond the hype: Multidisciplinary perspectives on emerging challenges, opportunities, and agenda for research, practice and policy}, journal = {Int. J. Inf. Manag.}, volume = {66}, pages = {102542}, year = {2022}, url = {https://doi.org/10.1016/j.ijinfomgt.2022.102542}, doi = {10.1016/J.IJINFOMGT.2022.102542}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/DwivediHBRGADMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/HanLPLC22, author = {Jaeseob Han and Gyeong Ho Lee and Sangdon Park and Joohyung Lee and Jun Kyun Choi}, title = {A Multivariate-Time-Series-Prediction-Based Adaptive Data Transmission Period Control Algorithm for IoT Networks}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {1}, pages = {419--436}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3124673}, doi = {10.1109/JIOT.2021.3124673}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/HanLPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/NguyenYJPH22, author = {Minh Hieu Nguyen and Sanghyun Yoon and Sungha Ju and Sangyoon Park and Joon Heo}, title = {B-EagleV: Visualization of Big Point Cloud Datasets in Civil Engineering Using a Distributed Computing Solution}, journal = {J. Comput. Civ. Eng.}, volume = {36}, number = {3}, year = {2022}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0001021}, doi = {10.1061/(ASCE)CP.1943-5487.0001021}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/NguyenYJPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangYKCLBKKKCJJ22, author = {Jihyo Kang and Jaehyeok Yang and Kyunghoon Kim and Joo{-}Hyung Chae and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Boram Kim and Dong{-}Hyun Kim and Youngtaek Kim and Yeongmuk Cho and Junghwan Ji and Sera Jeong and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Sunho Kim and Hae{-}Kang Jung and Jieun Jang and Sangkwon Lee and Hyungsoo Kim and Joo{-}Hwan Cho and Junhyun Chun and Seon{-}Yong Cha}, title = {A 24-Gb/s/Pin 8-Gb {GDDR6} With a Half-Rate Daisy-Chain-Based Clocking Architecture and {I/O} Circuitry for Low-Noise Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {212--223}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3114205}, doi = {10.1109/JSSC.2021.3114205}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangYKCLBKKKCJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ParkKOSLKMLY22, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Multi-task vision transformer using low-level chest X-ray feature corpus for {COVID-19} diagnosis and severity quantification}, journal = {Medical Image Anal.}, volume = {75}, pages = {102299}, year = {2022}, url = {https://doi.org/10.1016/j.media.2021.102299}, doi = {10.1016/J.MEDIA.2021.102299}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/ParkKOSLKMLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChoiSP22, author = {Seung Yun Choi and Sang Joon Son and Bumhee Park}, title = {Shared genetic effects of emotion and subcortical volumes in healthy adults}, journal = {NeuroImage}, volume = {249}, pages = {118894}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.118894}, doi = {10.1016/J.NEUROIMAGE.2022.118894}, timestamp = {Thu, 24 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/ChoiSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeHJLCKCIJPAHK22, author = {Sun Yeop Lee and Sangwoo Ha and Min Gyeong Jeon and Hao Li and Hyunju Choi and Hwa Pyung Kim and Ye Ra Choi and Hoseok I and Yeon Joo Jeong and Yoon Ha Park and Hyemin Ahn and Sang Hyup Hong and Hyun Jung Koo and Choong Wook Lee and Min Jae Kim and Yeon Joo Kim and Kyung Won Kim and Jong Mun Choi}, title = {Localization-adjusted diagnostic performance and assistance effect of a computer-aided detection system for pneumothorax and consolidation}, journal = {npj Digit. Medicine}, volume = {5}, year = {2022}, url = {https://doi.org/10.1038/s41746-022-00658-x}, doi = {10.1038/S41746-022-00658-X}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeHJLCKCIJPAHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qre/KangLPJPKY22, author = {Minkoo Kang and Sunjae Lee and Heedo Park and Joongsoon Jang and Sang C. Park and Jong{-}Ho Kim and Chan{-}Sei Yoo}, title = {Lifetime estimation for optocouplers using accelerated degradation test}, journal = {Qual. Reliab. Eng. Int.}, volume = {38}, number = {1}, pages = {560--573}, year = {2022}, url = {https://doi.org/10.1002/qre.3001}, doi = {10.1002/QRE.3001}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qre/KangLPJPKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeJHLPKNLLOPH22, author = {Seongpung Lee and Sanghyun Joung and Ho{-}Gun Ha and Jin{-}Han Lee and Kyeong{-}Hyeon Park and Shinyeol Kim and Kwonsun Nam and Jongsuk Lee and Hyun{-}Joo Lee and Chang{-}Wug Oh and Ilhyung Park and Jaesung Hong}, title = {3D Image-Guided Robotic System for Bone Fracture Reduction}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {4353--4360}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3150880}, doi = {10.1109/LRA.2022.3150880}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LeeJHLPKNLLOPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JangKMPLJPCLU22, author = {Seonghyeon Jang and Jeonggyu Kim and Greg M. McFarquhar and Sungmin Park and Seoung Soo Lee and Chang Hoon Jung and Sang Seo Park and Joo Wan Cha and Kyoungmi Lee and Junshik Um}, title = {Theoretical Calculations of Directional Scattering Intensities of Small Nonspherical Ice Crystals: Implications for Forward Scattering Probes}, journal = {Remote. Sens.}, volume = {14}, number = {12}, pages = {2795}, year = {2022}, url = {https://doi.org/10.3390/rs14122795}, doi = {10.3390/RS14122795}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JangKMPLJPCLU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJLPPPL22, author = {Taemin Lee and Joontaek Jung and Sang{-}Mok Lee and Jongcheol Park and Jae{-}Hyeong Park and Kyung{-}Wook Paik and Hyunjoo J. Lee}, title = {{FPCB} as an Acoustic Matching Layer for 1D Linear Ultrasound Transducer Arrays}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5557}, year = {2022}, url = {https://doi.org/10.3390/s22155557}, doi = {10.3390/S22155557}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeJLPPPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePKKCKJKJGS22, author = {Kwang{-}Sig Lee and Hyun{-}Joon Park and Ji Eon Kim and Hee Jung Kim and Sangil Chon and Sangkyu Kim and Jaesung Jang and Jin{-}Kook Kim and Seongbin Jang and Yeongjoon Gil and Ho Sung Son}, title = {Compressed Deep Learning to Classify Arrhythmia in an Embedded Wearable Device}, journal = {Sensors}, volume = {22}, number = {5}, pages = {1776}, year = {2022}, url = {https://doi.org/10.3390/s22051776}, doi = {10.3390/S22051776}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePKKCKJKJGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YounPOKACPY22, author = {Jiseung Youn and Joohan Park and Joohyun Oh and Soohyeong Kim and Seyoung Ahn and Sunghyun Cho and Sangwoo Park and Cheolwoo You}, title = {CeRA-eSP: Code-Expanded Random Access to Enhance Success Probability of Massive {MTC}}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7959}, year = {2022}, url = {https://doi.org/10.3390/s22207959}, doi = {10.3390/S22207959}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YounPOKACPY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ShinLPKKKLJCJ22, author = {Soyeong Shin and Yongjae Lee and Jiheon Park and Jihyo Kang and Kyunghoon Kim and Dae{-}Han Kwon and Sangkwon Lee and Jieun Jang and Joo{-}Hwan Cho and Deog{-}Kyoon Jeong}, title = {A Clock Distribution Scheme Insensitive to Supply Voltage Drift With Self-Adjustment of Clock Buffer Delay}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {3}, pages = {814--818}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2021.3110409}, doi = {10.1109/TCSII.2021.3110409}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ShinLPKKKLJCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/KoKPP22, author = {Young{-}Joon Ko and Sangsoo Kim and Cheol{-}Ho Pan and Keunwan Park}, title = {Identification of Functional Microbial Modules Through Network-Based Analysis of Meta-Microbial Features Using Matrix Factorization}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {19}, number = {5}, pages = {2851--2862}, year = {2022}, url = {https://doi.org/10.1109/TCBB.2021.3100893}, doi = {10.1109/TCBB.2021.3100893}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/KoKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/JangJMKPK22, author = {Min Jang and Hongsil Jeong and Seho Myung and Kyung{-}Joong Kim and Jeongho Park and Sang{-}Hyo Kim}, title = {Analysis and Design of {QC-LDPC} Coded {BICM} Ensembles Based on {RCA} Density Evolution}, journal = {{IEEE} Trans. Commun.}, volume = {70}, number = {4}, pages = {2183--2199}, year = {2022}, url = {https://doi.org/10.1109/TCOMM.2022.3146259}, doi = {10.1109/TCOMM.2022.3146259}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/JangJMKPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JeonPLCKS22, author = {Jiyun Jeon and Chan Sam Park and Sangheon Lee and Hee Young Chae and Jae Joon Kim and Hungsun Son}, title = {Magnetic Induction Tomography Using Multi-Channel Phase-Domain Transceiver for Structural Health Monitoring}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--9}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3151951}, doi = {10.1109/TIM.2022.3151951}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JeonPLCKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LeePK22, author = {Sangmin Lee and Seong Joon Park and Hwangnam Kim}, title = {Enhancing gas detection-based swarming through deep reinforcement learning}, journal = {J. Supercomput.}, volume = {78}, number = {13}, pages = {14794--14812}, year = {2022}, url = {https://doi.org/10.1007/s11227-022-04478-4}, doi = {10.1007/S11227-022-04478-4}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LeePK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/AnCCJPI22, author = {Jaepung An and Gyujin Choi and Wooyoung Chun and Yesle Joo and Sanghun Park and Insung Ihm}, title = {Accurate and stable alignment of virtual and real spaces using consumer-grade trackers}, journal = {Virtual Real.}, volume = {26}, number = {1}, pages = {125--141}, year = {2022}, url = {https://doi.org/10.1007/s10055-021-00542-1}, doi = {10.1007/S10055-021-00542-1}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vr/AnCCJPI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/0002HHLPL22, author = {Kyungjae Lee and Wookje Han and Seung{-}won Hwang and Hwaran Lee and Joonsuk Park and Sang{-}Woo Lee}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Plug-and-Play Adaptation for Continuously-updated {QA}}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {438--447}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.37}, doi = {10.18653/V1/2022.FINDINGS-ACL.37}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/0002HHLPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/JungPCLKK022, author = {Yong{-}Ho Jung and Jun{-}Hyung Park and Joon{-}Young Choi and Mingyu Lee and Junho Kim and Kang{-}Min Kim and SangKeun Lee}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {Learning from Missing Relations: Contrastive Learning with Commonsense Knowledge Graphs for Commonsense Inference}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {1514--1523}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-acl.119}, doi = {10.18653/V1/2022.FINDINGS-ACL.119}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/JungPCLKK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772814}, doi = {10.1109/CICC53496.2022.9772814}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimPBSYSCULJSRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ParkWOKL22, author = {Kwanyong Park and Sanghyun Woo and Seoung Wug Oh and In So Kweon and Joon{-}Young Lee}, title = {Per-Clip Video Object Segmentation}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {1342--1351}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00141}, doi = {10.1109/CVPR52688.2022.00141}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ParkWOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChunKPCO22, author = {Sanghyuk Chun and Wonjae Kim and Song Park and Minsuk Chang and Seong Joon Oh}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{ECCV} Caption: Correcting False Negatives by Collecting Machine-and-Human-verified Image-Caption Associations for {MS-COCO}}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13668}, pages = {1--19}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20074-8\_1}, doi = {10.1007/978-3-031-20074-8\_1}, timestamp = {Wed, 16 Nov 2022 21:55:17 +0100}, biburl = {https://dblp.org/rec/conf/eccv/ChunKPCO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WooPOKL22, author = {Sanghyun Woo and Kwanyong Park and Seoung Wug Oh and In So Kweon and Joon{-}Young Lee}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Tracking by Associating Clips}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXV}}, series = {Lecture Notes in Computer Science}, volume = {13685}, pages = {129--145}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19806-9\_8}, doi = {10.1007/978-3-031-19806-9\_8}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/WooPOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WooPOKL22a, author = {Sanghyun Woo and Kwanyong Park and Seoung Wug Oh and In So Kweon and Joon{-}Young Lee}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {Bridging Images and Videos: {A} Simple Learning Framework for Large Vocabulary Video Object Detection}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {XXV}}, series = {Lecture Notes in Computer Science}, volume = {13685}, pages = {238--258}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19806-9\_14}, doi = {10.1007/978-3-031-19806-9\_14}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/WooPOKL22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiKPP22, author = {Seungjin Choi and Dohun Kim and Sanghyun Park and Joonki Paik}, title = {Point Cloud-Based Lane Detection for Optimal Local Path Planning}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748306}, doi = {10.1109/ICEIC54506.2022.9748306}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JeongKPP22, author = {Mingu Jeong and Dohun Kim and Sanghyun Park and Joonki Paik}, title = {Drowsy Status Monitoring System based on Face Feature Analysis}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748269}, doi = {10.1109/ICEIC54506.2022.9748269}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/JeongKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LimKPP22, author = {Jihu Lim and Dohun Kim and Sanghyun Park and Joonki Paik}, title = {Face Landmark Estimation-based De-identification System}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748390}, doi = {10.1109/ICEIC54506.2022.9748390}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LimKPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimPCJK022, author = {Nayeon Kim and Jun{-}Hyung Park and Joon{-}Young Choi and Eojin Jeon and Youjin Kang and SangKeun Lee}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Break it Down into {BTS:} Basic, Tiniest Subword Units for Korean}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {7007--7024}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.472}, doi = {10.18653/V1/2022.EMNLP-MAIN.472}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimPCJK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimPLMC022, author = {Junho Kim and Jun{-}Hyung Park and Mingyu Lee and Wing{-}Lam Mok and Joon{-}Young Choi and SangKeun Lee}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Tutoring Helps Students Learn Better: Improving Knowledge Distillation for {BERT} with Tutor Network}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {7371--7382}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.498}, doi = {10.18653/V1/2022.EMNLP-MAIN.498}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimPLMC022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/JoJYLJFHKSJP22, author = {SeongHyeon Jo and Jun Ha Jung and Min Jin Yang and Yechan Lee and Sang Jin Jang and Jirou Feng and Si{-}Hwan Heo and Jung Kim and Joon{-}Ho Shin and Jaesung Jeong and Hyung{-}Soon Park}, title = {{EEG-EMG} hybrid real-time classification of hand grasp and release movements intention in chronic stroke patients}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2022, Rotterdam, Netherlands, July 25-29, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICORR55369.2022.9896592}, doi = {10.1109/ICORR55369.2022.9896592}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icorr/JoJYLJFHKSJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ShinHWCSKKLHP22, author = {Young Ha Shin and Seungwoo Hong and Sangyoung Woo and Jonghun Choe and Harim Son and Gijeong Kim and Joon{-}Ha Kim and Kang Kyu Lee and Jemin Hwangbo and Hae{-}Won Park}, title = {Design of {KAIST} HOUND, a Quadruped Robot Platform for Fast and Efficient Locomotion with Mixed-Integer Nonlinear Optimization of a Gear Train}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {6614--6620}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811755}, doi = {10.1109/ICRA46639.2022.9811755}, timestamp = {Wed, 20 Jul 2022 18:22:23 +0200}, biburl = {https://dblp.org/rec/conf/icra/ShinHWCSKKLHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKP22, author = {Sang Je Lee and Haram Kim and Joonha Park}, title = {Emergency Handling Considerations in Wireless {BMS}}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1172--1175}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952802}, doi = {10.1109/ICTC55196.2022.9952802}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkPKJ22, author = {Sanghyon Park and Jaeyoon Park and Joongheon Kim and Soyi Jung}, title = {Deep Reinforcement Learning for Complex Topography in Urban Aerial Mobility: Sensor-based Calibration and Visualization}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1201--1203}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952386}, doi = {10.1109/ICTC55196.2022.9952386}, timestamp = {Wed, 30 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkPKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeCLSPK22, author = {Mun{-}Hak Lee and Joon{-}Hyuk Chang and Sang{-}Eon Lee and Ju{-}Seok Seong and Chanhee Park and Haeyoung Kwon}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Regularizing Transformer-based Acoustic Models by Penalizing Attention Weights}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {56--60}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-362}, doi = {10.21437/INTERSPEECH.2022-362}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeCLSPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ParkCLPOS22, author = {Sangjun Park and Kihyun Choo and Joohyung Lee and Anton V. Porov and Konstantin Osipov and June Sig Sung}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Bunched LPCNet2: Efficient Neural Vocoders Covering Devices from Cloud to Edge}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {808--812}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-310}, doi = {10.21437/INTERSPEECH.2022-310}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ParkCLPOS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKSJYPKKCKPS22, author = {Hyeokjae Lee and Sanggi Ko and Ho{-}Joon Suh and Gina Jeong and Jung{-}Han Yeo and Hye{-}Min Park and Hee{-}Kyeong Kim and Jong{-}Kwan Kim and Sung S. Chung and Youngboo Kim and Jisun Park and Hyungsoon Shin}, title = {Progressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound {HBT} for RF, Mobile, and Automotive Applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764410}, doi = {10.1109/IRPS48227.2022.9764410}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKSJYPKKCKPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/DuPYOKOY22, author = {Jieon Du and Sohyun Park and Joosun Yum and Zeynep {\"{O}}zge {\"{O}}zdemir and Dooyoung Kim and Seoyoung Oh and Sang Ho Yoon}, title = {Art Rich: Place Your {AR} Artwork}, booktitle = {2022 {IEEE} International Symposium on Mixed and Augmented Reality Adjunct (ISMAR-Adjunct), Singapore, Singapore, October 17-21, 2022}, pages = {667--670}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISMAR-Adjunct57072.2022.00138}, doi = {10.1109/ISMAR-ADJUNCT57072.2022.00138}, timestamp = {Wed, 21 Dec 2022 10:52:12 +0100}, biburl = {https://dblp.org/rec/conf/ismar/DuPYOKOY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/JungSSKLPKJHK22, author = {Wooseok Jung and Chong Hyun Suh and Woo{-}Hyun Shim and Jinyoung Kim and Dongsoo Lee and Changhyun Park and Seo Taek Kong and Kyu{-}Hwan Jung and Hwon Heo and Sang Joon Kim}, editor = {Ahmed Abdulkadir and Deepti R. Bathula and Nicha C. Dvornek and Mohamad Habes and Seyed Mostafa Kia and Vinod Kumar and Thomas Wolfers}, title = {Volume is All You Need: Improving Multi-task Multiple Instance Learning for {WMH} Segmentation and Severity Estimation}, booktitle = {Machine Learning in Clinical Neuroimaging - 5th International Workshop, {MLCN} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 18, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13596}, pages = {23--31}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17899-3\_3}, doi = {10.1007/978-3-031-17899-3\_3}, timestamp = {Tue, 10 Oct 2023 14:59:33 +0200}, biburl = {https://dblp.org/rec/conf/miccai/JungSSKLPKJHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LeeSKJPJKSHK22, author = {Dongsoo Lee and Chong Hyun Suh and Jinyoung Kim and Wooseok Jung and Changhyun Park and Kyu{-}Hwan Jung and Seo Taek Kong and Woo{-}Hyun Shim and Hwon Heo and Sang Joon Kim}, editor = {Ahmed Abdulkadir and Deepti R. Bathula and Nicha C. Dvornek and Mohamad Habes and Seyed Mostafa Kia and Vinod Kumar and Thomas Wolfers}, title = {Augmenting Magnetic Resonance Imaging with Tabular Features for Enhanced and Interpretable Medial Temporal Lobe Atrophy Prediction}, booktitle = {Machine Learning in Clinical Neuroimaging - 5th International Workshop, {MLCN} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 18, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13596}, pages = {125--134}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17899-3\_13}, doi = {10.1007/978-3-031-17899-3\_13}, timestamp = {Wed, 12 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/LeeSKJPJKSHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/NamKWCNGP22, author = {Siwoo Nam and Myeongkyun Kang and Dong Kyu Won and Philip Chikontwe and Byeong{-}Joo Noh and Heounjeong Go and Sanghyun Park}, editor = {Islem Rekik and Ehsan Adeli and Sang Hyun Park and Celia Cintas}, title = {Weakly-Supervised TILs Segmentation Based on Point Annotations Using Transfer Learning with Point Detector and Projected-Boundary Regressor}, booktitle = {Predictive Intelligence in Medicine - 5th International Workshop, {PRIME} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 22, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13564}, pages = {115--125}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16919-9\_11}, doi = {10.1007/978-3-031-16919-9\_11}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miccai/NamKWCNGP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/BaeJCPK22, author = {Sang{-}Hyeon Bae and Sung{-}Hyeon Joo and Jun{-}Hyun Choi and Hyun{-}Jin Park and Tae{-}Yong Kuc}, title = {Localization System Through 2D LiDAR based Semantic Feature For Indoor Robot}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {338--342}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022.9826250}, doi = {10.1109/UR55393.2022.9826250}, timestamp = {Tue, 19 Jul 2022 18:11:02 +0200}, biburl = {https://dblp.org/rec/conf/urai/BaeJCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JooBGPK22, author = {Kyeong{-}Jin Joo and Sang{-}Hyeon Bae and Arpan Ghosh and Hyun{-}Jin Park and Tae{-}Yong Kuc}, title = {Wall following navigation algorithm for a disinfecting robot}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {343--346}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022.9826258}, doi = {10.1109/UR55393.2022.9826258}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/JooBGPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/DicksonDCKMCFBB22, author = {Timothy O. Dickson and Zeynep Toprak Deniz and Martin Cochet and Marcel A. Kossel and Thomas Morf and Young{-}Ho Choi and Pier Andrea Francese and Matthias Br{\"{a}}ndli and Troy J. Beukema and Christian W. Baks and Jonathan E. Proesel and John F. Bulzacchelli and Michael P. Beakes and Byoung{-}Joo Yoo and Hyoungbae Ahn and Dong{-}Hyuk Lim and Gunil Kang and Sang{-}Hune Park and Mounir Meghelli and Hyo{-}Gyuem Rhew and Daniel J. Friedman and Michael Choi and Mehmet Soyuer and Jongshin Shin}, title = {A 72GS/s, 8-bit DAC-based Wireline Transmitter in 4nm FinFET {CMOS} for 200+Gb/s Serial Links}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {28--29}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830421}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830421}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/DicksonDCKMCFBB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/GeumLJAKSKPBJK22, author = {Dae{-}Myeong Geum and Jinha Lim and Junho Jang and Seungyeop Ahn and SeongKwang Kim and Joonsup Shim and Bong Ho Kim and Juhyuk Park and Woo Jin Baek and Jaeyong Jeong and Sanghyeon Kim}, title = {A sub-micron-thick InGaAs broadband {(400-1700} nm) photodetectors with a high external quantum efficiency ({\textgreater}70{\%})}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {413--414}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830388}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830388}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/GeumLJAKSKPBJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimPLHY22, author = {Youngmin Kim and Hongjong Park and Iljin Lee and Joonhoi Hur and Sangmin Yoo}, title = {High Efficiency 29-/38-GHz Hybrid Transceiver Front-Ends Utilizing Si {CMOS} and GaAs {HEMT} for 5G {NR} Millimeter-Wave Mobile Applications}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {124--125}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830256}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830256}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimPLHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YonarFBKMPRACDD22, author = {Serdar S. Yonar and Pier Andrea Francese and Matthias Br{\"{a}}ndli and Marcel A. Kossel and Thomas Morf and Jonathan E. Proesel and Sergey V. Rylov and Herschel A. Ainspan and Martin Cochet and Zeynep Toprak Deniz and Timothy O. Dickson and Troy J. Beukema and Christian W. Baks and Michael P. Beakes and John F. Bulzacchelli and Young{-}Ho Choi and Byoung{-}Joo Yoo and Hyoungbae Ahn and Dong{-}Hyuk Lim and Gunil Kang and Sang{-}Hune Park and Mounir Meghelli and Hyo{-}Gyuem Rhew and Daniel J. Friedman and Michael Choi and Mehmet Soyuer and Jongshin Shin}, title = {An 8-bit 56GS/s 64x Time-Interleaved {ADC} with Bootstrapped Sampler and Class-AB Buffer in 4nm {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {168--169}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830308}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830308}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YonarFBKMPRACDD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-06431, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Chang Min Park and Jong Chul Ye}, title = {{AI} can evolve without labels: self-evolving vision transformer for chest X-ray diagnosis through knowledge distillation}, journal = {CoRR}, volume = {abs/2202.06431}, year = {2022}, url = {https://arxiv.org/abs/2202.06431}, eprinttype = {arXiv}, eprint = {2202.06431}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-06431.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-14416, author = {Sangjun Park and Kihyun Choo and Joohyung Lee and Anton V. Porov and Konstantin Osipov and June Sig Sung}, title = {Bunched LPCNet2: Efficient Neural Vocoders Covering Devices from Cloud to Edge}, journal = {CoRR}, volume = {abs/2203.14416}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.14416}, doi = {10.48550/ARXIV.2203.14416}, eprinttype = {arXiv}, eprint = {2203.14416}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-14416.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-03359, author = {Sanghyuk Chun and Wonjae Kim and Song Park and Minsuk Chang and Seong Joon Oh}, title = {{ECCV} Caption: Correcting False Negatives by Collecting Machine-and-Human-verified Image-Caption Associations for {MS-COCO}}, journal = {CoRR}, volume = {abs/2204.03359}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.03359}, doi = {10.48550/ARXIV.2204.03359}, eprinttype = {arXiv}, eprint = {2204.03359}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-03359.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-12785, author = {Kyungjae Lee and Wookje Han and Seung{-}won Hwang and Hwaran Lee and Joonsuk Park and Sang{-}Woo Lee}, title = {Plug-and-Play Adaptation for Continuously-updated {QA}}, journal = {CoRR}, volume = {abs/2204.12785}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.12785}, doi = {10.48550/ARXIV.2204.12785}, eprinttype = {arXiv}, eprint = {2204.12785}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-12785.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-00384, author = {Jaewon Kim and Jooyoung Chang and Sang Min Park}, title = {A Generalized Supervised Contrastive Learning Framework}, journal = {CoRR}, volume = {abs/2206.00384}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.00384}, doi = {10.48550/ARXIV.2206.00384}, eprinttype = {arXiv}, eprint = {2206.00384}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-00384.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-06831, author = {Sanghyeon Lee and Jooyeol Yun and Minho Park and Jaegul Choo}, title = {iColoriT: Towards Propagating Local Hint to the Right Region in Interactive Colorization by Leveraging Vision Transformer}, journal = {CoRR}, volume = {abs/2207.06831}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.06831}, doi = {10.48550/ARXIV.2207.06831}, eprinttype = {arXiv}, eprint = {2207.06831}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-06831.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-01924, author = {KwanYong Park and Sanghyun Woo and Seoung Wug Oh and In So Kweon and Joon{-}Young Lee}, title = {Per-Clip Video Object Segmentation}, journal = {CoRR}, volume = {abs/2208.01924}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.01924}, doi = {10.48550/ARXIV.2208.01924}, eprinttype = {arXiv}, eprint = {2208.01924}, timestamp = {Tue, 09 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-01924.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16519, author = {Youngjoon Lee and Sangwoo Park and Joonhyuk Kang}, title = {Security-Preserving Federated Learning via Byzantine-Sensitive Triplet Distance}, journal = {CoRR}, volume = {abs/2210.16519}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16519}, doi = {10.48550/ARXIV.2210.16519}, eprinttype = {arXiv}, eprint = {2210.16519}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16519.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-16520, author = {Youngjoon Lee and Sangwoo Park and Joonhyuk Kang}, title = {Fast-Convergent Federated Learning via Cyclic Aggregation}, journal = {CoRR}, volume = {abs/2210.16520}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.16520}, doi = {10.48550/ARXIV.2210.16520}, eprinttype = {arXiv}, eprint = {2210.16520}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-16520.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10147, author = {Sanghyun Woo and Kwanyong Park and Seoung Wug Oh and In So Kweon and Joon{-}Young Lee}, title = {Bridging Images and Videos: {A} Simple Learning Framework for Large Vocabulary Video Object Detection}, journal = {CoRR}, volume = {abs/2212.10147}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10147}, doi = {10.48550/ARXIV.2212.10147}, eprinttype = {arXiv}, eprint = {2212.10147}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10147.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10149, author = {Sanghyun Woo and Kwanyong Park and Seoung Wug Oh and In So Kweon and Joon{-}Young Lee}, title = {Tracking by Associating Clips}, journal = {CoRR}, volume = {abs/2212.10149}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10149}, doi = {10.48550/ARXIV.2212.10149}, eprinttype = {arXiv}, eprint = {2212.10149}, timestamp = {Tue, 03 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10149.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeonSPKS21, author = {Sanghoon Jeon and Joonwoo Son and Myoungouk Park and Byuk Sung Ko and Sang Hyuk Son}, title = {Driving-PASS: {A} Driving Performance Assessment System for Stroke Drivers Using Deep Features}, journal = {{IEEE} Access}, volume = {9}, pages = {21627--21641}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3055870}, doi = {10.1109/ACCESS.2021.3055870}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeonSPKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MinJKSKPHKPHCMK21, author = {Sun{-}Hong Min and Hoechun Jung and Ohjoon Kwon and Matlabjon Sattorov and Seontae Kim and Seung{-}Hyuk Park and Dongpyo Hong and Seonmyeong Kim and Chawon Park and Bong Hwan Hong and Ilsung Cho and Sukhwal Ma and Minho Kim and Young Joon Yoo and Sang Yoon Park and Gun{-}Sik Park}, title = {Analysis of Electromagnetic Pulse Effects Under High-Power Microwave Sources}, journal = {{IEEE} Access}, volume = {9}, pages = {136775--136791}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3117395}, doi = {10.1109/ACCESS.2021.3117395}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MinJKSKPHKPHCMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKLJK21, author = {Seong Joon Park and Hyeong Tae Kim and Sangmin Lee and Hyeontae Joo and Hwangnam Kim}, title = {Survey on Anti-Drone Systems: Components, Designs, and Challenges}, journal = {{IEEE} Access}, volume = {9}, pages = {42635--42659}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3065926}, doi = {10.1109/ACCESS.2021.3065926}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKLJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChoPLLSK21, author = {Yongwon Cho and BeomHee Park and Sang Min Lee and Kyung Hee Lee and Joon Beom Seo and Namkug Kim}, title = {Optimal number of strong labels for curriculum learning with convolutional neural network to classify pulmonary abnormalities in chest radiographs}, journal = {Comput. Biol. Medicine}, volume = {136}, pages = {104750}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104750}, doi = {10.1016/J.COMPBIOMED.2021.104750}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/ChoPLLSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/KimSKKLLHNPL21, author = {Yeon{-}Soo Kim and Md. Abu Ayub Siddique and Wan{-}Soo Kim and Yong{-}Joo Kim and Sang{-}Dae Lee and Dong{-}Keun Lee and Seok{-}Joon Hwang and Ju{-}Seok Nam and Seong{-}Un Park and Ryu{-}Gap Lim}, title = {{DEM} simulation for draft force prediction of moldboard plow according to the tillage depth in cohesive soil}, journal = {Comput. Electron. Agric.}, volume = {189}, pages = {106368}, year = {2021}, url = {https://doi.org/10.1016/j.compag.2021.106368}, doi = {10.1016/J.COMPAG.2021.106368}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/KimSKKLLHNPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/RyuKYJCPHCCCL21, author = {Susie Ryu and Jun Hong Kim and Heejin Yu and Hwi{-}Dong Jung and Suk Won Chang and Jeong Jin Park and Soonhyuk Hong and Hyung{-}Ju Cho and Yoon Jeong Choi and Jongeun Choi and Joon Sang Lee}, title = {Diagnosis of obstructive sleep apnea with prediction of flow characteristics according to airway morphology automatically extracted from medical images: Computational fluid dynamics and artificial intelligence approach}, journal = {Comput. Methods Programs Biomed.}, volume = {208}, pages = {106243}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106243}, doi = {10.1016/J.CMPB.2021.106243}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/RyuKYJCPHCCCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChunSLHJHKYPCCP21, author = {June Young Chun and Kyoung{-}Ho Song and Dong{-}eun Lee and Joo{-}Hee Hwang and Hyun Gul Jung and Eunjeong Heo and Hyung{-}sook Kim and Seonghae Yoon and Jeong Su Park and Pyoeng Gyun Choe and Jae{-}Yong Chung and Wan Beom Park and Ji Hwan Bang and Hee Hwang and Kyoung Un Park and Sang Won Park and Nam Joong Kim and Myoung{-}don Oh and Eu Suk Kim and Hong Bin Kim}, title = {Impact of a computerised clinical decision support system on vancomycin loading and the risk of nephrotoxicity}, journal = {Int. J. Medical Informatics}, volume = {149}, pages = {104403}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104403}, doi = {10.1016/J.IJMEDINF.2021.104403}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChunSLHJHKYPCCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/ChoLCLPLKS21, author = {Yongwon Cho and Sang Min Lee and Young{-}Hoon Cho and June{-}Goo Lee and BeomHee Park and Gaeun Lee and Namkug Kim and Joon Beom Seo}, title = {Deep chest X-ray: Detection and classification of lesions based on deep convolutional neural networks}, journal = {Int. J. Imaging Syst. Technol.}, volume = {31}, number = {1}, pages = {72--81}, year = {2021}, url = {https://doi.org/10.1002/ima.22508}, doi = {10.1002/IMA.22508}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/ChoLCLPLKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LeeLPC21, author = {Sanghyun Lee and Joohyung Lee and Hong{-}Shik Park and Jun Kyun Choi}, title = {A Novel Fair and Scalable Relay Control Scheme for Internet of Things in LoRa-Based Low-Power Wide-Area Networks}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {7}, pages = {5985--6001}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3034185}, doi = {10.1109/JIOT.2020.3034185}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LeeLPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KwakCCPJ21, author = {Heeyoung Kwak and Jooyoung Chang and Byeongjin Choe and Sang Min Park and Kyomin Jung}, title = {Interpretable disease prediction using heterogeneous patient records with self-attentive fusion encoder}, journal = {J. Am. Medical Informatics Assoc.}, volume = {28}, number = {10}, pages = {2155--2164}, year = {2021}, url = {https://doi.org/10.1093/jamia/ocab109}, doi = {10.1093/JAMIA/OCAB109}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KwakCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KoKPLKKC21, author = {Hyeongjun Ko and Mino Kim and Hyunkyu Park and Sangyoon Lee and Jaewook Kim and Suhwan Kim and Joo{-}Hyung Chae}, title = {A Controller {PHY} for Managed {DRAM} Solution With Damping-Resistor-Aided Pulse-Based Feed-Forward Equalizer}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {8}, pages = {2563--2573}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3062876}, doi = {10.1109/JSSC.2021.3062876}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KoKPLKKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jtaer/HwangPOHJ21, author = {Sooa Hwang and Hyunah Park and Kyunghui Oh and Sangwoong Hwang and Jaewoo Joo}, title = {Rethinking a Designers' Rule of Thumb: Influence of Information Seeking and Consumption Goals on Mobile Commerce Interface Design}, journal = {J. Theor. Appl. Electron. Commer. Res.}, volume = {16}, number = {5}, pages = {1631--1647}, year = {2021}, url = {https://doi.org/10.3390/jtaer16050092}, doi = {10.3390/JTAER16050092}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jtaer/HwangPOHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/MunKNLKYPYCP21, author = {Yongseok Mun and Jooyoung Kim and Kyoung Jin Noh and Soochahn Lee and Seok Kim and Soyoung Yi and Kyu Hyung Park and Sooyoung Yoo and Dong{-}Jin Chang and Sang Jun Park}, title = {An innovative strategy for standardized, structured, and interoperable results in ophthalmic examinations}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {9}, year = {2021}, url = {https://doi.org/10.1186/s12911-020-01370-0}, doi = {10.1186/S12911-020-01370-0}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/MunKNLKYPYCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ParkSKK21, author = {Yeong Sang Park and Young{-}Sik Shin and Joowan Kim and Ayoung Kim}, title = {3D ego-Motion Estimation Using low-Cost mmWave Radars via Radar Velocity Factor for Pose-Graph {SLAM}}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {4}, pages = {7691--7698}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3099365}, doi = {10.1109/LRA.2021.3099365}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ParkSKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChoPKYNJKK21, author = {Yeonsoo Cho and Sang{-}Jong Park and Joo{-}Hong Kim and Huidong Yeo and Jihyun Nam and Sang{-}Yoon Jun and Baek{-}Min Kim and Sang{-}Woo Kim}, title = {Investigating Wintertime Cloud Microphysical Properties and Their Relationship to Air Mass Advection at Ny-{\AA}lesund, Svalbard Using the Synergy of a Cloud Radar-Ceilometer-Microwave Radiometer}, journal = {Remote. Sens.}, volume = {13}, number = {13}, pages = {2529}, year = {2021}, url = {https://doi.org/10.3390/rs13132529}, doi = {10.3390/RS13132529}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ChoPKYNJKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPLAJCLKK21, author = {Songkang Kim and Sang{-}Jong Park and Hana Lee and Dha Hyun Ahn and Yeonjin Jung and Taejin Choi and Bang Yong Lee and Seong{-}Joong Kim and Ja{-}Ho Koo}, title = {Evaluation of Total Ozone Column from Multiple Satellite Measurements in the Antarctic Using the Brewer Spectrophotometer}, journal = {Remote. Sens.}, volume = {13}, number = {8}, pages = {1594}, year = {2021}, url = {https://doi.org/10.3390/rs13081594}, doi = {10.3390/RS13081594}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPLAJCLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnKJNPPKLK21, author = {Jung Min Ahn and Byungik Kim and Jaehun Jong and Gibeom Nam and Lan Joo Park and Sanghyun Park and Taegu Kang and Jae{-}Kwan Lee and Jungwook Kim}, title = {Predicting Cyanobacterial Blooms Using Hyperspectral Images in a Regulated River}, journal = {Sensors}, volume = {21}, number = {2}, pages = {530}, year = {2021}, url = {https://doi.org/10.3390/s21020530}, doi = {10.3390/S21020530}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AhnKJNPPKLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungJJLKCYPJ21, author = {Youngbeen Chung and Jie Jin and Hyun In Jo and Hyun Lee and Sang{-}Heon Kim and Sung Jun Chung and Ho Joo Yoon and Junhong Park and Jinyong Jeon}, title = {Diagnosis of Pneumonia by Cough Sounds Analyzed with Statistical Features and {AI}}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7036}, year = {2021}, url = {https://doi.org/10.3390/s21217036}, doi = {10.3390/S21217036}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungJJLKCYPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongHKCP21, author = {Changyoon Jeong and Sang{-}Ha Hwang and Byeong{-}Joo Kim and Han Gi Chae and Young{-}Bin Park}, title = {Multilayered Composites with Modulus Gradient for Enhanced Pressure - Temperature Sensing Performance}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4752}, year = {2021}, url = {https://doi.org/10.3390/s21144752}, doi = {10.3390/S21144752}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongHKCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SalunkheLCPK21, author = {Tejaswi Tanaji Salunkhe and Ho Kyung Lee and Hyung Wook Choi and Sang Joon Park and Il Tae Kim}, title = {Simply Fabricated Inexpensive Dual-Polymer-Coated Fabry-Perot Interferometer-Based Temperature Sensors with High Sensitivity}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7632}, year = {2021}, url = {https://doi.org/10.3390/s21227632}, doi = {10.3390/S21227632}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SalunkheLCPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/KimEP21, author = {Sangjun Kim and Yongsoon Eun and Kyung{-}Joon Park}, title = {Stealthy Sensor Attack Detection and Real-Time Performance Recovery for Resilient {CPS}}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {11}, pages = {7412--7422}, year = {2021}, url = {https://doi.org/10.1109/TII.2021.3052182}, doi = {10.1109/TII.2021.3052182}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/KimEP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/KoLSP21, author = {Byungjin Ko and Kai Liu and Sang Hyuk Son and Kyung{-}Joon Park}, title = {RSU-Assisted Adaptive Scheduling for Vehicle-to-Vehicle Data Sharing in Bidirectional Road Scenarios}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {22}, number = {2}, pages = {977--989}, year = {2021}, url = {https://doi.org/10.1109/TITS.2019.2961705}, doi = {10.1109/TITS.2019.2961705}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/KoLSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ParkJSK21, author = {Sangwoo Park and Hyeryung Jang and Osvaldo Simeone and Joonhyuk Kang}, title = {Learning to Demodulate From Few Pilots via Offline and Online Meta-Learning}, journal = {{IEEE} Trans. Signal Process.}, volume = {69}, pages = {226--239}, year = {2021}, url = {https://doi.org/10.1109/TSP.2020.3043879}, doi = {10.1109/TSP.2020.3043879}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ParkJSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/us/JungCPHSK21, author = {Yeong{-}Ki Jung and Kyoungsik Chang and Sang{-}Hwan Park and Van Thanh Ho and Ho{-}Joon Shim and Min{-}Woo Kim}, title = {Reverse Engineering and Database of Off-the-Shelf Propellers for Middle-Size Multirotors}, journal = {Unmanned Syst.}, volume = {9}, number = {4}, pages = {321--332}, year = {2021}, url = {https://doi.org/10.1142/S2301385021500163}, doi = {10.1142/S2301385021500163}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/us/JungCPHSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkLPCL21, author = {Joonyoung Park and Hyunsoo Lee and Sangkeun Park and Kyong{-}Mee Chung and Uichin Lee}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {GoldenTime: Exploring System-Driven Timeboxing and Micro-Financial Incentives for Self-Regulated Phone Use}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {702:1--702:17}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445489}, doi = {10.1145/3411764.3445489}, timestamp = {Mon, 17 May 2021 13:31:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/ParkLPCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ParkLCKL21, author = {SangAh Park and Yoon Young Lee and Soobin Cho and Minjoon Kim and Joongseek Lee}, editor = {Jeremy P. Birnholtz and Luigina Ciolfi and Sharon Ding and Susan R. Fussell and Andr{\'{e}}s Monroy{-}Hern{\'{a}}ndez and Sean Munson and Irina Shklovski and Mor Naaman}, title = {"Knock Knock, Here Is an Answer from Next Door": Designing a Knowledge Sharing Chatbot to Connect Residents: Community Chatbot Design Case Study}, booktitle = {Companion Publication of the 2021 {ACM} Conference on Computer Supported Cooperative Work and Social Computing, {CSCW} 2021, Virtual Event, USA, October 23-27, 2021}, pages = {144--148}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3462204.3481738}, doi = {10.1145/3462204.3481738}, timestamp = {Tue, 26 Oct 2021 08:31:15 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ParkLCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/RavivPSSEK21, author = {Tomer Raviv and Sangwoo Park and Nir Shlezinger and Osvaldo Simeone and Yonina C. Eldar and Joonhyuk Kang}, title = {Meta-ViterbiNet: Online Meta-Learned Viterbi Equalization for Non-Stationary Channels}, booktitle = {{IEEE} International Conference on Communications Workshops, {ICC} Workshops 2021, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCWorkshops50388.2021.9473693}, doi = {10.1109/ICCWORKSHOPS50388.2021.9473693}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/RavivPSSEK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/RaoPWLA21, author = {Adrit Rao and Jongchan Park and Sanghyun Woo and Joon{-}Young Lee and Oliver Aalami}, title = {Studying the Effects of Self-Attention for Medical Image Analysis}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {3409--3418}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00381}, doi = {10.1109/ICCVW54120.2021.00381}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/RaoPWLA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimPLJ21, author = {Jinyeon Kim and Jonghee Park and Sang{-}Seol Lee and Sung{-}Joon Jang}, title = {Object Detection Network Robust to Local Illumination Variations}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {87--88}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613974}, doi = {10.1109/ISOCC53507.2021.9613974}, timestamp = {Mon, 06 Dec 2021 17:33:24 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimPLJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeSJPL21, author = {Eunchong Lee and Minyong Sung and Sung{-}Joon Jang and Jonghee Park and Sang{-}Seol Lee}, title = {Memory-Centric Architecture of Neural Processing Unit for Edge Device}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {240--241}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613977}, doi = {10.1109/ISOCC53507.2021.9613977}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeSJPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKJLLKJALBKJL21, author = {Jongsoo Lee and Byoungjoong Kang and Seongwon Joo and Seokwon Lee and Joongho Lee and Seunghoon Kang and Ikkyun Jo and Suseop Ahn and Jaeseung Lee and Jeongyeol Bae and Won Ko and Woniun Jung and Sangho Lee and Sangsung Lee and Euiyoung Park and Sungiun Lee and Jeongkyun Woo and Jaehoon Lee and Yanghoon Lee and Kyungmin Lee and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {6.1 {A} Low-Power and Low-Cost 14nm FinFET {RFIC} Supporting Legacy Cellular and 5G {FR1}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {90--92}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365736}, doi = {10.1109/ISSCC42613.2021.9365736}, timestamp = {Mon, 17 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKJLLKJALBKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Thu, 05 May 2022 16:30:03 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/PoliMSCOYAPG21, author = {Michael Poli and Stefano Massaroli and Luca Scimeca and Sanghyuk Chun and Seong Joon Oh and Atsushi Yamashita and Hajime Asama and Jinkyoo Park and Animesh Garg}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Neural Hybrid Automata: Learning Dynamics With Multiple Modes and Stochastic Transitions}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {9977--9989}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/5291822d0636dc429e80e953c58b6a76-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/PoliMSCOYAPG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SeoPK21, author = {Junghaa Seo and Sangwoo Park and Joonhyuk Kang}, title = {Adversarial, yet Friendly Signal Design for Secured Wireless Communication}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2021, Nanjing, China, March 29 - April 1, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WCNC49053.2021.9417250}, doi = {10.1109/WCNC49053.2021.9417250}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/SeoPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07055, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Vision Transformer for {COVID-19} {CXR} Diagnosis using Chest X-ray Feature Corpus}, journal = {CoRR}, volume = {abs/2103.07055}, year = {2021}, url = {https://arxiv.org/abs/2103.07055}, eprinttype = {arXiv}, eprint = {2103.07055}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07055.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07062, author = {Gwanghyun Kim and Sangjoon Park and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Severity Quantification and Lesion Localization of {COVID-19} on {CXR} using Vision Transformer}, journal = {CoRR}, volume = {abs/2103.07062}, year = {2021}, url = {https://arxiv.org/abs/2103.07062}, eprinttype = {arXiv}, eprint = {2103.07062}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07062.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-13483, author = {Tomer Raviv and Sangwoo Park and Nir Shlezinger and Osvaldo Simeone and Yonina C. Eldar and Joonhyuk Kang}, title = {Meta-ViterbiNet: Online Meta-Learned Viterbi Equalization for Non-Stationary Channels}, journal = {CoRR}, volume = {abs/2103.13483}, year = {2021}, url = {https://arxiv.org/abs/2103.13483}, eprinttype = {arXiv}, eprint = {2103.13483}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-13483.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-07235, author = {Sangjoon Park and Gwanghyun Kim and Yujin Oh and Joon Beom Seo and Sang Min Lee and Jin Hwan Kim and Sungjun Moon and Jae{-}Kwang Lim and Jong Chul Ye}, title = {Vision Transformer using Low-level Chest X-ray Feature Corpus for {COVID-19} Diagnosis and Severity Quantification}, journal = {CoRR}, volume = {abs/2104.07235}, year = {2021}, url = {https://arxiv.org/abs/2104.07235}, eprinttype = {arXiv}, eprint = {2104.07235}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-07235.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-04165, author = {Michael Poli and Stefano Massaroli and Luca Scimeca and Seong Joon Oh and Sanghyuk Chun and Atsushi Yamashita and Hajime Asama and Jinkyoo Park and Animesh Garg}, title = {Neural Hybrid Automata: Learning Dynamics with Multiple Modes and Stochastic Transitions}, journal = {CoRR}, volume = {abs/2106.04165}, year = {2021}, url = {https://arxiv.org/abs/2106.04165}, eprinttype = {arXiv}, eprint = {2106.04165}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-04165.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-13922, author = {Joongun Park and Seunghyo Kang and Sanghyeon Lee and Taehoon Kim and Jongse Park and Youngjin Kwon and Jaehyuk Huh}, title = {Stockade: Hardware Hardening for Distributed Trusted Sandboxes}, journal = {CoRR}, volume = {abs/2108.13922}, year = {2021}, url = {https://arxiv.org/abs/2108.13922}, eprinttype = {arXiv}, eprint = {2108.13922}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-13922.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-01486, author = {Adrit Rao and Jongchan Park and Sanghyun Woo and Joon{-}Young Lee and Oliver Aalami}, title = {Studying the Effects of Self-Attention for Medical Image Analysis}, journal = {CoRR}, volume = {abs/2109.01486}, year = {2021}, url = {https://arxiv.org/abs/2109.01486}, eprinttype = {arXiv}, eprint = {2109.01486}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-01486.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKJP20, author = {In{-}Oh Choi and Ki{-}Bong Kang and Joo{-}Ho Jung and Sang{-}Hong Park}, title = {Efficient Estimation of the Helicopter Blade Parameter by Independent Component Analysis}, journal = {{IEEE} Access}, volume = {8}, pages = {156889--156899}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3019506}, doi = {10.1109/ACCESS.2020.3019506}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiKJP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangPHH20, author = {Soo{-}Min Kang and Hyoung Joon Park and Inho Ha and Sang{-}Kook Han}, title = {Multiple Access Noise Compensation in {CO-OFDMA-PON} Uplink Transmission Using Digital Phase Conjugated-Pilot Tones}, journal = {{IEEE} Access}, volume = {8}, pages = {23470--23479}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2970191}, doi = {10.1109/ACCESS.2020.2970191}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KangPHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangPP20, author = {Donggoo Kang and Sangwoo Park and Joonki Paik}, title = {SdBAN: Salient Object Detection Using Bilateral Attention Network With Dice Coefficient Loss}, journal = {{IEEE} Access}, volume = {8}, pages = {104357--104370}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2999627}, doi = {10.1109/ACCESS.2020.2999627}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJKSCLJKLLLP20, author = {Youngil Kim and Jinwoo Jeong and Wang Kexin and Yong Ho Song and Seungdo Choi and Daeyong Lee and Joonyong Jeong and Jaewook Kwak and Jungkeol Lee and Gyeongyong Lee and Sangjin Lee and Kibin Park}, title = {Low-Overhead Compressibility Prediction for High-Performance Lossless Data Compression}, journal = {{IEEE} Access}, volume = {8}, pages = {37105--37123}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2975929}, doi = {10.1109/ACCESS.2020.2975929}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJKSCLJKLLLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPL20a, author = {Joohyun Kim and Sangkeun Park and Uichin Lee}, title = {Dashcam Witness: Video Sharing Motives and Privacy Concerns Across Different Nations}, journal = {{IEEE} Access}, volume = {8}, pages = {110425--110437}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3002079}, doi = {10.1109/ACCESS.2020.3002079}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimPL20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/NohKPL20, author = {Kyoung Jin Noh and Jooyoung Kim and Sang Jun Park and Soochahn Lee}, title = {Multimodal Registration of Fundus Images With Fluorescein Angiography for Fine-Scale Vessel Segmentation}, journal = {{IEEE} Access}, volume = {8}, pages = {63757--63769}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2984372}, doi = {10.1109/ACCESS.2020.2984372}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/NohKPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinPPLKY20, author = {Geonyeong Shin and Tae Rim Park and Joomin Park and Sang{-}Kyu Lee and Gyuyong Kim and Ick{-}Jae Yoon}, title = {Sustaining the Radiation Properties of a 900-MHz-Band Planar LoRa Antenna Using a 2-by-2 Thin {EBG} Ground Plane}, journal = {{IEEE} Access}, volume = {8}, pages = {145586--145592}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3014941}, doi = {10.1109/ACCESS.2020.3014941}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinPPLKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeCKKPK20, author = {Sangwoo Lee and Eun Kyung Choe and So Yeon Kim and Hua Sun Kim and Kyu Joo Park and Dokyoon Kim}, title = {Liver imaging features by convolutional neural network to predict the metachronous liver metastasis in stage {I-III} colorectal cancer patients based on preoperative abdominal {CT} scan}, journal = {{BMC} Bioinform.}, volume = {21-S}, number = {13}, pages = {382}, year = {2020}, url = {https://doi.org/10.1186/s12859-020-03686-0}, doi = {10.1186/S12859-020-03686-0}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeCKKPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/EunWPKLS20, author = {Da{-}in Eun and Ilsang Woo and BeomHee Park and Namkug Kim and Sang Min Lee and Joon Beom Seo}, title = {{CT} kernel conversions using convolutional neural net for super-resolution with simplified squeeze-and-excitation blocks and progressive learning among smooth and sharp kernels}, journal = {Comput. Methods Programs Biomed.}, volume = {196}, pages = {105615}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105615}, doi = {10.1016/J.CMPB.2020.105615}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/EunWPKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimMLKPLJ20, author = {Young Woo Kim and Ji Young Moon and Wen Jie Li and June{-}Hong Kim and Yong{-}Hyun Park and Joon Sang Lee and Yeongho Jang}, title = {Effect of membrane insertion for tricuspid regurgitation using immersed-boundary lattice Boltzmann method}, journal = {Comput. Methods Programs Biomed.}, volume = {191}, pages = {105421}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2020.105421}, doi = {10.1016/J.CMPB.2020.105421}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimMLKPLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcv/ParkWLK20, author = {Jongchan Park and Sanghyun Woo and Joon{-}Young Lee and In So Kweon}, title = {A Simple and Light-Weight Attention Module for Convolutional Neural Networks}, journal = {Int. J. Comput. Vis.}, volume = {128}, number = {4}, pages = {783--798}, year = {2020}, url = {https://doi.org/10.1007/s11263-019-01283-0}, doi = {10.1007/S11263-019-01283-0}, timestamp = {Mon, 04 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcv/ParkWLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/LeeLP20, author = {Joo Y. Lee and Kwanwoo Lee and Sangchul Park}, title = {Virtual commissioning for an Overhead Hoist Transporter in a semiconductor {FAB}}, journal = {Int. J. Prod. Res.}, volume = {58}, number = {22}, pages = {6890--6898}, year = {2020}, url = {https://doi.org/10.1080/00207543.2019.1685712}, doi = {10.1080/00207543.2019.1685712}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/LeeLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/BaekLPP20, author = {Beomhan Baek and Joohyung Lee and Yuyang Peng and Sangdon Park}, title = {Three Dynamic Pricing Schemes for Resource Allocation of Edge Computing for IoT Environment}, journal = {{IEEE} Internet Things J.}, volume = {7}, number = {5}, pages = {4292--4303}, year = {2020}, url = {https://doi.org/10.1109/JIOT.2020.2966627}, doi = {10.1109/JIOT.2020.2966627}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/BaekLPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rts/KimLPESL20, author = {Dohwan Kim and Juseung Lee and Kyung{-}Joon Park and Yongsoon Eun and Sang Hyuk Son and Chenyang Lu}, title = {Analysis and elimination of noise-induced temperature error in processor thermal control}, journal = {Real Time Syst.}, volume = {56}, number = {1}, pages = {1--27}, year = {2020}, url = {https://doi.org/10.1007/s11241-019-09342-y}, doi = {10.1007/S11241-019-09342-Y}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rts/KimLPESL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKKLPK20, author = {Yeon{-}Soo Kim and Taek{-}Jin Kim and Yong{-}Joo Kim and Sang{-}Dae Lee and Seong{-}Un Park and Wan{-}Soo Kim}, title = {Development of a Real-Time Tillage Depth Measurement System for Agricultural Tractors: Application to the Effect Analysis of Tillage Depth on Draft Force during Plow Tillage}, journal = {Sensors}, volume = {20}, number = {3}, pages = {912}, year = {2020}, url = {https://doi.org/10.3390/s20030912}, doi = {10.3390/S20030912}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKKLPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeLKP20, author = {Thi Hoa Le and Hyun Jong Lee and Ji Hyeon Kim and Sang Joon Park}, title = {Detection of Ferric Ions and Catecholamine Neurotransmitters via Highly Fluorescent Heteroatom Co-Doped Carbon Dots}, journal = {Sensors}, volume = {20}, number = {12}, pages = {3470}, year = {2020}, url = {https://doi.org/10.3390/s20123470}, doi = {10.3390/S20123470}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeLKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJKK20, author = {Sang{-}Jin Park and Young{-}Sang Joo and Hoe{-}Woong Kim and Sung{-}Kyun Kim}, title = {Selective Generation of Lamb Wave Modes in a Finite-Width Plate by Angle-Beam Excitation Method}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3868}, year = {2020}, url = {https://doi.org/10.3390/s20143868}, doi = {10.3390/S20143868}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SalunkheLLCPK20, author = {Tejaswi Tanaji Salunkhe and Dong Jun Lee and Ho Kyung Lee and Hyung Wook Choi and Sang Joon Park and Il Tae Kim}, title = {Enhancing Temperature Sensitivity of the Fabry-Perot Interferometer Sensor with Optimization of the Coating Thickness of Polystyrene}, journal = {Sensors}, volume = {20}, number = {3}, pages = {794}, year = {2020}, url = {https://doi.org/10.3390/s20030794}, doi = {10.3390/S20030794}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SalunkheLLCPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeMJLKKKPNSK20, author = {Hyungwoo Lee and Jun Seung Mun and Woo Ram Jung and Seunghun Lee and Joonseong Kang and Wonok Kang and Sehyeon Kim and Sung{-}Min Park and Duk L. Na and Young{-}Min Shon and Sang Joon Kim}, title = {Long-Term Non Anesthetic Preclinical Study Available Extra-Cranial Brain Activator {(ECBA)} System for the Future Minimally Invasive Human Neuro Modulation}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {14}, number = {6}, pages = {1393--1406}, year = {2020}, url = {https://doi.org/10.1109/TBCAS.2020.3034444}, doi = {10.1109/TBCAS.2020.3034444}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeMJLKKKPNSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/GiPYJKL20, author = {Hyungmin Gi and Junyoung Park and Yeohoon Yoon and Seungchul Jung and Sang Joon Kim and Yoonmyung Lee}, title = {A Soft-Charging-Based {SC} {DC-DC} Boost Converter With Conversion-Ratio-Insensitive High Efficiency for Energy Harvesting in Miniature Sensor Systems}, journal = {{IEEE} Trans. Circuits Syst.}, volume = {67-I}, number = {10}, pages = {3601--3612}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2991479}, doi = {10.1109/TCSI.2020.2991479}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/GiPYJKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/6gsummit/SimeonePK20, author = {Osvaldo Simeone and Sangwoo Park and Joonhyuk Kang}, title = {From Learning to Meta-Learning: Reduced Training Overhead and Complexity for Communication Systems}, booktitle = {2nd 6G Wireless Summit, 6G {SUMMIT} 2020, Levi, Finland, March 17-20, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/6GSUMMIT49458.2020.9083856}, doi = {10.1109/6GSUMMIT49458.2020.9083856}, timestamp = {Mon, 11 May 2020 14:06:32 +0200}, biburl = {https://dblp.org/rec/conf/6gsummit/SimeonePK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/JooLPKK20, author = {Sangjin Joo and Dabin Lee and Soyoon Park and Hwang Kim and KwanMyung Kim}, editor = {Giuseppe Di Bucchianico and Cliff Sungsoo Shin and Scott Shim and Shuichi Fukuda and Gianni Montagna and Cristina Carvalho}, title = {Integrated Keyword Mapping Process: Bridging User Research to Style Concept Development}, booktitle = {Advances in Industrial Design - Proceedings of the {AHFE} 2020 Virtual Conferences on Design for Inclusion, Affective and Pleasurable Design, Interdisciplinary Practice in Industrial Design, Kansei Engineering, and Human Factors for Apparel and Textile Engineering, July 16-20, 2020, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {1202}, pages = {457--463}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-51194-4\_60}, doi = {10.1007/978-3-030-51194-4\_60}, timestamp = {Thu, 26 Aug 2021 11:01:41 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/JooLPKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/LeeJPKK20, author = {Dabin Lee and Sangjin Joo and Soyoon Park and Hwang Kim and KwanMyung Kim}, editor = {Giuseppe Di Bucchianico and Cliff Sungsoo Shin and Scott Shim and Shuichi Fukuda and Gianni Montagna and Cristina Carvalho}, title = {A Dimensional Themes' Matrix for Identifying Design Problem Structure}, booktitle = {Advances in Industrial Design - Proceedings of the {AHFE} 2020 Virtual Conferences on Design for Inclusion, Affective and Pleasurable Design, Interdisciplinary Practice in Industrial Design, Kansei Engineering, and Human Factors for Apparel and Textile Engineering, July 16-20, 2020, {USA}}, series = {Advances in Intelligent Systems and Computing}, volume = {1202}, pages = {378--384}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-51194-4\_50}, doi = {10.1007/978-3-030-51194-4\_50}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/LeeJPKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/WooKPLK20, author = {Sanghyun Woo and Dahun Kim and KwanYong Park and Joon{-}Young Lee and In So Kweon}, title = {Align-and-Attend Network for Globally and Locally Coherent Video Inpainting}, booktitle = {31st British Machine Vision Conference 2020, {BMVC} 2020, Virtual Event, UK, September 7-10, 2020}, publisher = {{BMVA} Press}, year = {2020}, url = {https://www.bmvc2020-conference.com/assets/papers/0440.pdf}, timestamp = {Wed, 03 Feb 2021 08:35:02 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/WooKPLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeMLCJKPKKSNSK20, author = {Hyungwoo Lee and Jun Seung Mun and Jin San Lee and Yeongu Chung and Woo Ram Jung and Joonseong Kang and Sung{-}Min Park and Wonok Kang and Se Hyeon Kim and Dae{-}Won Seo and Duk L. Na and Young{-}Min Shon and Sang Joon Kim}, title = {Long-term Non-Anesthetic Preclinical Study Available Extra-Cranial Brain Activator {(ECBA)} System for the Future Minimally-Invasive Human Neuro-Modulation}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {5184--5187}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176408}, doi = {10.1109/EMBC44109.2020.9176408}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeMLCJKPKKSNSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkSK20, author = {Sangwoo Park and Osvaldo Simeone and Joonhyuk Kang}, title = {Meta-Learning to Communicate: Fast End-to-End Training for Fading Channels}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {5075--5079}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053252}, doi = {10.1109/ICASSP40776.2020.9053252}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/KangPP20, author = {Donggoo Kang and Sangwoo Park and Joonki Paik}, title = {Coarse to Fine: Progressive and Multi-Task Learning for Salient Object Detection}, booktitle = {25th International Conference on Pattern Recognition, {ICPR} 2020, Virtual Event / Milan, Italy, January 10-15, 2021}, pages = {1491--1498}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPR48806.2021.9413277}, doi = {10.1109/ICPR48806.2021.9413277}, timestamp = {Fri, 07 May 2021 08:42:33 +0200}, biburl = {https://dblp.org/rec/conf/icpr/KangPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiLPJC20, author = {Seong Bin Choi and Sang{-}Seol Lee and Jonghee Park and Sung{-}Joon Jang and Byung{-}Ho Choi}, title = {Efficient final output feature map processing method supporting real-time object detection and recognition}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {324--325}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9333051}, doi = {10.1109/ISOCC50952.2020.9333051}, timestamp = {Fri, 12 Feb 2021 11:57:12 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChoiLPJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJKKCLLPHCKL20, author = {Hyunchul Kim and Jongeun Park and Insung Joe and Doowon Kwon and Joo Hyoung Kim and Dongsuk Cho and Taehun Lee and Changkyu Lee and Haeyong Park and Soojin Hong and Chongkwang Chang and Jingyun Kim and Hanjin Lim and Youngsun Oh and Yitae Kim and Seungjoo Nah and Sangil Jung and Jaekyu Lee and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.6 {A} 1/2.65in 44Mpixel {CMOS} Image Sensor with 0.7{\(\mathrm{\mu}\)}m Pixels Fabricated in Advanced Full-Depth Deep-Trench Isolation Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {104--106}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062924}, doi = {10.1109/ISSCC19947.2020.9062924}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJKKCLLPHCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSSKJYKKJHOCK20, author = {Soo{-}Min Lee and Kihwan Seong and Joohee Shin and Hyoungjoong Kim and Jaehyun Jeong and Shinyoung Yi and Juyoung Kim and Eunsu Kim and Sukhyun Jung and Sangyun Hwang and Jihun Oh and Kwanyeob Chae and Kyounghoi Koo and Sanghune Park and Jongshin Shin and Jaehong Park}, title = {22.5 An 8nm 18Gb/s/pin {GDDR6} {PHY} with {TX} Bandwidth Extension and {RX} Training Technique}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {338--340}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062937}, doi = {10.1109/ISSCC19947.2020.9062937}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSSKJYKKJHOCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooLPLBKCCYYCKJ20, author = {Byoung{-}Joo Yoo and Dong{-}Hyuk Lim and Hyonguk Pang and June{-}Hee Lee and Seung{-}Yeob Baek and Naxin Kim and Dong{-}Ho Choi and Young{-}Ho Choi and Hyeyeon Yang and Taehun Yoon and Sang{-}Hyeok Chu and Kangjik Kim and Woochul Jung and Bong{-}Kyu Kim and Jaechol Lee and Gunil Kang and Sang{-}Hune Park and Michael Choi and Jongshin Shin}, title = {6.4 {A} 56Gb/s 7.7mW/Gb/s {PAM-4} Wireline Transceiver in 10nm FinFET Using MM-CDR-Based {ADC} Timing Skew Control and Low-Power {DSP} with Approximate Multiplier}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {122--124}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062964}, doi = {10.1109/ISSCC19947.2020.9062964}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooLPLBKCCYYCKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/KimKKSKCKKP20, author = {Ho Heon Kim and Hong Wook Kwon and Sambath Kao and Hyuk{-}Sang Sohn and Joohye Kim and Yejin Choi and Doora Kim and Mirae Kang and Yu Rang Park}, editor = {Louise Bilenberg Pape{-}Haugaard and Christian Lovis and Inge Cort Madsen and Patrick Weber and Per Hostrup Nielsen and Philip Scott}, title = {A Study of the Possibility of Detecting Pediatric Mild Developmental Delay Through a Serious Game: {A} Randomized Cluster Trial in Cambodia}, booktitle = {Digital Personalized Health and Medicine - Proceedings of {MIE} 2020, Medical Informatics Europe, Geneva, Switzerland, April 28 - May 1, 2020}, series = {Studies in Health Technology and Informatics}, volume = {270}, pages = {736--740}, publisher = {{IOS} Press}, year = {2020}, url = {https://doi.org/10.3233/SHTI200258}, doi = {10.3233/SHTI200258}, timestamp = {Thu, 04 Apr 2024 17:06:52 +0200}, biburl = {https://dblp.org/rec/conf/mie/KimKKSKCKKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/ParkJKL020, author = {Joongeon Park and Safdar Jamil and Awais Khan and Sangkeun Lee and Youngjae Kim}, title = {ScaleML: Machine Learning based Heap Memory Object Scaling Prediction}, booktitle = {9th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2020, Seoul, South Korea, August 19-21, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/NVMSA51238.2020.9188162}, doi = {10.1109/NVMSA51238.2020.9188162}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/ParkJKL020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakdd/KwakL0CPJ20, author = {Heeyoung Kwak and Minwoo Lee and Seunghyun Yoon and Jooyoung Chang and Sang Min Park and Kyomin Jung}, editor = {Hady W. Lauw and Raymond Chi{-}Wing Wong and Alexandros Ntoulas and Ee{-}Peng Lim and See{-}Kiong Ng and Sinno Jialin Pan}, title = {Drug-Disease Graph: Predicting Adverse Drug Reaction Signals via Graph Neural Network with Clinical Data}, booktitle = {Advances in Knowledge Discovery and Data Mining - 24th Pacific-Asia Conference, {PAKDD} 2020, Singapore, May 11-14, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12085}, pages = {633--644}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-47436-2\_48}, doi = {10.1007/978-3-030-47436-2\_48}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pakdd/KwakL0CPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/ParkSK20, author = {Sangwoo Park and Osvaldo Simeone and Joonhyuk Kang}, title = {End-to-End Fast Training of Communication Links Without a Channel Model via Online Meta-Learning}, booktitle = {21st {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2020, Atlanta, GA, USA, May 26-29, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SPAWC48557.2020.9154322}, doi = {10.1109/SPAWC48557.2020.9154322}, timestamp = {Tue, 11 Aug 2020 17:06:07 +0200}, biburl = {https://dblp.org/rec/conf/spawc/ParkSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/ParkL20, author = {Jun Hong Park and Sang Joon Lee}, title = {Experimental dataset for deep learning based simultaneous measurement of flow-wall dynamics {(DL-SFW)}}, publisher = {{IEEE} DataPort}, year = {2020}, month = jun, howpublished = {\url{https://doi.org/10.21227/3tw0-qv35}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/3tw0-qv35}, doi = {10.21227/3TW0-QV35}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/ParkL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-01227, author = {Osvaldo Simeone and Sangwoo Park and Joonhyuk Kang}, title = {From Learning to Meta-Learning: Reduced Training Overhead and Complexity for Communication Systems}, journal = {CoRR}, volume = {abs/2001.01227}, year = {2020}, url = {http://arxiv.org/abs/2001.01227}, eprinttype = {arXiv}, eprint = {2001.01227}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-01227.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-01479, author = {Sangwoo Park and Osvaldo Simeone and Joonhyuk Kang}, title = {End-to-End Fast Training of Communication Links Without a Channel Model via Online Meta-Learning}, journal = {CoRR}, volume = {abs/2003.01479}, year = {2020}, url = {https://arxiv.org/abs/2003.01479}, eprinttype = {arXiv}, eprint = {2003.01479}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-01479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-00407, author = {Heeyoung Kwak and Minwoo Lee and Seunghyun Yoon and Jooyoung Chang and Sang Min Park and Kyomin Jung}, title = {Drug-disease Graph: Predicting Adverse Drug Reaction Signals via Graph Neural Network with Clinical Data}, journal = {CoRR}, volume = {abs/2004.00407}, year = {2020}, url = {https://arxiv.org/abs/2004.00407}, eprinttype = {arXiv}, eprint = {2004.00407}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-00407.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-02642, author = {Sang{-}Woo Lee and Hyunhoon Jung and SukHyun Ko and Sunyoung Kim and Hyewon Kim and Kyoungtae Doh and Hyunjung Park and Joseph Yeo and Sang{-}Houn Ok and Joonhaeng Lee and Seongjae Choi and SeungTae Hwang and Eun{-}Young Park and Gwang{-}Ja Ma and Seok{-}Joo Han and Kwang{-}Seung Cha and Nako Sung and Jung{-}Woo Ha}, title = {CareCall: a Call-Based Active Monitoring Dialog Agent for Managing {COVID-19} Pandemic}, journal = {CoRR}, volume = {abs/2007.02642}, year = {2020}, url = {https://arxiv.org/abs/2007.02642}, eprinttype = {arXiv}, eprint = {2007.02642}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-02642.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-14897, author = {Sunwoo Kim and Jooho Wang and Youngho Seo and Sanghun Lee and Yeji Park and Sungkyung Park and Chester Sungchung Park}, title = {Transaction-level Model Simulator for Communication-Limited Accelerators}, journal = {CoRR}, volume = {abs/2007.14897}, year = {2020}, url = {https://arxiv.org/abs/2007.14897}, eprinttype = {arXiv}, eprint = {2007.14897}, timestamp = {Wed, 09 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-14897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JoPJYL19, author = {Hyun{-}Chul Jo and Joo{-}Kwang Park and Hyun{-}Wook Jin and Hyung{-}Sik Yoon and Sang{-}Hun Lee}, title = {Portable and Configurable Implementation of {ARINC-653} Temporal Partitioning for Small Civilian UAVs}, journal = {{IEEE} Access}, volume = {7}, pages = {142478--142487}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2944662}, doi = {10.1109/ACCESS.2019.2944662}, timestamp = {Mon, 21 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JoPJYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LimKKPLY19, author = {Jangmuk Lim and Hansu Kim and Jae Kyun Kim and Sung Joon Park and Tae Hee Lee and Sang Won Yoon}, title = {Numerical and Experimental Analysis of Potential Causes Degrading Contact Resistances and Forces of Sensor Connectors for Vehicles}, journal = {{IEEE} Access}, volume = {7}, pages = {126530--126538}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2939377}, doi = {10.1109/ACCESS.2019.2939377}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LimKKPLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKHH19, author = {Hyoung Joon Park and Soo{-}Min Kang and Inho Ha and Sang{-}Kook Han}, title = {Hexagonal QAM-Based Four-Dimensional {AMO-OFDM} for Spectrally Efficient Optical Access Network Transmission}, journal = {{IEEE} Access}, volume = {7}, pages = {176814--176819}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2957844}, doi = {10.1109/ACCESS.2019.2957844}, timestamp = {Fri, 19 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKHH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcip/HanPL19, author = {Choong{-}Hee Han and Soon{-}Tai Park and Sang{-}Joon Lee}, title = {The Enhanced Security Control model for critical infrastructures with the blocking prioritization process to cyber threats in power system}, journal = {Int. J. Crit. Infrastructure Prot.}, volume = {26}, year = {2019}, url = {https://doi.org/10.1016/j.ijcip.2019.100312}, doi = {10.1016/J.IJCIP.2019.100312}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcip/HanPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/KimWPEP19, author = {Sangjun Kim and Yuchang Won and In{-}Hee Park and Yongsoon Eun and Kyung{-}Joon Park}, title = {Cyber-Physical Vulnerability Analysis of Communication-Based Train Control}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {4}, pages = {6353--6362}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2919066}, doi = {10.1109/JIOT.2019.2919066}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/KimWPEP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ParkPLSK19, author = {BeomHee Park and Heejun Park and Sang Min Lee and Joon Beom Seo and Namkug Kim}, title = {Lung Segmentation on {HRCT} and Volumetric {CT} for Diffuse Interstitial Lung Disease Using Deep Convolutional Neural Networks}, journal = {J. Digit. Imaging}, volume = {32}, number = {6}, pages = {1019--1026}, year = {2019}, url = {https://doi.org/10.1007/s10278-019-00254-8}, doi = {10.1007/S10278-019-00254-8}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/ParkPLSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/HaKHCKKPCLKY19, author = {Hojin Ha and Heejun Kang and Hyungkyu Huh and Woorak Choi and Hyun Jung Koo and Jaeyoung Kwon and Kyoung Jin Park and Young Chul Cho and Sang Joon Lee and Namkug Kim and Dong Hyun Yang}, title = {Accuracy evaluation of blood flow distribution in the Fontan circulation: effects of resolution and velocity noise}, journal = {J. Vis.}, volume = {22}, number = {2}, pages = {245--257}, year = {2019}, url = {https://doi.org/10.1007/s12650-018-0536-9}, doi = {10.1007/S12650-018-0536-9}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/HaKHCKKPCLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/AhnLPP19, author = {Jaewon Ahn and Joohyung Lee and Sangdon Park and Hong{-}Shik Park}, title = {Power Efficient Clustering Scheme for 5G Mobile Edge Computing Environment}, journal = {Mob. Networks Appl.}, volume = {24}, number = {2}, pages = {643--652}, year = {2019}, url = {https://doi.org/10.1007/s11036-018-1164-2}, doi = {10.1007/S11036-018-1164-2}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/AhnLPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ShinP19, author = {Heehoon Shin and Joon{-}Sang Park}, title = {Reducing energy consumption of {RNC} based media streaming on smartphones via sampling}, journal = {Multim. Tools Appl.}, volume = {78}, number = {20}, pages = {28461--28475}, year = {2019}, url = {https://doi.org/10.1007/s11042-017-5494-z}, doi = {10.1007/S11042-017-5494-Z}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ShinP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JungRPLP19, author = {Hyung{-}Sup Jung and Joo{-}Hyung Ryu and Sang{-}Eun Park and Hoonyol Lee and No{-}Wook Park}, title = {Special Issue on Selected Papers from the "International Symposium on Remote Sensing 2018"}, journal = {Remote. Sens.}, volume = {11}, number = {12}, pages = {1439}, year = {2019}, url = {https://doi.org/10.3390/rs11121439}, doi = {10.3390/RS11121439}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JungRPLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkPKCLKAKKP19, author = {Hyeon{-}Ju Park and Jin{-}Soo Park and Sang{-}Woo Kim and Heesung Chong and Hana Lee and Hyunjae Kim and Joon{-}Young Ahn and Dai{-}Gon Kim and Jhoon Kim and Sang Seo Park}, title = {Retrieval of {NO2} Column Amounts from Ground-Based Hyperspectral Imaging Sensor Measurements}, journal = {Remote. Sens.}, volume = {11}, number = {24}, pages = {3005}, year = {2019}, url = {https://doi.org/10.3390/rs11243005}, doi = {10.3390/RS11243005}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkPKCLKAKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPYLPLC19, author = {MyeongHyun Kim and KiSung Park and SungJin Yu and JoonYoung Lee and Youngho Park and Sang{-}Woo Lee and Bo{-}Heung Chung}, title = {A Secure Charging System for Electric Vehicles Based on Blockchain}, journal = {Sensors}, volume = {19}, number = {13}, pages = {3028}, year = {2019}, url = {https://doi.org/10.3390/s19133028}, doi = {10.3390/S19133028}, timestamp = {Fri, 18 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimPYLPLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/KimLPC19, author = {Jangkyum Kim and Joohyung Lee and Sangdon Park and Jun Kyun Choi}, title = {Battery-Wear-Model-Based Energy Trading in Electric Vehicles: {A} Naive Auction Model and a Market Analysis}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {15}, number = {7}, pages = {4140--4151}, year = {2019}, url = {https://doi.org/10.1109/TII.2018.2883655}, doi = {10.1109/TII.2018.2883655}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/KimLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/ParkGJKL19, author = {Junyoung Park and Hyungmin Gi and Seungchul Jung and Sang Joon Kim and Yoonmyung Lee}, title = {A Conversion-Ratio-Insensitive High Efficiency Soft-Charging-Based {SC} {DC-DC} Boost Converter for Energy Harvesting in Miniature Sensor Systems}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2019, Macau, SAR, China, November 4-6, 2019}, pages = {259--262}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/A-SSCC47793.2019.9056921}, doi = {10.1109/A-SSCC47793.2019.9056921}, timestamp = {Sun, 19 Apr 2020 17:47:11 +0200}, biburl = {https://dblp.org/rec/conf/asscc/ParkGJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1179--1181}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983160}, doi = {10.1109/BIBM47256.2019.8983160}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangSKLOPKPCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/NguyenYPH19, author = {Minh Hieu Nguyen and Sanghyun Yoon and Sangyoon Park and Joon Heo}, editor = {Chaitanya K. Baru and Jun Huan and Latifur Khan and Xiaohua Hu and Ronay Ak and Yuanyuan Tian and Roger S. Barga and Carlo Zaniolo and Kisung Lee and Yanfang (Fanny) Ye}, title = {A demonstration of B-EagleV Visualizing massive point cloud directly from {HDFS}}, booktitle = {2019 {IEEE} International Conference on Big Data {(IEEE} BigData), Los Angeles, CA, USA, December 9-12, 2019}, pages = {4121--4124}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BigData47090.2019.9005717}, doi = {10.1109/BIGDATA47090.2019.9005717}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/NguyenYPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Wed, 27 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeHLBP19, author = {Youngwan Lee and Joong{-}Won Hwang and Sangrok Lee and Yuseok Bae and Jongyoul Park}, title = {An Energy and GPU-Computation Efficient Backbone Network for Real-Time Object Detection}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {752--760}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/CEFRL/Lee\_An\_Energy\_and\_GPU-Computation\_Efficient\_Backbone\_Network\_for\_Real-Time\_Object\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00103}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeHLBP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimCP19, author = {Namho Kim and Sungrae Cho and Joon{-}Sang Park}, title = {Data Collection Protocol for Sensors Networks based on Molecular Communications}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706432}, doi = {10.23919/ELINFOCOM.2019.8706432}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimCP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimCWPKK19, author = {Yong{-}Hyun Kim and Jong{-}Moon Choi and Je{-}Joong Woo and Eun{-}Je Park and Sang{-}Won Kim and Kee{-}Won Kwon}, title = {A 16x16 Programmable Anlaog Vector Matrix Multiplier using {CMOS} compatible Floating gate device}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706488}, doi = {10.23919/ELINFOCOM.2019.8706488}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KimCWPKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkYLL19, author = {Chanki Park and Hyun{-}Joon Yoo and Sangbaek Lee and Boreom Lee}, title = {Gesture Classification from Compressed {EMG} Based on Compressive Covariance Sensing}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {2663--2666}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857512}, doi = {10.1109/EMBC.2019.8857512}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ParkYLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/GwakPS19, author = {Kwi{-}Yil Gwak and Sangwoo Park and JooSeok Song}, title = {Performance Evaluation: Two Flood-Cancellation Methods of the Blocking Expanding Ring Searches on the AODV/WiFi {MANET} Environment}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {239--248}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8702034}, doi = {10.23919/ICACT.2019.8702034}, timestamp = {Tue, 22 Mar 2022 13:34:04 +0100}, biburl = {https://dblp.org/rec/conf/icact/GwakPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/KimPKP19, author = {Donggeun Kim and Sangwoo Park and Donggoo Kang and Joonki Paik}, title = {Improved Center and Scale Prediction-Based Pedestrian Detection Using Convolutional Block}, booktitle = {9th {IEEE} International Conference on Consumer Electronics, ICCE-Berlin 2019, Berlin, Germany, September 8-11, 2019}, pages = {418--419}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-Berlin47944.2019.8966154}, doi = {10.1109/ICCE-BERLIN47944.2019.8966154}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/KimPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/BaekKLPHYOL19, author = {Jeonghun Baek and Geewook Kim and Junyeop Lee and Sungrae Park and Dongyoon Han and Sangdoo Yun and Seong Joon Oh and Hwalsuk Lee}, title = {What Is Wrong With Scene Text Recognition Model Comparisons? Dataset and Model Analysis}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {4714--4722}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00481}, doi = {10.1109/ICCV.2019.00481}, timestamp = {Thu, 05 Mar 2020 10:01:04 +0100}, biburl = {https://dblp.org/rec/conf/iccv/BaekKLPHYOL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ShinCP19, author = {Seo{-}Ran Shin and Se{-}Yeon Choo and Joon{-}Sang Park}, title = {Accelerating Random Network Coding using 512-bit {SIMD} Instructions}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1099--1103}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939706}, doi = {10.1109/ICTC46691.2019.8939706}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ShinCP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkKK19, author = {Yeong Sang Park and Joowan Kim and Ayoung Kim}, title = {Radar Localization and Mapping for Indoor Disaster Environments via Multi-modal Registration to Prior LiDAR Map}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {1307--1314}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967633}, doi = {10.1109/IROS40897.2019.8967633}, timestamp = {Fri, 31 Jan 2020 10:27:14 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/SeoLKWPP19, author = {Youngho Seo and Sanghun Lee and Sunwoo Kim and Jooho Wang and Sungkyung Park and Chester Sungchung Park}, title = {Latency-Insensitive Controller for Convolutional Neural Network Accelerators}, booktitle = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, pages = {249--250}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISOCC47750.2019.9027661}, doi = {10.1109/ISOCC47750.2019.9027661}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/SeoLKWPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lak/HeoLYJPL19, author = {Joon Heo and Hyoungjoon Lim and Sung Bum Yun and Sungha Ju and Sangyoon Park and Rebekah Lee}, title = {Descriptive and Predictive Modeling of Student Achievement, Satisfaction, and Mental Health for Data-Driven Smart Connected Campus Life Service}, booktitle = {Proceedings of the 9th International Conference on Learning Analytics {\&} Knowledge, {LAK} 2019, Tempe, AZ, USA, March 4-8, 2019}, pages = {531--538}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3303772.3303792}, doi = {10.1145/3303772.3303792}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lak/HeoLYJPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/ParkJSK19, author = {Sangwoo Park and Hyeryung Jang and Osvaldo Simeone and Joonhyuk Kang}, title = {Learning How to Demodulate from Few Pilots via Meta-Learning}, booktitle = {20th {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2019, Cannes, France, July 2-5, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SPAWC.2019.8815426}, doi = {10.1109/SPAWC.2019.8815426}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/spawc/ParkJSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChaeCLCYNHLLSSL19, author = {Kwanyeob Chae and JongRyun Choi and Hyungkwon Lee and Jinho Choi and Shinyoung Yi and Yoonjee Nam and Sangyun Hwang and Joohyung Lee and Won Lee and Kihwan Seong and Joohee Shin and Soo{-}Min Lee and Seokkyun Ko and Jihun Oh and Billy Koo and Sanghune Park and Jongshin Shin and Hyungjong Ko}, title = {An 8nm All-Digital 7.3Gb/s/pin {LPDDR5} {PHY} with an Approximate Delay Compensation Scheme}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {96}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8777959}, doi = {10.23919/VLSIC.2019.8777959}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChaeCLCYNHLLSSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-04985, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Wook Song and Jaeyun Jung and Hyoungjoo Ahn and Sangjung Woo and Youngchul Cho and JinHyuck Park and Sewon Oh and Hong{-}Seok Kim}, title = {NNStreamer: Stream Processing Paradigm for Neural Networks, Toward Efficient Development and Execution of On-Device {AI} Applications}, journal = {CoRR}, volume = {abs/1901.04985}, year = {2019}, url = {http://arxiv.org/abs/1901.04985}, eprinttype = {arXiv}, eprint = {1901.04985}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-04985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-02184, author = {Sangwoo Park and Hyeryung Jang and Osvaldo Simeone and Joonhyuk Kang}, title = {Learning How to Demodulate from Few Pilots via Meta-Learning}, journal = {CoRR}, volume = {abs/1903.02184}, year = {2019}, url = {http://arxiv.org/abs/1903.02184}, eprinttype = {arXiv}, eprint = {1903.02184}, timestamp = {Tue, 02 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-02184.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-01906, author = {Jeonghun Baek and Geewook Kim and Junyeop Lee and Sungrae Park and Dongyoon Han and Sangdoo Yun and Seong Joon Oh and Hwalsuk Lee}, title = {What is wrong with scene text recognition model comparisons? dataset and model analysis}, journal = {CoRR}, volume = {abs/1904.01906}, year = {2019}, url = {http://arxiv.org/abs/1904.01906}, eprinttype = {arXiv}, eprint = {1904.01906}, timestamp = {Wed, 24 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-01906.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-09730, author = {Youngwan Lee and Joong{-}won Hwang and Sangrok Lee and Yuseok Bae and Jongyoul Park}, title = {An Energy and GPU-Computation Efficient Backbone Network for Real-Time Object Detection}, journal = {CoRR}, volume = {abs/1904.09730}, year = {2019}, url = {http://arxiv.org/abs/1904.09730}, eprinttype = {arXiv}, eprint = {1904.09730}, timestamp = {Fri, 26 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-09730.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-13066, author = {Sanghyun Woo and Dahun Kim and KwanYong Park and Joon{-}Young Lee and In So Kweon}, title = {Align-and-Attend Network for Globally and Locally Coherent Video Inpainting}, journal = {CoRR}, volume = {abs/1905.13066}, year = {2019}, url = {http://arxiv.org/abs/1905.13066}, eprinttype = {arXiv}, eprint = {1905.13066}, timestamp = {Mon, 03 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-13066.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-09049, author = {Sangwoo Park and Hyeryung Jang and Osvaldo Simeone and Joonhyuk Kang}, title = {Learning to Demodulate from Few Pilots via Offline and Online Meta-Learning}, journal = {CoRR}, volume = {abs/1908.09049}, year = {2019}, url = {http://arxiv.org/abs/1908.09049}, eprinttype = {arXiv}, eprint = {1908.09049}, timestamp = {Thu, 29 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-09049.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-09945, author = {Sangwoo Park and Osvaldo Simeone and Joonhyuk Kang}, title = {Meta-Learning to Communicate: Fast End-to-End Training for Fading Channels}, journal = {CoRR}, volume = {abs/1910.09945}, year = {2019}, url = {http://arxiv.org/abs/1910.09945}, eprinttype = {arXiv}, eprint = {1910.09945}, timestamp = {Fri, 25 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-09945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-00649, author = {Jungwoo Pyo and Joohyun Lee and Youngjune Park and Tien{-}Cuong Bui and Sang{-}Kyun Cha}, title = {An Attention-Based Speaker Naming Method for Online Adaptation in Non-Fixed Scenarios}, journal = {CoRR}, volume = {abs/1912.00649}, year = {2019}, url = {http://arxiv.org/abs/1912.00649}, eprinttype = {arXiv}, eprint = {1912.00649}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-00649.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhnLPNC18, author = {Sanghong Ahn and Joohyung Lee and Sangdon Park and S. H. Shah Newaz and Jun Kyun Choi}, title = {Competitive Partial Computation Offloading for Maximizing Energy Efficiency in Mobile Cloud Computing}, journal = {{IEEE} Access}, volume = {6}, pages = {899--912}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2017.2776323}, doi = {10.1109/ACCESS.2017.2776323}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhnLPNC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangPLH18, author = {Busik Jang and Sangdon Park and Joohyung Lee and Sang Geun Hahn}, title = {Three Hierarchical Levels of Big-Data Market Model Over Multiple Data Sources for Internet of Things}, journal = {{IEEE} Access}, volume = {6}, pages = {31269--31280}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2845105}, doi = {10.1109/ACCESS.2018.2845105}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JangPLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongPLH18, author = {Gyohun Jeong and Sangdon Park and Joohyung Lee and Ganguk Hwang}, title = {Energy Trading System in Microgrids With Future Forecasting and Forecasting Errors}, journal = {{IEEE} Access}, volume = {6}, pages = {44094--44106}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2861993}, doi = {10.1109/ACCESS.2018.2861993}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeongPLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/KwonLYP18, author = {Min{-}Seok Kwon and Sangseob Leem and Joon Yoon and Taesung Park}, title = {GxGrare: gene-gene interaction analysis method for rare variants from high-throughput sequencing data}, journal = {{BMC} Syst. Biol.}, volume = {12}, number = {{S-2}}, pages = {21--31}, year = {2018}, url = {https://doi.org/10.1186/s12918-018-0543-4}, doi = {10.1186/S12918-018-0543-4}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/KwonLYP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/WonYPPJBKLSPE18, author = {Yuchang Won and Buyeon Yu and Jaegeun Park and In{-}Hee Park and Haegeon Jeong and Jeanseong Baik and Kyungtae Kang and Insup Lee and Sang Hyuk Son and Kyung{-}Joon Park and Yongsoon Eun}, title = {An Attack-Resilient {CPS} Architecture for Hierarchical Control: {A} Case Study on Train Control Systems}, journal = {Computer}, volume = {51}, number = {11}, pages = {46--55}, year = {2018}, url = {https://doi.org/10.1109/MC.2018.2876054}, doi = {10.1109/MC.2018.2876054}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computer/WonYPPJBKLSPE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ParkLL18, author = {Sangwon Park and Joonsung Lee and Chungyong Lee}, title = {A Space Shift Keying for Downlink Multi-User Transmission With Partial {CSI} in Massive {MIMO} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {3}, pages = {506--509}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2017.2780828}, doi = {10.1109/LCOMM.2017.2780828}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ParkLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/JunPSLK18, author = {Sanghoon Jun and BeomHee Park and Joon Beom Seo and SangMin Lee and Namkug Kim}, title = {Development of a Computer-Aided Differential Diagnosis System to Distinguish Between Usual Interstitial Pneumonia and Non-specific Interstitial Pneumonia Using Texture- and Shape-Based Hierarchical Classifiers on {HRCT} Images}, journal = {J. Digit. Imaging}, volume = {31}, number = {2}, pages = {235--244}, year = {2018}, url = {https://doi.org/10.1007/s10278-017-0018-y}, doi = {10.1007/S10278-017-0018-Y}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/JunPSLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/HanKP18, author = {Young{-}Joo Han and Wooseong Kim and Joon{-}Sang Park}, title = {Efficient Eye-Blinking Detection on Smartphones: {A} Hybrid Approach Based on Deep Learning}, journal = {Mob. Inf. Syst.}, volume = {2018}, pages = {6929762:1--6929762:8}, year = {2018}, url = {https://doi.org/10.1155/2018/6929762}, doi = {10.1155/2018/6929762}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/HanKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ParkKSCP18, author = {Hee Pyung Park and Sang Woo Kim and Joong{-}Won Shin and Won{-}Ju Cho and Jong Tae Park}, title = {Effects of the compositional ratios of sputtering target on the device performance and instability in amorphous InGaZnO thin film transistors}, journal = {Microelectron. Reliab.}, volume = {88-90}, pages = {873--877}, year = {2018}, url = {https://doi.org/10.1016/j.microrel.2018.06.052}, doi = {10.1016/J.MICROREL.2018.06.052}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/ParkKSCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeSKPJL18, author = {Dabin Lee and SeungHyun Son and Wonkook Kim and Joo Myun Park and Huitae Joo and Sang Heon Lee}, title = {Spatio-Temporal Variability of the Habitat Suitability Index for Chub Mackerel (\emph{Scomber Japonicus}) in the East/Japan Sea and the South Sea of South Korea}, journal = {Remote. Sens.}, volume = {10}, number = {6}, pages = {938}, year = {2018}, url = {https://doi.org/10.3390/rs10060938}, doi = {10.3390/RS10060938}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeSKPJL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinKLCPM18, author = {Joon{-}Hyung Jin and Joon Hyub Kim and Sang Ki Lee and Samjin Choi and Chan{-}Won Park and Nam Ki Min}, title = {A Fully Integrated Paper-Microfluidic Electrochemical Device for Simultaneous Analysis of Physiologic Blood Ions}, journal = {Sensors}, volume = {18}, number = {1}, pages = {104}, year = {2018}, url = {https://doi.org/10.3390/s18010104}, doi = {10.3390/S18010104}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JinKLCPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SangHPB18, author = {Pil Gyu Sang and Junseok Heo and Hui Joon Park and Hyoung Won Baac}, title = {Photoacoustic Energy Sensor for Nanosecond Optical Pulse Measurement}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3879}, year = {2018}, url = {https://doi.org/10.3390/s18113879}, doi = {10.3390/S18113879}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SangHPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SiddiquiPLJCLS18, author = {Zahid Ali Siddiqui and Unsang Park and Sang{-}Woong Lee and Nam{-}Joon Jung and Minhee Choi and Chanuk Lim and Jang{-}Hun Seo}, title = {Robust Powerline Equipment Inspection System Based on a Convolutional Neural Network}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3837}, year = {2018}, url = {https://doi.org/10.3390/s18113837}, doi = {10.3390/S18113837}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SiddiquiPLJCLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongPP18, author = {Shuxiang Song and Sangwoo Park and Joonki Paik}, title = {Segmentation-Based Color Channel Registration for Disparity Estimation of Dual Color-Filtered Aperture Camera}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3174}, year = {2018}, url = {https://doi.org/10.3390/s18103174}, doi = {10.3390/S18103174}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SongPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/ParkKBHK18, author = {Sang Bae Park and Gyehyun Kim and Hyun Jae Baek and Jong Hee Han and Joon Ho Kim}, title = {Remote Pulse Rate Measurement From Near-Infrared Videos}, journal = {{IEEE} Signal Process. Lett.}, volume = {25}, number = {8}, pages = {1271--1275}, year = {2018}, url = {https://doi.org/10.1109/LSP.2018.2842639}, doi = {10.1109/LSP.2018.2842639}, timestamp = {Sat, 11 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/ParkKBHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ParkYPLP18, author = {Kwanwoo Park and Soohwan Yu and Seonhee Park and Sangkeun Lee and Joonki Paik}, title = {An Optimal Low Dynamic Range Image Generation Method Using a Neural Network}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {64}, number = {1}, pages = {69--76}, year = {2018}, url = {https://doi.org/10.1109/TCE.2018.2811257}, doi = {10.1109/TCE.2018.2811257}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/ParkYPLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/LeeHNPKKLCM18, author = {Juchang Lee and Wook{-}Shin Han and Hyoung Jun Na and Chang Gyoo Park and Kyu Hwan Kim and Deok Hoe Kim and Joo{-}Yeon Lee and Sang Kyun Cha and SeungHyun Moon}, title = {Parallel replication across formats for scaling out mixed {OLTP/OLAP} workloads in main-memory databases}, journal = {{VLDB} J.}, volume = {27}, number = {3}, pages = {421--444}, year = {2018}, url = {https://doi.org/10.1007/s00778-018-0503-z}, doi = {10.1007/S00778-018-0503-Z}, timestamp = {Tue, 10 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/LeeHNPKKLCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdata2/HongP0KK18, author = {Sanghyun Hong and Noseong Park and Tanmoy Chakraborty and Hyunjoong Kang and Soonhyun Kwon}, editor = {Francis Y. L. Chin and C. L. Philip Chen and Latifur Khan and Kisung Lee and Liang{-}Jie Zhang}, title = {{PAGE:} Answering Graph Pattern Queries via Knowledge Graph Embedding}, booktitle = {Big Data - BigData 2018 - 7th International Congress, Held as Part of the Services Conference Federation, {SCF} 2018, Seattle, WA, USA, June 25-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10968}, pages = {87--99}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94301-5\_7}, doi = {10.1007/978-3-319-94301-5\_7}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdata2/HongP0KK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/ParkWLK18, author = {Jongchan Park and Sanghyun Woo and Joon{-}Young Lee and In So Kweon}, title = {{BAM:} Bottleneck Attention Module}, booktitle = {British Machine Vision Conference 2018, {BMVC} 2018, Newcastle, UK, September 3-6, 2018}, pages = {147}, publisher = {{BMVA} Press}, year = {2018}, url = {http://bmvc2018.org/contents/papers/0092.pdf}, timestamp = {Tue, 21 Apr 2020 23:17:57 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/ParkWLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeePSJ18, author = {Sangyoun Lee and Young Deok Park and Young{-}Joo Suh and Seokseong Jeon}, title = {Design and implementation of monitoring system for breathing and heart rate pattern using WiFi signals}, booktitle = {15th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2018, Las Vegas, NV, USA, January 12-15, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCNC.2018.8319181}, doi = {10.1109/CCNC.2018.8319181}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LeePSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/NaKPK18, author = {Jinyeop Na and Jeongwan Koh and Sangwoo Park and Joonhyuk Kang}, title = {Energy efficiency enhancement on cloud and edge processing by dynamic {RRH} selection}, booktitle = {15th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2018, Las Vegas, NV, USA, January 12-15, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCNC.2018.8319182}, doi = {10.1109/CCNC.2018.8319182}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/NaKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dyspan/ParkPSSWYLCCHKP18, author = {Giseung Park and Sangwoo Park and Junyeong Seo and Jungho So and Woowan Wang and Seungmin Yoo and Seung{-}Chan Lim and Jihoon Chung and Myungsik Cho and Daehan Ha and Joonhyuk Kang and Hyuncheol Park and Youngchul Sung}, title = {5G K-Simulator: Link Level Simulator for 5G}, booktitle = {2018 {IEEE} International Symposium on Dynamic Spectrum Access Networks, DySPAN 2018, Seoul, Korea (South), October 22-25, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DySPAN.2018.8610463}, doi = {10.1109/DYSPAN.2018.8610463}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dyspan/ParkPSSWYLCCHKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WooPLK18, author = {Sanghyun Woo and Jongchan Park and Joon{-}Young Lee and In So Kweon}, editor = {Vittorio Ferrari and Martial Hebert and Cristian Sminchisescu and Yair Weiss}, title = {{CBAM:} Convolutional Block Attention Module}, booktitle = {Computer Vision - {ECCV} 2018 - 15th European Conference, Munich, Germany, September 8-14, 2018, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {11211}, pages = {3--19}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01234-2\_1}, doi = {10.1007/978-3-030-01234-2\_1}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/eccv/WooPLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/KimHSMKPYRYLCLL18, author = {Dae{-}Ub Kim and Kyeong{-}Eun Han and Jongtae Song and Sang{-}Rok Moon and Bup{-}Joong Kim and Chansung Park and Sanghwa Yoo and Yongwook Ra and JiWook Youn and Young{-}Wuk Lee and Junseok Choi and Jongmin Lim and Daehun Lim and Changhyun Kang and Kwangjoon Kim}, title = {Photonic Frame Based Packet Switching Optical Network}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535297}, doi = {10.1109/ECOC.2018.8535297}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ecoc/KimHSMKPYRYLCLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/JuPLYH18, author = {Sungha Ju and Sangyoon Park and Hyoungjoon Lim and Sung Bum Yun and Joon Heo}, editor = {Akihito Sudo and Yoshihide Sekimoto and Lau Hoong Chin and Xuan Song and Takahiro Yabe}, title = {Spatial-Data-Driven Student Characterization: Trajectory Sequence Alignment based on Student Smart Card Transactions}, booktitle = {Proceedings of the 2nd {ACM} {SIGSPATIAL} Workshop on Prediction of Human Mobility, PredictGIS 2018, Seattle, WA, USA, November 6, 2018}, pages = {1--7}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283590.3283591}, doi = {10.1145/3283590.3283591}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gis/JuPLYH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/YunNPLH18, author = {Sung Bum Yun and Hieu Minh Nguyen and Sang Yoon Park and Hyoungjoon Lim and Joon Heo}, editor = {Akihito Sudo and Yoshihide Sekimoto and Lau Hoong Chin and Xuan Song and Takahiro Yabe}, title = {Implementation of Floating Population Analysis for Smart Cities: {A} case study in Songdo Incheon South Korea}, booktitle = {Proceedings of the 2nd {ACM} {SIGSPATIAL} Workshop on Prediction of Human Mobility, PredictGIS 2018, Seattle, WA, USA, November 6, 2018}, pages = {32--36}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3283590.3283595}, doi = {10.1145/3283590.3283595}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gis/YunNPLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ParkSLK18a, author = {Wonjoo Park and Jeong{-}Woo Son and Sang{-}Yun Lee and Sun{-}Joong Kim}, title = {Clip recommendation based on topic similarity}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {661--663}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323874}, doi = {10.23919/ICACT.2018.8323874}, timestamp = {Tue, 22 Mar 2022 11:28:01 +0100}, biburl = {https://dblp.org/rec/conf/icact/ParkSLK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/SonPLK18a, author = {Jeong{-}Woo Son and Wonjoo Park and Sang{-}Yun Lee and Sun{-}Joong Kim}, title = {Video scene title generation based on explicit and implicit relations among caption words}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {571--573}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323836}, doi = {10.23919/ICACT.2018.8323836}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/SonPLK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/0001SPKSE18, author = {Sanghoon Jeon and Joonwoo Son and Myoungouk Park and Bawul Kim and Sang Hyuk Son and Yongsoon Eun}, title = {Driving-PASS: An Automatic Driving Performance Assessment System for Stroke Drivers Based on {ANN} and {SVM}}, booktitle = {15th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2018, Singapore, November 18-21, 2018}, pages = {1367--1373}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICARCV.2018.8581177}, doi = {10.1109/ICARCV.2018.8581177}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/0001SPKSE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkSLK18, author = {Wonjoo Park and Jeong Woo Son and Sang{-}Yun Lee and Sun{-}Joong Kim}, title = {Multi-view topic model learning to generate audience metadata automatically}, booktitle = {2018 International Conference on Information Networking, {ICOIN} 2018, Chiang Mai, Thailand, January 10-12, 2018}, pages = {562--564}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICOIN.2018.8343181}, doi = {10.1109/ICOIN.2018.8343181}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkSLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/PyoLPPY18, author = {Sang{-}Hun Pyo and Ho Su Lee and Bui Minh Phu and Sang Joon Park and Jung{-}Won Yoon}, title = {Development of an Fast-Omnidirectional Treadmill {(F-ODT)} for Immersive Locomotion Interface}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {760--766}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8460669}, doi = {10.1109/ICRA.2018.8460669}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/PyoLPPY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/YangPLASL18, author = {Hyunsoo Yang and Sangyul Park and Jeongseob Lee and Joonmo Ahn and Dongwon Son and Dongjun Lee}, title = {{LASDRA:} Large-Size Aerial Skeleton System with Distributed Rotor Actuation}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {7017--7023}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8460713}, doi = {10.1109/ICRA.2018.8460713}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/YangPLASL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icws/KangHLPK18, author = {Hyunjoong Kang and Sanghyun Hong and Kookjin Lee and Noseong Park and Soonhyun Kwon}, title = {On Integrating Knowledge Graph Embedding into {SPARQL} Query Processing}, booktitle = {2018 {IEEE} International Conference on Web Services, {ICWS} 2018, San Francisco, CA, USA, July 2-7, 2018}, pages = {371--374}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICWS.2018.00064}, doi = {10.1109/ICWS.2018.00064}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icws/KangHLPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimCKLLMCYKKLPC18, author = {Jhoon Kim and Myungje Choi and Mijin Kim and Hyungwang Lim and Seovouna Lee and Kyung Jung Moon and Won Joon Choi and Jong Min Yoon and Sang{-}Kyoon Kim and Dai Hn Ko and Seung Hoon Lee and Youngje Park and Chu{-}Yong Chung}, title = {Monitoring Atmospheric Composition by Geo-Kompsat-2: Goci-2, Ami and Gems}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {7750--7752}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8518713}, doi = {10.1109/IGARSS.2018.8518713}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimCKLLMCYKKLPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/OhLLSKWS18, author = {Myungwoo Oh and Chaeeun Lee and Sanghun Lee and Youngho Seo and Sunwoo Kim and Jooho Wang and Chester Sungchung Park}, title = {Convolutional Neural Network Accelerator with Reconfigurable Dataflow}, booktitle = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea, November 12-15, 2018}, pages = {42--43}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISOCC.2018.8649988}, doi = {10.1109/ISOCC.2018.8649988}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/OhLLSKWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShimKBKLKKLHKPK18, author = {Seokbo Shim and Sungho Kim and Jooyoung Bae and Keunsik Ko and Eunryeong Lee and Kwidong Kim and Kyeongtae Kim and Sangho Lee and Jinhoon Hyun and Insung Koh and Joonhong Park and Minjeong Kim and Sunhye Shin and Dongha Lee and Yunyoung Lee and Sangah Hyun and Wonjohn Choi and Dain Im and Dongheon Lee and Jieun Jang and Sangho Lee and Junhyun Chun and Jonghoon Oh and Jinkook Kim and Seok Hee Lee}, title = {A 16Gb 1.2V 3.2Gb/s/pin {DDR4} {SDRAM} with improved power distribution and repair strategy}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {212--214}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310259}, doi = {10.1109/ISSCC.2018.8310259}, timestamp = {Thu, 15 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ShimKBKLKKLHKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinCKYJSPJJHCK18, author = {Se{-}un Shin and Minseong Choi and Seok{-}Tae Koh and Yu{-}Jin Yang and Seungchul Jung and Young{-}Hoon Sohn and Se{-}Hong Park and Yong{-}Min Ju and Youngsin Jo and Yeunhee Huh and Sung{-}Won Choi and Sang Joon Kim and Gyu{-}Hyeong Cho}, title = {A 13.56MHz time-interleaved resonant-voltage-mode wireless-power receiver with isolated resonator and quasi-resonant boost converter for implantable systems}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {154--156}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310230}, doi = {10.1109/ISSCC.2018.8310230}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinCKYJSPJJHCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ShinPHBCPP18, author = {Jang{-}Uk Shin and Sangho Park and Young{-}Tak Han and Yongsoon Baek and ByeongKwon Choi and Joonoh Park and Chulhee Park}, title = {Dual 8{\texttimes}16 {MCS} using Hybrid-Integrated Silica {PLC} and Polymer {TIR} Switch Array}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8386244}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ShinPHBCPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/KangKSLPSKCCHY18, author = {Jeehoon Kang and Yoonseung Kim and Youngju Song and Juneyoung Lee and Sanghoon Park and Mark Dongyeon Shin and Yonghyun Kim and Sungkeun Cho and Joonwon Choi and Chung{-}Kil Hur and Kwangkeun Yi}, editor = {Jeffrey S. Foster and Dan Grossman}, title = {Crellvm: verified credible compilation for {LLVM}}, booktitle = {Proceedings of the 39th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2018, Philadelphia, PA, USA, June 18-22, 2018}, pages = {631--645}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3192366.3192377}, doi = {10.1145/3192366.3192377}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/KangKSLPSKCCHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/AhnKKLP18, author = {Joonwoo Ahn and Minsung Kim and Sejin Kim and Sangyup Lee and Jaeheung Park}, title = {Formation-Based Tracking Method for Human Following Robot}, booktitle = {15th International Conference on Ubiquitous Robots, {UR} 2018, Honolulu, HI, USA, June 26-30, 2018}, pages = {24--28}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/URAI.2018.8441820}, doi = {10.1109/URAI.2018.8441820}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/AhnKKLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-06514, author = {Jongchan Park and Sanghyun Woo and Joon{-}Young Lee and In So Kweon}, title = {{BAM:} Bottleneck Attention Module}, journal = {CoRR}, volume = {abs/1807.06514}, year = {2018}, url = {http://arxiv.org/abs/1807.06514}, eprinttype = {arXiv}, eprint = {1807.06514}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-06514.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-06521, author = {Sanghyun Woo and Jongchan Park and Joon{-}Young Lee and In So Kweon}, title = {{CBAM:} Convolutional Block Attention Module}, journal = {CoRR}, volume = {abs/1807.06521}, year = {2018}, url = {http://arxiv.org/abs/1807.06521}, eprinttype = {arXiv}, eprint = {1807.06521}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-06521.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-04920, author = {Hyojin Park and Youngjoon Yoo and Geonseok Seo and Dongyoon Han and Sangdoo Yun and Nojun Kwak}, title = {Concentrated-Comprehensive Convolutions for lightweight semantic segmentation}, journal = {CoRR}, volume = {abs/1812.04920}, year = {2018}, url = {http://arxiv.org/abs/1812.04920}, eprinttype = {arXiv}, eprint = {1812.04920}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-04920.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkLHC17, author = {Sangdon Park and Joohyung Lee and Ganguk Hwang and Jun Kyun Choi}, title = {Event-Driven Energy Trading System in Microgrids: Aperiodic Market Model Analysis With a Game Theoretic Approach}, journal = {{IEEE} Access}, volume = {5}, pages = {26291--26302}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2766233}, doi = {10.1109/ACCESS.2017.2766233}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkLHC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/ParkKK17, author = {Eunil Park and Ki Joon Kim and Sang Jib Kwon}, title = {Evaluation of automobile navigation systems with multisensory information channels}, journal = {Behav. Inf. Technol.}, volume = {36}, number = {10}, pages = {1014--1019}, year = {2017}, url = {https://doi.org/10.1080/0144929X.2017.1323233}, doi = {10.1080/0144929X.2017.1323233}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/behaviourIT/ParkKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/KooHPRRKBH17, author = {Junmo Koo and Daegeun Ha and Damdae Park and Hyun{-}Joon Roh and Sangwon Ryu and Gon{-}Ho Kim and Kye Hyun Baek and Chonghun Han}, title = {Design of optical emission spectroscopy based plasma parameter controller for real-time advanced equipment control}, journal = {Comput. Chem. Eng.}, volume = {100}, pages = {38--47}, year = {2017}, url = {https://doi.org/10.1016/j.compchemeng.2017.02.009}, doi = {10.1016/J.COMPCHEMENG.2017.02.009}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cce/KooHPRRKBH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/ChangPC17, author = {Ik Joon Chang and Sang Yoon Park and Jun Won Choi}, title = {Design of Low-Power Voltage Scalable Arithmetic Units with Perfect Timing Error Cancelation}, journal = {Circuits Syst. Signal Process.}, volume = {36}, number = {11}, pages = {4309--4325}, year = {2017}, url = {https://doi.org/10.1007/s00034-017-0534-5}, doi = {10.1007/S00034-017-0534-5}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssp/ChangPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiYP17, author = {Sang{-}Hoon Choi and Joobeom Yun and Ki{-}Woong Park}, title = {Doc-Trace: Tracing Secret Documents in Cloud Computing via Steganographic Marking}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {10}, pages = {2373--2376}, year = {2017}, url = {https://doi.org/10.1587/transinf.2016INL0002}, doi = {10.1587/TRANSINF.2016INL0002}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiYP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/ParkLJ17, author = {Sangsung Park and Seung{-}Joo Lee and Sunghae Jun}, title = {Patent Big Data Analysis using Fuzzy Learning}, journal = {Int. J. Fuzzy Syst.}, volume = {19}, number = {4}, pages = {1158--1167}, year = {2017}, url = {https://doi.org/10.1007/s40815-016-0192-y}, doi = {10.1007/S40815-016-0192-Y}, timestamp = {Fri, 01 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/ParkLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/HyungPL17, author = {Ziwon Hyung and Joon{-}Sang Park and Kyogu Lee}, title = {Utilizing context-relevant keywords extracted from a large collection of user-generated documents for music discovery}, journal = {Inf. Process. Manag.}, volume = {53}, number = {5}, pages = {1185--1200}, year = {2017}, url = {https://doi.org/10.1016/j.ipm.2017.04.006}, doi = {10.1016/J.IPM.2017.04.006}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/HyungPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/KimKLHCPCSJLSKC17, author = {Sanghyun Kim and Mingon Kim and Jimin Lee and Soonwook Hwang and Joonbo Chae and Beomyeong Park and Hyunbum Cho and Jaehoon Sim and Jaesug Jung and Hosang Lee and Seho Shin and Minsung Kim and Wonje Choi and Yisoo Lee and Sumin Park and Jiyong Oh and Yongjin Lee and Sangkuk Lee and Myunggi Lee and Sangyup Yi and Kyong{-}Sok K. C. Chang and Nojun Kwak and Jaeheung Park}, title = {Team SNU's Control Strategies for Enhancing a Robot's Capability: Lessons from the 2015 {DARPA} Robotics Challenge Finals}, journal = {J. Field Robotics}, volume = {34}, number = {2}, pages = {359--380}, year = {2017}, url = {https://doi.org/10.1002/rob.21678}, doi = {10.1002/ROB.21678}, timestamp = {Fri, 12 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/KimKLHCPCSJLSKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnYOOSPSJSRYJ17, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Hyunui Lee and Seok{-}Yong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Yong{-}Cheol Bae and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 1.2 {V} 20 nm 307 GB/s {HBM} {DRAM} With At-Speed Wafer-Level {IO} Test Scheme and Adaptive Refresh Considering Temperature Distribution}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {250--260}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2602221}, doi = {10.1109/JSSC.2016.2602221}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnYOOSPSJSRYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YoonLLHLLKHWPB17, author = {Taehun Yoon and Joon{-}Yeong Lee and Jinhee Lee and Kwangseok Han and Jeong{-}Sup Lee and Sangeun Lee and Taeho Kim and Jinho Han and Hyosup Won and Jinho Park and Hyeon{-}Min Bae}, title = {A 103.125-Gb/s Reverse Gearbox {IC} in 40-nm {CMOS} for Supporting Legacy 10- and 40-GbE Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {3}, pages = {688--703}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2636858}, doi = {10.1109/JSSC.2016.2636858}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YoonLLHLLKHWPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ShinP17, author = {Heehoon Shin and Joon{-}Sang Park}, title = {Energy Efficient QoS-Aware Random Network Coding on Smartphones}, journal = {Mob. Networks Appl.}, volume = {22}, number = {5}, pages = {880--893}, year = {2017}, url = {https://doi.org/10.1007/s11036-017-0856-3}, doi = {10.1007/S11036-017-0856-3}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ShinP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/GhimireJLP17, author = {Deepak Ghimire and SungHwan Jeong and Joonwhoan Lee and Sang Hyun Park}, title = {Facial expression recognition based on local region specific features and support vector machines}, journal = {Multim. Tools Appl.}, volume = {76}, number = {6}, pages = {7803--7821}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3418-y}, doi = {10.1007/S11042-016-3418-Y}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/GhimireJLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ShinP17, author = {Heehoon Shin and Joon{-}Sang Park}, title = {Optimizing random network coding for multimedia content distribution over smartphones}, journal = {Multim. Tools Appl.}, volume = {76}, number = {19}, pages = {19379--19395}, year = {2017}, url = {https://doi.org/10.1007/s11042-015-3089-0}, doi = {10.1007/S11042-015-3089-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ShinP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChungYLKRPKSSSJ17, author = {Jinyong Chung and Kwangsun Yoo and Peter Lee and Chan Mi Kim and Jee Hoon Roh and Ji Eun Park and Sang Joon Kim and Sang Won Seo and Jeong{-}Hyeon Shin and Joon{-}Kyung Seong and Yong Jeong}, title = {Normalization of cortical thickness measurements across different {T1} magnetic resonance imaging protocols by novel W-Score standardization}, journal = {NeuroImage}, volume = {159}, pages = {224--235}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2017.07.053}, doi = {10.1016/J.NEUROIMAGE.2017.07.053}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChungYLKRPKSSSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LeeMKKCHPNL17, author = {Juchang Lee and SeungHyun Moon and Kyu Hwan Kim and Deok Hoe Kim and Sang Kyun Cha and Wook{-}Shin Han and Chang Gyoo Park and Hyoung Jun Na and Joo{-}Yeon Lee}, title = {Parallel Replication across Formats in {SAP} {HANA} for Scaling Out Mixed {OLTP/OLAP} Workloads}, journal = {Proc. {VLDB} Endow.}, volume = {10}, number = {12}, pages = {1598--1609}, year = {2017}, url = {http://www.vldb.org/pvldb/vol10/p1598-han.pdf}, doi = {10.14778/3137765.3137767}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LeeMKKCHPNL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/KimPYRW17, author = {Sung{-}Ho Kim and Joonha Park and Wansang Yoon and Won{-}Sang Ra and Ick{-}Ho Whang}, title = {A note on sensor arrangement for long-distance target localization}, journal = {Signal Process.}, volume = {133}, pages = {18--31}, year = {2017}, url = {https://doi.org/10.1016/j.sigpro.2016.10.011}, doi = {10.1016/J.SIGPRO.2016.10.011}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/KimPYRW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimPL17, author = {Seong Joong Kim and Chang Soon Park and Sang{-}Gug Lee}, title = {A 2.4-GHz Ternary Sequence Spread Spectrum {OOK} Transceiver for Reliable and Ultra-Low Power Sensor Network Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {11}, pages = {2976--2987}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2704420}, doi = {10.1109/TCSI.2017.2704420}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/KimPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WonLYHLPB17, author = {Hyosup Won and Joon{-}Yeong Lee and Taehun Yoon and Kwangseok Han and Sangeun Lee and Jinho Park and Hyeon{-}Min Bae}, title = {A 28-Gb/s Receiver With Self-contained Adaptive Equalization and Sampling Point Control Using Stochastic Sigma-Tracking Eye-Opening Monitor}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {3}, pages = {664--674}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2016.2614349}, doi = {10.1109/TCSI.2016.2614349}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WonLYHLPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KoYKPLP17, author = {Seungyong Ko and Soohwan Yu and Wonseok Kang and Chanyong Park and Sangkeun Lee and Joonki Paik}, title = {Artifact-Free Low-Light Video Enhancement Using Temporal Similarity and Guide Map}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {8}, pages = {6392--6401}, year = {2017}, url = {https://doi.org/10.1109/TIE.2017.2682034}, doi = {10.1109/TIE.2017.2682034}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/KoYKPLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/JooPB17, author = {Yongsoo Joo and Sangsoo Park and Hyokyung Bahn}, title = {Exploiting {I/O} Reordering and {I/O} Interleaving to Improve Application Launch Performance}, journal = {{ACM} Trans. Storage}, volume = {13}, number = {1}, pages = {8:1--8:17}, year = {2017}, url = {https://doi.org/10.1145/3024094}, doi = {10.1145/3024094}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tos/JooPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/uais/KimBP17, author = {Ki Joon Kim and Sangkyung Bae and Eunil Park}, title = {Comparative analysis of a mobile device and paper as effective survey tools}, journal = {Univers. Access Inf. Soc.}, volume = {16}, number = {4}, pages = {997--1002}, year = {2017}, url = {https://doi.org/10.1007/s10209-017-0535-y}, doi = {10.1007/S10209-017-0535-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/uais/KimBP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ParkLL17, author = {Sangwon Park and Joonsung Lee and Chungyong Lee}, title = {Base Station Selection for Per-cell Precoding with Limited Feedback in Cooperative Multi-point Systems}, journal = {Wirel. Pers. Commun.}, volume = {97}, number = {4}, pages = {5853--5864}, year = {2017}, url = {https://doi.org/10.1007/s11277-017-4814-y}, doi = {10.1007/S11277-017-4814-Y}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ParkLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimCPKHL17, author = {Sun{-}a Kim and Kyoung{-}Yong Choi and Dae{-}Woong Park and Joo{-}Myoung Kim and Seok{-}Kyun Han and Sang{-}Gug Lee}, title = {0.5 and 1.5 THz monolithic imagers in a 65 nm {CMOS} adopting a VCO-based signal processing}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {149--152}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240238}, doi = {10.1109/ASSCC.2017.8240238}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimCPKHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ChaOSHPJCJSCAK17, author = {Sang{-}uhn Cha and Seongil O and Hyunsung Shin and Sangjoon Hwang and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi and Gyo{-}Young Jin and Young Hoon Son and Hyunyoon Cho and Jung Ho Ahn and Nam Sung Kim}, title = {Defect Analysis and Cost-Effective Resilience Architecture for Future {DRAM} Devices}, booktitle = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {61--72}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HPCA.2017.30}, doi = {10.1109/HPCA.2017.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ChaOSHPJCJSCAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HanKP17, author = {Young{-}Joo Han and Wooseong Kim and Joon{-}Sang Park}, title = {Eye-tracking on smartphones using regression-based prediction}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {990--992}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190833}, doi = {10.1109/ICTC.2017.8190833}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HanKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeePSK17, author = {Sang{-}Yun Lee and Wonjoo Park and Jeong Woo Son and Sun{-}Joong Kim}, title = {Scene studio: Authoring tool for VoD clip service}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1106--1108}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190868}, doi = {10.1109/ICTC.2017.8190868}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeePSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeSPK17, author = {Sang{-}Yun Lee and Jeong Woo Son and Wonjoo Park and Sun{-}Joong Kim}, title = {Design and implementation of the companion app. service for scene-based product advertisement}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {1096--1099}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190865}, doi = {10.1109/ICTC.2017.8190865}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeSPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/ParkKJH17, author = {Hyoung Joon Park and Chang{-}Hoon Kim and Sun{-}Young Jung and Sang{-}Kook Han}, title = {A novel {OBI} noise reduction technique by using similar-OBI estimation in optical multiple access uplink}, booktitle = {2017 19th International Conference on Transparent Optical Networks (ICTON), Girona, Spain, July 2-6, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTON.2017.8024890}, doi = {10.1109/ICTON.2017.8024890}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icton/ParkKJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgta/KimPC017, author = {Minkyung Kim and Sangdon Park and Jun Kyun Choi and Joohyung Lee}, title = {Energy independence of energy trading system in microgrid}, booktitle = {2017 {IEEE} Innovative Smart Grid Technologies - Asia, {ISGT} Asia 2017, Auckland, New Zealand, December 4-7, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISGT-Asia.2017.8378441}, doi = {10.1109/ISGT-ASIA.2017.8378441}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgta/KimPC017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HeoSPCKB17, author = {Sanghyun Heo and Joohyeb Song and Kyoungmin Park and Eun{-}Ho Choi and Seong{-}Mun Kim and Franklin Bien}, title = {A low-offset, low-noise, fully differential receiver with a differential signaling method for fingerprint mutual capacitive touch screen}, booktitle = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea, November 5-8, 2017}, pages = {166--167}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISOCC.2017.8368845}, doi = {10.1109/ISOCC.2017.8368845}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/HeoSPCKB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17, author = {Nohhyup Kwak and Saeng{-}Hwan Kim and Kyong Ha Lee and Chang{-}Ki Baek and Mun Seon Jang and Yongsuk Joo and Seung{-}Hun Lee and Wooyoung Lee and Eunryeong Lee and Donghee Han and Jaeyeol Kang and Jung Ho Lim and Jae{-}Beom Park and Kyung{-}Tae Kim and Sunki Cho and Sung Woo Han and Jee Yeon Keh and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A self-refresh current for IoT applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {392--393}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870426}, doi = {10.1109/ISSCC.2017.7870426}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/med/HanBR0TYP17, author = {Seungyeop Han and Ji Hoon Bai and Heekun Roh and Seong{-}Min Hong and Min{-}Jea Tahk and Joongsup Yun and Sanghyuk Park}, title = {Three-dimensional velocity maximizing agile turn of air-to-air missile with collision triangle constraint}, booktitle = {25th Mediterranean Conference on Control and Automation, {MED} 2017, Valletta, Malta, July 3-6, 2017}, pages = {123--128}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MED.2017.7984106}, doi = {10.1109/MED.2017.7984106}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/med/HanBR0TYP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/SonPLKK17, author = {Jeong Woo Son and Wonjoo Park and Sang{-}Yun Lee and Jinwoo Kim and Sun{-}Joong Kim}, editor = {Noriko Kando and Tetsuya Sakai and Hideo Joho and Hang Li and Arjen P. de Vries and Ryen W. White}, title = {Smart Media Generation System for Broadcasting Contents}, booktitle = {Proceedings of the 40th International {ACM} {SIGIR} Conference on Research and Development in Information Retrieval, Shinjuku, Tokyo, Japan, August 7-11, 2017}, pages = {1297--1300}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3077136.3084139}, doi = {10.1145/3077136.3084139}, timestamp = {Tue, 06 Nov 2018 11:07:22 +0100}, biburl = {https://dblp.org/rec/conf/sigir/SonPLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-09681, author = {Young Joon Yoo and Seonguk Park and Junyoung Choi and Sangdoo Yun and Nojun Kwak}, title = {Butterfly Effect: Bidirectional Control of Classification Performance by Small Additive Perturbation}, journal = {CoRR}, volume = {abs/1711.09681}, year = {2017}, url = {http://arxiv.org/abs/1711.09681}, eprinttype = {arXiv}, eprint = {1711.09681}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-09681.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/ParkLKK16, author = {Sung Hee Park and Sunmin Lee and Young{-}Joon Kim and Sangsoo Kim}, title = {ChARM: Discovery of combinatorial chromatin modification patterns in hepatitis {B} virus X-transformed mouse liver cancer using association rule mining}, journal = {{BMC} Bioinform.}, volume = {17}, number = {{S-16}}, pages = {65--83}, year = {2016}, url = {https://doi.org/10.1186/s12859-016-1307-z}, doi = {10.1186/S12859-016-1307-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/ParkLKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/LeeKLBKCSJPC16, author = {Jun{-}Young Lee and Brian Byunghyun Kang and Dae{-}Young Lee and Sang{-}Min Baek and Woong{-}Bae Kim and Woo{-}Young Choi and Jeong{-}Ryul Song and Hyeong{-}Joon Joo and Daegeun Park and Kyu{-}Jin Cho}, title = {Development of a Multi-functional Soft Robot {(SNUMAX)} and Performance in RoboSoft Grand Challenge}, journal = {Frontiers Robotics {AI}}, volume = {3}, pages = {63}, year = {2016}, url = {https://doi.org/10.3389/frobt.2016.00063}, doi = {10.3389/FROBT.2016.00063}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/LeeKLBKCSJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/geoinformatica/LeeCKPCC16, author = {Min{-}Joong Lee and Dong{-}Wan Choi and Sangyeon Kim and Ha{-}Myung Park and Sunghee Choi and Chin{-}Wan Chung}, title = {The direction-constrained k nearest neighbor query - Dealing with spatio-directional objects}, journal = {GeoInformatica}, volume = {20}, number = {3}, pages = {471--502}, year = {2016}, url = {https://doi.org/10.1007/s10707-016-0245-2}, doi = {10.1007/S10707-016-0245-2}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/geoinformatica/LeeCKPCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ParkCFG16, author = {Joon{-}Sang Park and Ling{-}Jyh Chen and Takeo Fujii and Piedad Garrido}, title = {Internet of Things over future internet}, journal = {Int. J. Distributed Sens. Networks}, volume = {12}, number = {8}, year = {2016}, url = {https://doi.org/10.1177/1550147716665513}, doi = {10.1177/1550147716665513}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ParkCFG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/ParkKYJLAMJLC16, author = {Jangwoo Park and Yang{-}Tae Kim and Byoung{-}Ju Yun and Sung{-}Uk Jin and Sang{-}Hoon Lee and Shi{-}Hyun Ahn and Yusun Min and Tae{-}Du Jung and Hui Joong Lee and Yongmin Chang}, title = {Stereoscopic 3D objects evoke stronger saliency for nonverbal working memory: An fMRI study}, journal = {Int. J. Imaging Syst. Technol.}, volume = {26}, number = {1}, pages = {76--84}, year = {2016}, url = {https://doi.org/10.1002/ima.22159}, doi = {10.1002/IMA.22159}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/ParkKYJLAMJLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itp/ParkKK16, author = {Eunil Park and Ki Joon Kim and Sang Jib Kwon}, title = {Understanding the emergence of wearable devices as next-generation tools for health communication}, journal = {Inf. Technol. People}, volume = {29}, number = {4}, pages = {717--732}, year = {2016}, url = {https://doi.org/10.1108/ITP-04-2015-0096}, doi = {10.1108/ITP-04-2015-0096}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itp/ParkKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeHYKLLPB16, author = {Joon{-}Yeong Lee and Kwangseok Han and Taehun Yoon and Taeho Kim and Sangeun Lee and Jeong{-}Sup Lee and Jinho Park and Hyeon{-}Min Bae}, title = {A Power-and-Area Efficient 10 {\texttimes} 10 Gb/s Bootstrap Transceiver in 40 nm {CMOS} for Referenceless and Lane-Independent Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {10}, pages = {2475--2484}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2590550}, doi = {10.1109/JSSC.2016.2590550}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeHYKLLPB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ShinAPBL16, author = {Jongkyu Shin and Gwangseok An and Joon{-}Sang Park and Seung Jun Baek and Kyogu Lee}, title = {Application of precise indoor position tracking to immersive virtual reality with translational movement support}, journal = {Multim. Tools Appl.}, volume = {75}, number = {20}, pages = {12331--12350}, year = {2016}, url = {https://doi.org/10.1007/s11042-016-3520-1}, doi = {10.1007/S11042-016-3520-1}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ShinAPBL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JooSPKJLKL16, author = {HuiTae Joo and SeungHyun Son and Jung{-}Woo Park and Jae Joong Kang and Jin{-}Yong Jeong and Chung Il Lee and Chang{-}Keun Kang and Sang Heon Lee}, title = {Long-Term Pattern of Primary Productivity in the East/Japan Sea Based on Ocean Color Data Derived from MODIS-Aqua}, journal = {Remote. Sens.}, volume = {8}, number = {1}, pages = {25}, year = {2016}, url = {https://doi.org/10.3390/rs8010025}, doi = {10.3390/RS8010025}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JooSPKJLKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SimKJNPKP16, author = {Soo Young Sim and Myung Jun Koh and Kwangmin Joo and Seungwoo Noh and Sangyun Park and Youn Ho Kim and Kwang Suk Park}, title = {Estimation of Thermal Sensation Based on Wrist Skin Temperatures}, journal = {Sensors}, volume = {16}, number = {4}, pages = {420}, year = {2016}, url = {https://doi.org/10.3390/s16040420}, doi = {10.3390/S16040420}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SimKJNPKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/JeongKMSKPKSK16, author = {Hongsil Jeong and Kyung{-}Joong Kim and Seho Myung and Jong{-}Woong Shin and Jinwoo Kim and Sung Ik Park and Sunhyoung Kwon and Yijun Shi and Sang{-}Hyo Kim}, title = {Flexible and Robust Transmission for Physical Layer Signaling of {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {62}, number = {1}, pages = {204--215}, year = {2016}, url = {https://doi.org/10.1109/TBC.2016.2518624}, doi = {10.1109/TBC.2016.2518624}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/JeongKMSKPKSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeRP16, author = {Joonhee Lee and Hongchan Roh and Sanghyun Park}, title = {External Mergesort for Flash-Based Solid State Drives}, journal = {{IEEE} Trans. Computers}, volume = {65}, number = {5}, pages = {1518--1527}, year = {2016}, url = {https://doi.org/10.1109/TC.2015.2451631}, doi = {10.1109/TC.2015.2451631}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeRP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HeoMSPCKB16, author = {Sanghyun Heo and Hyunggun Ma and Joohyeb Song and Kyoungmin Park and Eun{-}Ho Choi and Jae Joon Kim and Franklin Bien}, title = {72 dB SNR, 240 Hz Frame Rate Readout {IC} With Differential Continuous-Mode Parallel Architecture for Larger Touch-Screen Panel Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {7}, pages = {960--971}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2016.2553319}, doi = {10.1109/TCSI.2016.2553319}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/HeoMSPCKB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ParkLBHC16, author = {Sangdon Park and Joohyung Lee and Sohee Bae and Ganguk Hwang and Jun Kyun Choi}, title = {Contribution-Based Energy-Trading Mechanism in Microgrids for Future Smart Grid: {A} Game Theoretic Approach}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {7}, pages = {4255--4265}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2532842}, doi = {10.1109/TIE.2016.2532842}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ParkLBHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/HongPC16, author = {Joonki Hong and Sangjun Park and Naehyuck Chang}, title = {Accurate remaining range estimation for Electric vehicles}, booktitle = {21st Asia and South Pacific Design Automation Conference, {ASP-DAC} 2016, Macao, Macao, January 25-28, 2016}, pages = {781--786}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASPDAC.2016.7428106}, doi = {10.1109/ASPDAC.2016.7428106}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/HongPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKYYJAKKSSPKN16, author = {Hyunui Lee and Sukyong Kang and Hye{-}Seung Yu and Won{-}Joo Yun and Jae{-}Hun Jung and Sungoh Ahn and Wang{-}Soo Kim and Beomyong Kil and Yoo{-}Chang Sung and Sang{-}Hoon Shin and Yong{-}Sik Park and Yong{-}Hwan Kim and Kyung{-}Woo Nam and Indal Song and Kyomin Sohn and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {Design of non-contact 2Gb/s {I/O} test methods for high bandwidth memory {(HBM)}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844162}, doi = {10.1109/ASSCC.2016.7844162}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeKYYJAKKSSPKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkKML16, author = {Sangkeun Park and Joohyun Kim and Rabeb Mizouni and Uichin Lee}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Motives and Concerns of Dashcam Video Sharing}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016}, pages = {4758--4769}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2858036.2858581}, doi = {10.1145/2858036.2858581}, timestamp = {Mon, 06 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ParkKML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkKAGJJK16, author = {Jeong Hoan Park and Chaebin Kim and Seung{-}Hee Ahn and Tae Mok Gwon and Joonsoo Jeong and Sang Beom Jun and Sung June Kim}, title = {A distributed current stimulator {ASIC} for high density neural stimulation}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {1770--1773}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7591060}, doi = {10.1109/EMBC.2016.7591060}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ParkKAGJJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChaeCYLJKYNCPL16, author = {Kwanyeob Chae and JongRyun Choi and Shinyoung Yi and Won Lee and Sanghoon Joo and Hyunhyuck Kim and Hyungkwon Yi and Yoonjee Nam and Jinho Choi and Sanghune Park and Sanghyun Lee}, title = {A 690mV 4.4Gbps/pin all-digital {LPDDR4} {PHY} in 10nm FinFET technology}, booktitle = {{ESSCIRC} Conference 2016: 42\({}^{\mbox{nd}}\) European Solid-State Circuits Conference, Lausanne, Switzerland, September 12-15, 2016}, pages = {461--464}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ESSCIRC.2016.7598341}, doi = {10.1109/ESSCIRC.2016.7598341}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ChaeCYLJKYNCPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/HanPKP16, author = {Sangpil Han and Seokmok Park and Min{-}jae Kim and Joonki Paik}, title = {Hand detection using facial information}, booktitle = {{IEEE} 6th International Conference on Consumer Electronics - Berlin, ICCE-Berlin 2016, Berlin, Germany, September 5-7, 2016}, pages = {167--168}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE-Berlin.2016.7684746}, doi = {10.1109/ICCE-BERLIN.2016.7684746}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/HanPKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeSPK16, author = {Sang{-}Yun Lee and Jeong{-}Woo Son and Won{-}Ju Park and Sun{-}Joong Kim}, title = {{SNS} crawler engine for topic expansion}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {837--839}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763310}, doi = {10.1109/ICTC.2016.7763310}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/LeeSPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SonLPK16, author = {Jeong Woo Son and Sang{-}Yun Lee and So{-}Young Park and Sun{-}Joong Kim}, title = {Video scene segmentation based on multiview shot representation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {381--383}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763501}, doi = {10.1109/ICTC.2016.7763501}, timestamp = {Fri, 27 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SonLPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiP16, author = {Seong{-}Min Choi and Joon{-}Sang Park}, title = {Performance of secure network coding based on homomorphic encryption}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {670--672}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537118}, doi = {10.1109/ICUFN.2016.7537118}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimJJPC16, author = {Jooyoung Kim and Myungin Ji and Ju{-}Il Jeon and Sangjoon Park and Youngsu Cho}, title = {{K-NN} based positioning performance estimation for fingerprinting localization}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {468--470}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537073}, doi = {10.1109/ICUFN.2016.7537073}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/KimJJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/LeeSYPH16, author = {Hyo{-}Haeng Lee and Eun{-}Joo Seo and Ji{-}Su Yang and Sang{-}Min Park and Kwang{-}Seok Hong}, title = {Video-based Bio-Signal Measurements for a Mobile Healthcare System}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {92:1--92:5}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857640}, doi = {10.1145/2857546.2857640}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/LeeSYPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iser/JungKKKNKSYCLP16, author = {Jaesug Jung and Jeeseop Kim and Sanghyun Kim and Woon Yong Kwon and Sang Hoon Na and Kyung Su Kim and Gil Joon Suh and Byeong Wook Yoo and Jin Woo Choi and Jung Chan Lee and Jaeheung Park}, editor = {Dana Kulic and Yoshihiko Nakamura and Oussama Khatib and Gentiane Venture}, title = {Application of Robot Manipulator for Cardiopulmonary Resuscitation}, booktitle = {International Symposium on Experimental Robotics, {ISER} 2016, Tokyo, Japan, October 3-6, 2016}, series = {Springer Proceedings in Advanced Robotics}, volume = {1}, pages = {266--274}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-50115-4\_24}, doi = {10.1007/978-3-319-50115-4\_24}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iser/JungKKKNKSYCLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iser/JungKKKNKSYCLP16a, author = {Jaesug Jung and Jeeseop Kim and Sanghyun Kim and Woon Yong Kwon and Sang Hoon Na and Kyung Su Kim and Gil Joon Suh and Byeong Wook Yoo and Jin Woo Choi and Jung Chan Lee and Jaeheung Park}, editor = {Dana Kulic and Yoshihiko Nakamura and Oussama Khatib and Gentiane Venture}, title = {Erratum to: Application of Robot Manipulator for Cardiopulmonary Resuscitation}, booktitle = {International Symposium on Experimental Robotics, {ISER} 2016, Tokyo, Japan, October 3-6, 2016}, series = {Springer Proceedings in Advanced Robotics}, volume = {1}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-50115-4\_74}, doi = {10.1007/978-3-319-50115-4\_74}, timestamp = {Tue, 06 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iser/JungKKKNKSYCLP16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HwangLLPJKSJKR16, author = {Jong Tae Hwang and Dong Su Lee and Jong Hoon Lee and Sung Min Park and Ki Woong Jin and Min Jung Ko and Hyun Ick Shin and Sang Oh Jeon and Dae Ho Kim and Joon Rhee}, title = {21.8 An all-in-one (Qi, {PMA} and {A4WP)} 2.5W fully integrated wireless battery charger {IC} for wearable applications}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {378--380}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418065}, doi = {10.1109/ISSCC.2016.7418065}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HwangLLPJKSJKR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnYOOSPSJSRYJ16, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Kyung{-}Woo Nam and Seouk{-}Kyu Choi and Jaewook Lee and Uksong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Chi{-}Wook Kim and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.2 {A} 1.2V 20nm 307GB/s {HBM} {DRAM} with at-speed wafer-level {I/O} test scheme and adaptive refresh considering temperature distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {316--317}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418034}, doi = {10.1109/ISSCC.2016.7418034}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnYOOSPSJSRYJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimPKYHL16, author = {Seong Joong Kim and Chang Soon Park and Youngkyu Kim and Seok{-}Ju Yun and Young{-}Jun Hong and Sang{-}Gug Lee}, title = {A 2.4GHz ternary sequence spread spectrum {OOK} transceiver with harmonic spur suppression and dual-mode detection architecture for {ULP} wearable devices}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573483}, doi = {10.1109/VLSIC.2016.7573483}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/KimPKYHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/KimCCAP16, author = {Jung{-}Bum Kim and Soo{-}Ryum Choi and Joon{-}Hyun Choi and Sang{-}Jun Ahn and Chan{-}Min Park}, editor = {Dieter Kranzlm{\"{u}}ller and Gudrun Klinker}, title = {Temporal antialiasing for head mounted displays in virtual reality}, booktitle = {Proceedings of the 22nd {ACM} Conference on Virtual Reality Software and Technology, {VRST} 2016, Munich, Germany, 2-4 November, 2016}, pages = {359--360}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2993369.2996298}, doi = {10.1145/2993369.2996298}, timestamp = {Tue, 06 Nov 2018 16:59:04 +0100}, biburl = {https://dblp.org/rec/conf/vrst/KimCCAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GhimireJLP16, author = {Deepak Ghimire and SungHwan Jeong and Joonwhoan Lee and Sang Hyun Park}, title = {Facial expression recognition based on local region specific features and support vector machines}, journal = {CoRR}, volume = {abs/1604.04337}, year = {2016}, url = {http://arxiv.org/abs/1604.04337}, eprinttype = {arXiv}, eprint = {1604.04337}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/GhimireJLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LeeJMPSLI15, author = {Hui Sun Lee and Sunhwan Jo and Srayanta Mukherjee and Sang{-}Jun Park and Jeffrey Skolnick and Jooyoung Lee and Wonpil Im}, title = {GS-align for glycan structure alignment and similarity measurement}, journal = {Bioinform.}, volume = {31}, number = {16}, pages = {2653--2659}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btv202}, doi = {10.1093/BIOINFORMATICS/BTV202}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/LeeJMPSLI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/NgoCPKPK15, author = {Viet{-}Duc Ngo and Sun{-}Ok Chung and Sang{-}Un Park and Sun{-}Ju Kim and Jong{-}Tae Park and Yong{-}Joo Kim}, title = {Determination of the sample number for optical reflectance measurement of vegetable leaf}, journal = {Comput. Electron. Agric.}, volume = {112}, pages = {110--115}, year = {2015}, url = {https://doi.org/10.1016/j.compag.2015.01.004}, doi = {10.1016/J.COMPAG.2015.01.004}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/NgoCPKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/NamKJYPC15, author = {Youngwoo Nam and Sang{-}Hyun Koh and Sung{-}Jae Jeon and Ho{-}Joong Youn and Young{-}Seuk Park and Won Il Choi}, title = {Hazard rating of coastal pine forests for a black pine bast scale using self-organizing map {(SOM)} and random forest approaches}, journal = {Ecol. Informatics}, volume = {29}, pages = {206--213}, year = {2015}, url = {https://doi.org/10.1016/j.ecoinf.2014.11.001}, doi = {10.1016/J.ECOINF.2014.11.001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/NamKJYPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JooPB15, author = {Yongsoo Joo and Sangsoo Park and Hyokyung Bahn}, title = {Application Prefetcher Design Using both {I/O} Reordering and {I/O} Interleaving}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {98-D}, number = {12}, pages = {2317--2321}, year = {2015}, url = {https://doi.org/10.1587/transinf.2015EDL8125}, doi = {10.1587/TRANSINF.2015EDL8125}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JooPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsn/LeeKJKICPLK15, author = {Ki Young Lee and Aleum Kim and Ye Eun Jeon and Jeong{-}Joon Kim and Yong Soon Im and Gyoo{-}Seok Choi and Sang{-}Bong Park and Yun Sik Lim and Jeong Jin Kang}, title = {Spatio-temporal {XACML:} the expansion of {XACML} for access control}, journal = {Int. J. Secur. Networks}, volume = {10}, number = {1}, pages = {56--63}, year = {2015}, url = {https://doi.org/10.1504/IJSN.2015.068412}, doi = {10.1504/IJSN.2015.068412}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsn/LeeKJKICPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ChenLPB15, author = {Chao Chen and Kyogu Lee and Joon{-}Sang Park and Seung Jun Baek}, title = {Minimum Cost Data Aggregation for Wireless Sensor Networks Computing Functions of Sensed Data}, journal = {J. Sensors}, volume = {2015}, pages = {506909:1--506909:17}, year = {2015}, url = {https://doi.org/10.1155/2015/506909}, doi = {10.1155/2015/506909}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ChenLPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15, author = {Ki{-}Tae Park and Sangwan Nam and Dae{-}Han Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Doo{-}Hyun Kim and Minsu Kim and Hyun Wook Park and Sang{-}Won Shim and Kyung{-}Min Kang and Sang{-}Won Park and Kangbin Lee and Hyun{-}Jun Yoon and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dae{-}Seok Byeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Jeong{-}Hyuk Choi and Kinam Kim}, title = {Three-Dimensional 128 Gb {MLC} Vertical nand Flash Memory With 24-WL Stacked Layers and 50 MB/s High-Speed Programming}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {204--213}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2352293}, doi = {10.1109/JSSC.2014.2352293}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongLKSPKHJLCSY15, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Namkyu Jang and Jaemo Yang and Haekang Jung and Joohwan Cho and Hyeongon Kim and Jinkook Kim}, title = {A 1.1 {V} 2y-nm 4.35 Gb/s/pin 8 Gb {LPDDR4} Mobile Device With Bandwidth Improvement Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1945--1959}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2429588}, doi = {10.1109/JSSC.2015.2429588}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongLKSPKHJLCSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WonYHLYKLLHLPB15, author = {Hyosup Won and Taehun Yoon and Jinho Han and Joon{-}Yeong Lee and Jong{-}Hyeok Yoon and Taeho Kim and Jeong{-}Sup Lee and Sangeun Lee and Kwangseok Han and Jinhee Lee and Jinho Park and Hyeon{-}Min Bae}, title = {A 0.87 {W} Transceiver {IC} for 100 Gigabit Ethernet in 40 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {2}, pages = {399--413}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2369494}, doi = {10.1109/JSSC.2014.2369494}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WonYHLYKLLHLPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkJLL15, author = {Dong{-}Kyun Park and Eun{-}Young Jung and Sang{-}Hong Lee and Joon S. Lim}, title = {A composite gene selection for {DNA} microarray data analysis}, journal = {Multim. Tools Appl.}, volume = {74}, number = {20}, pages = {9031--9041}, year = {2015}, url = {https://doi.org/10.1007/s11042-013-1583-9}, doi = {10.1007/S11042-013-1583-9}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ParkJLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ParkJKK15, author = {Sang{-}Hong Park and Joo{-}Ho Jung and Si{-}Ho Kim and Kyung{-}Tae Kim}, title = {Efficient classification of {ISAR} images using 2d fourier transform and polar mapping}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {51}, number = {3}, pages = {1726--1736}, year = {2015}, url = {https://doi.org/10.1109/TAES.2015.140184}, doi = {10.1109/TAES.2015.140184}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/ParkJKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KwonLLHKLLYWPB15, author = {Soon{-}Won Kwon and Joon{-}Yeong Lee and Jinhee Lee and Kwangseok Han and Taeho Kim and Sangeun Lee and Jeong{-}Sup Lee and Taehun Yoon and Hyosup Won and Jinho Park and Hyeon{-}Min Bae}, title = {An Automatic Loop Gain Control Algorithm for Bang-Bang CDRs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {62-I}, number = {12}, pages = {2817--2828}, year = {2015}, url = {https://doi.org/10.1109/TCSI.2015.2495725}, doi = {10.1109/TCSI.2015.2495725}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/KwonLLHKLLYWPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeLHHP15, author = {Joong{-}Ho Lee and Hyun Jhin Lee and Sanghwa Hong and Chungyo Ha and Ji{-}Hyung Park}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {{KIST} Smart Wall' and its Media Art Application: The Scenery Series}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference Extended Abstracts on Human Factors in Computing Systems, Seoul, {CHI} 2015 Extended Abstracts, Republic of Korea, April 18 - 23, 2015}, pages = {283--286}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702613.2744695}, doi = {10.1145/2702613.2744695}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/LeeLHHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimLKKPL15, author = {Joo{-}Myoung Kim and Jae{-}Seung Lee and Sun{-}a Kim and Taeik Kim and Hojin Park and Sang{-}Gug Lee}, title = {A 72{\(\mu\)}W, 2.4GHz, 11.7{\%} tuning range, 212dBc/Hz FoM {LC-VCO} in 65nm {CMOS}}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338489}, doi = {10.1109/CICC.2015.7338489}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/KimLKKPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeHKLLYPB15, author = {Joon{-}Yeong Lee and Kwangseok Han and Taeho Kim and Sangeun Lee and Jeong{-}Sup Lee and Taehun Yoon and Jinho Park and Hyeon{-}Min Bae}, title = {A power-and-area efficient 10 {\texttimes} 10 Gb/s bootstrap transceiver in 40 nm {CMOS} for reference-less and lane-independent operation}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338372}, doi = {10.1109/CICC.2015.7338372}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeHKLLYPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YoonHJCJCLJP15, author = {Hee Nam Yoon and Su{-}Hwan Hwang and Da Woon Jung and Sangho Choi and Kwangmin Joo and Jaewon Choi and Yu{-}Jin Lee and Do{-}Un Jeong and Kwang Suk Park}, title = {Estimation of sleep posture using a patch-type accelerometer based device}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {4942--4945}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7319500}, doi = {10.1109/EMBC.2015.7319500}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/YoonHJCJCLJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/enter/HwangP15, author = {Jooyoung Hwang and Sangwon Park}, editor = {Iis Tussyadiah and Alessandro Inversini}, title = {Social Media on Smartphones for Restaurant Decision-Making Process}, booktitle = {Information and Communication Technologies in Tourism 2015, {ENTER} 2015, Proceedings of the International Conference in Lugano, Switzerland, February 3 - 6, 2015}, pages = {269--281}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-14343-9\_20}, doi = {10.1007/978-3-319-14343-9\_20}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/enter/HwangP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/ParkLLKP15, author = {Kwi Woo Park and Sangwoo Lee and Min Joon Lee and Sunwoo Kim and Chansik Park}, title = {An accelerated signal tracking module using a heterogeneous multi-GPU platform for real-time {GNSS} software receiver}, booktitle = {2015 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2015, Orlando, FL, USA, December 14-16, 2015}, pages = {1412--1416}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GlobalSIP.2015.7418431}, doi = {10.1109/GLOBALSIP.2015.7418431}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/ParkLLKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/KimKLHCPCSJLSKK15, author = {Sanghyun Kim and Mingon Kim and Jimin Lee and Soonwook Hwang and Joonbo Chae and Beomyeong Park and Hyunbum Cho and Jaehoon Sim and Jaesug Jung and Hosang Lee and Seho Shin and Minsung Kim and Nojun Kwak and Yongjin Lee and Sangkuk Lee and Myunggi Lee and Sangyup Yi and Kyong{-}Sok K. C. Chang and Jaeheung Park}, title = {Approach of Team {SNU} to the {DARPA} Robotics Challenge finals}, booktitle = {15th {IEEE-RAS} International Conference on Humanoid Robots, Humanoids 2015, Seoul, South Korea, November 3-5, 2015}, pages = {777--784}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HUMANOIDS.2015.7363458}, doi = {10.1109/HUMANOIDS.2015.7363458}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/KimKLHCPCSJLSKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimLPJKC15, author = {Yi{-}Yoen Kim and Min{-}Woo Lee and Joo{-}Yong Park and Soon{-}Ho Jung and Kyung{-}Hoon Kim and Jae Sang Cha}, title = {Design of Exhibition contents using swipe gesture recognition communication based on Kinect}, booktitle = {2015 International Conference on Information Networking, {ICOIN} 2015, Siem Reap, Cambodia, January 12-14, 2015}, pages = {346--347}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICOIN.2015.7057909}, doi = {10.1109/ICOIN.2015.7057909}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/KimLPJKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKSPLK15, author = {Sang{-}Woo Lee and Hyowon Kim and Cheonsig Sin and Chansik Park and Min Joon Lee and Sunwoo Kim}, title = {A hyperbolic distance measurement model for range-free localization in anisotropic networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1342--1346}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354811}, doi = {10.1109/ICTC.2015.7354811}, timestamp = {Sat, 02 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKSPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ShinP15, author = {Heehoon Shin and Joon{-}Sang Park}, title = {On optimizing random network coding implementation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1365--1367}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354816}, doi = {10.1109/ICTC.2015.7354816}, timestamp = {Sat, 28 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ShinP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimPHW15, author = {Jae Hun Kim and Jeong{-}Won Park and Sang{-}Hoon Hong and Joong{-}Sun Won}, title = {Interferometric analysis of quad-pol {SAR} data for observation of sea ice dynamics}, booktitle = {2015 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2015, Milan, Italy, July 26-31, 2015}, pages = {3430--3433}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IGARSS.2015.7326557}, doi = {10.1109/IGARSS.2015.7326557}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KimPHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ParkHLSKKKKJHKR15, author = {Jongwoo Park and Jungpyo Hong and Miji Lee and Dongyoon Sun and Kyung Kang and Taesung Kim and Seungwon Kim and Sujin Kwon and Changkyu Joo and Sangsu Ha and Wooyeon Kim and Jongsu Ryu and Sangwoo Pae}, title = {Contact resistance of solder bump with low cost photosensitive polyimide for high performance SoC}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112790}, doi = {10.1109/IRPS.2015.7112790}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ParkHLSKKKKJHKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ParkLMCJPKP15, author = {Jongwoo Park and Miji Lee and Kyunghwan Min and J.{-}K. Choi and Changkyu Joo and S.{-}C. Park and Hanbyul Kang and Sangwoo Pae}, title = {Reliability of fine pitch {COF:} Influence of surface morphology and CuSn intermetallic compound formation}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2015, Monterey, CA, USA, April 19-23, 2015}, pages = {4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IRPS.2015.7112791}, doi = {10.1109/IRPS.2015.7112791}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/ParkLMCJPKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLLNSKYSLRKKP15, author = {Hyun{-}Jin Kim and Jeong{-}Don Lim and Jang{-}Woo Lee and Dae{-}Hoon Na and Joon{-}Ho Shin and Chae{-}Hoon Kim and Seungwoo Yu and Ji{-}Yeon Shin and Seon{-}Kyoo Lee and Devraj Rajagopal and Sang{-}Tae Kim and Kyeong{-}Tae Kang and Jeong{-}Joon Park and Yongjin Kwon and Min{-}Jae Lee and Sunghoon Kim and Seunghoon Shin and Hyunggon Kim and Jin{-}Tae Kim and Ki{-}Sung Kim and Han{-}Sung Joo and Chanjin Park and Jae{-}Hwan Kim and Man{-}Joong Lee and Do{-}Kook Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.6 1GB/s 2Tb {NAND} flash multi-chip package with frequency-boosting interface chip}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062964}, doi = {10.1109/ISSCC.2015.7062964}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLLNSKYSLRKKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/KimPESL15, author = {Dohwan Kim and Kyung{-}Joon Park and Yongsoon Eun and Sang Hyuk Son and Chenyang Lu}, title = {When thermal control meets sensor noise: analysis of noise-induced temperature error}, booktitle = {21st {IEEE} Real-Time and Embedded Technology and Applications Symposium, Seattle, WA, USA, April 13-16, 2015}, pages = {98--107}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/RTAS.2015.7108421}, doi = {10.1109/RTAS.2015.7108421}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/KimPESL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BangKPKCKSLC15, author = {Jun{-}Suk Bang and Hyunsik Kim and Sang{-}Hui Park and Kiduk Kim and Sung{-}Won Choi and Ohjo Kwon and Choongsun Shin and Joohyung Lee and Gyu{-}Hyeong Cho}, title = {Hybrid driver {IC} for real-time {TFT} non-uniformity compensation of ultra high-definition {AMOLED} display}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {326}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231309}, doi = {10.1109/VLSIC.2015.7231309}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BangKPKCKSLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeAMKELKYCKPBB15, author = {Chang{-}Kyo Lee and Min{-}Su Ahn and Daesik Moon and Kiho Kim and Yoon{-}Joo Eom and Won{-}Young Lee and Jongmin Kim and Sanghyuk Yoon and Baekkyu Choi and Seokhong Kwon and Joon{-}Young Park and Seung{-}Jun Bae and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 6.4Gb/s/pin at sub-1V supply voltage TX-interleaving technique for mobile {DRAM} interface}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {182}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231254}, doi = {10.1109/VLSIC.2015.7231254}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeAMKELKYCKPBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YoonLHLLKWPB15, author = {Taehun Yoon and Joon{-}Yeong Lee and Kwangseok Han and Jeong{-}Sup Lee and Sangeun Lee and Taeho Kim and Hyosup Won and Jinho Park and Hyeon{-}Min Bae}, title = {A 100-GbE reverse gearbox {IC} in 40nm {CMOS} for supporting legacy 10- and 40-GbE standards}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {212}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231262}, doi = {10.1109/VLSIC.2015.7231262}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YoonLHLLKWPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bigdas/2015, editor = {Carson K. Leung and Aziz Nasridinov and Jongsup Choi and Sun Hwa Han and Joo{-}Yeoun Lee and Taeho Park and Yoo{-}Sung Kim and Young{-}Koo Lee}, title = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2837060}, doi = {10.1145/2837060}, isbn = {978-1-4503-3846-2}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdas/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/ParkBL14, author = {Joon{-}Sang Park and Seung Jun Baek and Kyogu Lee}, title = {A Highly Parallelized Decoder for Random Network Coding leveraging {GPGPU}}, journal = {Comput. J.}, volume = {57}, number = {2}, pages = {233--240}, year = {2014}, url = {https://doi.org/10.1093/comjnl/bxs173}, doi = {10.1093/COMJNL/BXS173}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/ParkBL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/KangPJB14, author = {Daeho Kang and Sangkyu Park and Changhee Joo and Saewoong Bahk}, title = {Address-free contention in wireless access networks with common control channel for throughput improvement}, journal = {Comput. Networks}, volume = {64}, pages = {112--124}, year = {2014}, url = {https://doi.org/10.1016/j.comnet.2014.02.009}, doi = {10.1016/J.COMNET.2014.02.009}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/KangPJB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ParkPYCLP14, author = {Eunjeong L. Park and Jooseoung Park and Jiwon Yang and Sungzoon Cho and Young{-}Hak Lee and Hae{-}Sang Park}, title = {Data based segmentation and summarization for sensor data in semiconductor manufacturing}, journal = {Expert Syst. Appl.}, volume = {41}, number = {6}, pages = {2619--2629}, year = {2014}, url = {https://doi.org/10.1016/j.eswa.2013.11.001}, doi = {10.1016/J.ESWA.2013.11.001}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ParkPYCLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChoiLP14, author = {Seong{-}Min Choi and Kyogu Lee and Joon{-}Sang Park}, title = {Fast Parallel Implementation for Random Network Coding on Embedded Sensor Nodes}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/974836}, doi = {10.1155/2014/974836}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChoiLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itd/ParkKKOC14, author = {Eunil Park and Sang Jib Kwon and Heetae Kim and Jay Ohm and Hyun Joon Chang}, title = {What is the Right R{\&}D Strategy for Overcoming the Difficulties of the South Korean {IT} Industry?}, journal = {Inf. Technol. Dev.}, volume = {20}, number = {4}, pages = {339--352}, year = {2014}, url = {https://doi.org/10.1080/02681102.2013.856282}, doi = {10.1080/02681102.2013.856282}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itd/ParkKKOC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/ParkHNC14, author = {Chee{-}Hyun Park and Kwang{-}Seok Hong and Sang Won Nam and Joon{-}Hyuk Chang}, title = {Biased {SNR} estimation using pilot and data symbols in {BPSK} and {QPSK} systems}, journal = {J. Commun. Networks}, volume = {16}, number = {6}, pages = {583--591}, year = {2014}, url = {https://doi.org/10.1109/JCN.2014.000104}, doi = {10.1109/JCN.2014.000104}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/ParkHNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/ChoiPL14, author = {Min{-}Kook Choi and Joonseok Park and Sang{-}Chul Lee}, title = {Event classification for vehicle navigation system by regional optical flow analysis}, journal = {Mach. Vis. Appl.}, volume = {25}, number = {3}, pages = {547--559}, year = {2014}, url = {https://doi.org/10.1007/s00138-011-0384-2}, doi = {10.1007/S00138-011-0384-2}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mva/ChoiPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JungHJLPCKLCLC14, author = {Da Jung Jung and Mun Han and Seong{-}Uk Jin and Sang{-}Heun Lee and Il{-}Yong Park and Hyun{-}Ju Cho and Tae{-}Jun Kwon and Hui Joong Lee and Jin{-}Ho Cho and Kyu{-}yup Lee and Yongmin Chang}, title = {Functional mapping of the auditory tract in rodent tinnitus model using manganese-enhanced magnetic resonance imaging}, journal = {NeuroImage}, volume = {100}, pages = {642--649}, year = {2014}, url = {https://doi.org/10.1016/j.neuroimage.2014.06.055}, doi = {10.1016/J.NEUROIMAGE.2014.06.055}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/JungHJLPCKLCLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/ParkB14, author = {Joon{-}Sang Park and Seung Jun Baek}, title = {Securing one-way hash chain based incentive mechanism for vehicular ad hoc networks}, journal = {Peer-to-Peer Netw. Appl.}, volume = {7}, number = {4}, pages = {737--742}, year = {2014}, url = {https://doi.org/10.1007/s12083-012-0178-y}, doi = {10.1007/S12083-012-0178-Y}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/ParkB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/JooRPSS14, author = {Yongsoo Joo and Junhee Ryu and Sangsoo Park and Heonshik Shin and Kang G. Shin}, title = {Rapid Prototyping and Evaluation of Intelligence Functions of Active Storage Devices}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {9}, pages = {2356--2368}, year = {2014}, url = {https://doi.org/10.1109/TC.2013.101}, doi = {10.1109/TC.2013.101}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/JooRPSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/KimP14, author = {Wooseong Kim and Joon{-}Sang Park}, title = {Cross-layer scheduling for multi-users in cognitive multi-radio mesh networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {14}, number = {11}, pages = {1034--1044}, year = {2014}, url = {https://doi.org/10.1002/wcm.2256}, doi = {10.1002/WCM.2256}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/KimP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiahaptics/ByeonCPKKK14, author = {Sang Kyu Byeon and Dong{-}Soo Choi and Won{-}Hyeong Park and Yu{-}Joon Kim and Ki{-}Uk Kyung and Sang{-}Youn Kim}, editor = {Hiroyuki Kajimoto and Hideyuki Ando and Ki{-}Uk Kyung}, title = {A Conceptual Design of a Smart Knob with Torque Feedback for Mobile Applications}, booktitle = {Haptic Interaction - Perception, Devices and Applications, AsiaHaptics 2014, Tsukuba, Japan, November 18-20}, series = {Lecture Notes in Electrical Engineering}, volume = {277}, pages = {177--179}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-4-431-55690-9\_34}, doi = {10.1007/978-4-431-55690-9\_34}, timestamp = {Sun, 25 Oct 2020 23:02:27 +0100}, biburl = {https://dblp.org/rec/conf/asiahaptics/ByeonCPKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ChoiP14, author = {Seong{-}Min Choi and Joon{-}Sang Park}, title = {Massive parallelization technique for random linear network coding}, booktitle = {International Conference on Big Data and Smart Computing, {BIGCOMP} 2014, Bangkok, Thailand, January 15-17, 2014}, pages = {296--299}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIGCOMP.2014.6741456}, doi = {10.1109/BIGCOMP.2014.6741456}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/ChoiP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ChoiSP14, author = {Seong{-}Min Choi and Heehoon Shin and Joon{-}Sang Park}, title = {Enhancing concurrency in parallel random network coding}, booktitle = {International Conference on Big Data and Smart Computing, {BIGCOMP} 2014, Bangkok, Thailand, January 15-17, 2014}, pages = {267--268}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIGCOMP.2014.6741449}, doi = {10.1109/BIGCOMP.2014.6741449}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/ChoiSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SongLKSPKHJLCSYLLLYJJCK14, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Jaemo Yang and Haekang Jung and Namkyu Jang and Joohwan Cho and Hyeongon Kim}, title = {A 1.1V 2y-nm 4.35Gb/s/pin 8Gb {LPDDR4} mobile device with bandwidth improvement techniques}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946032}, doi = {10.1109/CICC.2014.6946032}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SongLKSPKHJLCSYLLLYJJCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/YangKPK14, author = {Tae{-}Heon Yang and Yu{-}Joon Kim and Yon{-}Kyu Park and Sang{-}Youn Kim}, editor = {Malika Auvray and Christian Duriez}, title = {Design of a Miniature Integrated Haptic Device for Cutaneous, Thermal and Kinaesthetic Sensations}, booktitle = {Haptics: Neuroscience, Devices, Modeling, and Applications - 9th International Conference, EuroHaptics 2014, Versailles, France, June 24-26, 2014, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8618}, pages = {505--512}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44193-0\_63}, doi = {10.1007/978-3-662-44193-0\_63}, timestamp = {Thu, 23 Jun 2022 19:54:46 +0200}, biburl = {https://dblp.org/rec/conf/haptics/YangKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipin/JinKLPLK14, author = {Myungjun Jin and Bonhyun Koo and Sang{-}Woo Lee and Chansik Park and Min Joon Lee and Sunwoo Kim}, title = {IMU-assisted nearest neighbor selection for real-time WiFi fingerprinting positioning}, booktitle = {2014 International Conference on Indoor Positioning and Indoor Navigation, {IPIN} 2014, Busan, South Korea, October 27-30, 2014}, pages = {745--748}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IPIN.2014.7275556}, doi = {10.1109/IPIN.2014.7275556}, timestamp = {Sat, 02 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipin/JinKLPLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKJKKPJPLPCC14, author = {JungChak Ahn and Kyungho Lee and Yitae Kim and Heegeun Jeong and Bumsuk Kim and Hongki Kim and Jongeun Park and Taesub Jung and Wonje Park and Taeheon Lee and Eunkyung Park and Sangjun Choi and Gyehun Choi and Haeyong Park and Yujung Choi and Seungwook Lee and Yunkyung Kim and Y. J. Jung and Donghyuk Park and Seungjoo Nah and Youngsun Oh and Mihye Kim and Yooseung Lee and Youngwoo Chung and Ihara Hisanori and Joon{-}Hyuk Im and Daniel{-}K J Lee and Byunghyun Yim and GiDoo Lee and Heesang Kown and Sungho Choi and Jeonsook Lee and Dongyoung Jang and Youngchan Kim and Tae Chan Kim and Hiroshige Goto and Chi{-}Young Choi and Duckhyung Lee and Gab{-}soo Han}, title = {7.1 {A} 1/4-inch 8Mpixel {CMOS} image sensor with 3D backside-illuminated 1.12{\(\mu\)}m pixel with front-side deep-trench isolation and vertical transfer gate}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {124--125}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757365}, doi = {10.1109/ISSCC.2014.6757365}, timestamp = {Sun, 04 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKJKKPJPLPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSHBLLPCCCKCK14, author = {Hyun{-}Woo Lee and Junyoung Song and Sangah Hyun and Seunggeun Baek and Yuri Lim and Jungwan Lee and Minsu Park and Haerang Choi and Changkyu Choi and Jin{-}Youp Cha and Jaeil Kim and Hoon Choi and Seung{-}Wook Kwack and Yonggu Kang and Jongsam Kim and Junghoon Park and Jonghwan Kim and Jin{-}Hee Cho and Chulwoo Kim and Yunsaing Kim and Jaejin Lee and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.3 {A} 1.35V 5.0Gb/s/pin {GDDR5M} with 5.4mW standby power and an error-adaptive duty-cycle corrector}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {434--435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757502}, doi = {10.1109/ISSCC.2014.6757502}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSHBLLPCCCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKNCKKLCKCK14, author = {Ki{-}Tae Park and Jin{-}Man Han and Dae{-}Han Kim and Sangwan Nam and Kihwan Choi and Minsu Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Kyung{-}Min Kang and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Hyun Wook Park and Sang{-}Won Shim and Hyun{-}Jun Yoon and Doo{-}Hyun Kim and Sang{-}Won Park and Kangbin Lee and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jeunghwan Park and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dongkyu Youn and Won{-}Tae Kim and Taehyun Kim and Sung{-}Jun Kim and Sungwhan Seo and Hyunggon Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Moosung Kim and Myong{-}Seok Kim and Jinseon Yeon and Jae{-}hoon Jang and Han{-}Soo Kim and Woonkyung Lee and Duheon Song and Sungsoo Lee and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {19.5 Three-dimensional 128Gb {MLC} vertical {NAND} Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {334--335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757458}, doi = {10.1109/ISSCC.2014.6757458}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKNCKKLCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ParkKGL14, author = {Sang Joon Park and Jungim Kim and Jin Mo Goo and Doo{-}Hee Lee}, editor = {S{\'{e}}bastien Ourselin and Martin A. Styner}, title = {Automatic lobar segmentation for diseased lungs using an anatomy-based priority knowledge in low-dose {CT} images}, booktitle = {Medical Imaging 2014: Image Processing, San Diego, California, USA, February 16-18, 2014}, series = {{SPIE} Proceedings}, volume = {9034}, pages = {903430}, publisher = {{SPIE}}, year = {2014}, url = {https://doi.org/10.1117/12.2043353}, doi = {10.1117/12.2043353}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/ParkKGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KimKCLLLCKJPPH14, author = {Kiduk Kim and Sanghyub Kang and Yoon{-}Kyung Choi and Kyung{-}Hoon Lee and Choong{-}Hoon Lee and Jin{-}chul Lee and Michael Choi and Kyungjun Ko and Joonwoo Jung and Namgu Park and Ho{-}Jin Park and Gyoocheol Hwang}, title = {A fully-differential capacitive touch controller with input common-mode feedback for symmetric display noise cancellation}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858444}, doi = {10.1109/VLSIC.2014.6858444}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KimKCLLLCKJPPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/JooP13, author = {Yongsoo Joo and Sangsoo Park}, title = {A Hybrid {PRAM} and {STT-RAM} Cache Architecture for Extending the Lifetime of {PRAM} Caches}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {12}, number = {2}, pages = {55--58}, year = {2013}, url = {https://doi.org/10.1109/L-CA.2012.24}, doi = {10.1109/L-CA.2012.24}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/JooP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkKEJ13, author = {Juncheol Park and Dohoon Kim and Hyo Joon Eom and Sangbong Jeon}, title = {Electrostatic Solution for Broadside-Coupled Striplines in a Shield}, journal = {{IEICE} Trans. Commun.}, volume = {96-B}, number = {1}, pages = {325--328}, year = {2013}, url = {https://doi.org/10.1587/transcom.E96.B.325}, doi = {10.1587/TRANSCOM.E96.B.325}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkKEJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/ShinKPNS13, author = {Beomkyu Shin and Sang{-}Hyo Kim and Hosung Park and Jong{-}Seon No and Dong{-}Joon Shin}, title = {New stopping criteria for iterative decoding of {LDPC} codes in {H-ARQ} systems}, journal = {Int. J. Commun. Syst.}, volume = {26}, number = {11}, pages = {1475--1484}, year = {2013}, url = {https://doi.org/10.1002/dac.2325}, doi = {10.1002/DAC.2325}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/ShinKPNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoPYLJSCHKJ13, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive Multi-Pulse Program Scheme Based on Tunneling Speed Classification for Next Generation Multi-Bit/Cell {NAND} {FLASH}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {948--959}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237974}, doi = {10.1109/JSSC.2013.2237974}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoPYLJSCHKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChongJPJLHH13, author = {Sang Chul Chong and Shinho Jo and Kyung Mi Park and Eun Yeon Joo and Min{-}Joo Lee and Seung Chyul Hong and Seung Bong Hong}, title = {Interaction between the electrical stimulation of a face-selective area and the perception of face stimuli}, journal = {NeuroImage}, volume = {77}, pages = {70--76}, year = {2013}, url = {https://doi.org/10.1016/j.neuroimage.2013.01.074}, doi = {10.1016/J.NEUROIMAGE.2013.01.074}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChongJPJLHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/KimLHKLASP13, author = {Kijun Kim and Dong{-}Gyu Lee and Woo{-}Gyu Ham and Jaseong Ku and Sang{-}Hun Lee and Chang Beom Ahn and Joo{-}Hiuk Son and Hochong Park}, title = {Adaptive Compressed Sensing for the Fast Terahertz Reflection Tomography}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {17}, number = {4}, pages = {806--812}, year = {2013}, url = {https://doi.org/10.1109/JBHI.2013.2250511}, doi = {10.1109/JBHI.2013.2250511}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/KimLHKLASP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KimPA13, author = {Wooseong Kim and Joon{-}Sang Park and Sanghyun Ahn}, title = {Cross-layer optimization for wireless multihop multicast networks}, journal = {J. Supercomput.}, volume = {66}, number = {1}, pages = {21--34}, year = {2013}, url = {https://doi.org/10.1007/s11227-013-0929-4}, doi = {10.1007/S11227-013-0929-4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KimPA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/YoonLPKLPC13, author = {Jonghee W. Yoon and Jongeun Lee and Sanghyun Park and Yongjoo Kim and Jinyong Lee and Yunheung Paek and Doosan Cho}, title = {Architecture customization of on-chip reconfigurable accelerators}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {18}, number = {4}, pages = {52:1--52:22}, year = {2013}, url = {https://doi.org/10.1145/2493384}, doi = {10.1145/2493384}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/YoonLPKLPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iat/ShinSKSELPLJ13, author = {Sangjin Shin and Dong{-}Hoon Shin and Jihoon Ko and Minjae Song and Sungkwang Eom and Kyong{-}Ho Lee and Jinsung Park and Seungwon Lee and Jooyeon Jung}, title = {Augmenting Mobile Search Engine with Semantic Web and Context Awareness}, booktitle = {2013 {IEEE/WIC/ACM} International Conferences on Web Intelligence and Intelligent Agent Technology, Atlanta, Georgia, USA, 17-20 November 2013, Workshop Proceedings}, pages = {278--279}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WI-IAT.2013.196}, doi = {10.1109/WI-IAT.2013.196}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iat/ShinSKSELPLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/AhnKPY13, author = {Sanghyun Ahn and Hwan Kim and Joon{-}Sang Park and Joon Yoo}, title = {On-demand clustering mechanism for wireless sensor networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {256--261}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675353}, doi = {10.1109/ICTC.2013.6675353}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/AhnKPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJCLP13, author = {Jooyoung Kim and Myungin Ji and Youngsu Cho and Yang Koo Lee and Sangjoon Park}, title = {Performance evaluation of fingerprint based location system using dynamic collection}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {950--954}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675525}, doi = {10.1109/ICTC.2013.6675525}, timestamp = {Fri, 04 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJCLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/NguyenPCVL13, author = {Huy Hoang Nguyen and Hyuk Ro Park and Joon Seub Cha and Le Thi Khue Van and Gueesang Lee}, title = {Global optimization in discretized parameter space for predefined object segmentation}, booktitle = {The 7th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '13, Kota Kinabalu, Malaysia - January 17 - 19, 2013}, pages = {81}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2448556.2448637}, doi = {10.1145/2448556.2448637}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/NguyenPCVL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/TelikepalliKPSH13, author = {Satyanarayana Telikepalli and Sang Kyu Kim and Sung Joo Park and Madhavan Swaminathan and Youkeun Han}, title = {Managing signal and power integrity using power transmission lines and alternative signaling schemes}, booktitle = {4th {IEEE} Latin American Symposium on Circuits and Systems, {LASCAS} 2013, Cusco, Peru, February 27 - March 1, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/LASCAS.2013.6519089}, doi = {10.1109/LASCAS.2013.6519089}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lascas/TelikepalliKPSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/JiKCLP13, author = {Myungin Ji and Jooyoung Kim and Youngsu Cho and Yang Koo Lee and Sangjoon Park}, title = {A novel Wi-Fi {AP} localization method using Monte Carlo path-loss model fitting simulation}, booktitle = {24th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2013, London, United Kingdom, September 8-11, 2013}, pages = {3487--3491}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/PIMRC.2013.6666752}, doi = {10.1109/PIMRC.2013.6666752}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/JiKCLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/JeongCPKSKHP13, author = {Younsik Jeong and Seong{-}je Cho and Moonju Park and Jeonguk Ko and Hyungjoon Shim and Joonmo Kim and Sangchul Han and Minkyu Park}, editor = {Ching Y. Suen and Amir G. Aghdam and Minyi Guo and Jiman Hong and Esmaeil S. Nadimi}, title = {An efficient protection scheme of digital contents under mobile devices}, booktitle = {Research in Adaptive and Convergent Systems, RACS'13, Montreal, QC, Canada, October 1-4, 2013}, pages = {306--311}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2513228.2513270}, doi = {10.1145/2513228.2513270}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/JeongCPKSKHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/MinKKCP13, author = {Hye{-}Jin Min and Sang{-}Chae Kim and Joonyeob Kim and Jin{-}Woo Chung and Jong{-}Chan Park}, title = {Speaker-TTS voice mapping towards natural and characteristic robot storytelling}, booktitle = {{IEEE} International Symposium on Robot and Human Interactive Communication, {IEEE} {RO-MAN} 2013, Gyeongju, South Korea, August 26-29, 2013}, pages = {793--800}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ROMAN.2013.6628410}, doi = {10.1109/ROMAN.2013.6628410}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/MinKKCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sar/ChunCPPY13, author = {Joohwan Chun and Sanghyouk Choi and Inchan Paek and Dongmin Park and Kyungju Yoo}, editor = {Claudia Notarnicola and Simonetta Paloscia and Nazzareno Pierdicca}, title = {End-to-end design consideration of a radar altimeter for terrain-aided navigation}, booktitle = {{SAR} Image Analysis, Modeling, and Techniques XIII, {SPIE} Remote Sensing, Dresden, Germany, 23-26 September 2013}, series = {{SPIE} Proceedings}, volume = {8891}, pages = {889108}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2029213}, doi = {10.1117/12.2029213}, timestamp = {Fri, 05 Apr 2019 10:22:21 +0200}, biburl = {https://dblp.org/rec/conf/sar/ChunCPPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semweb/LeeLKPC13, author = {Min{-}Joong Lee and Jong{-}Ryul Lee and Sangyeon Kim and Myung{-}Jae Park and Chin{-}Wan Chung}, editor = {Eva Blomqvist and Tudor Groza}, title = {{ONTOMS2:} an Efficient and Scalable ONTOlogy Management System with an Incremental Reasoning}, booktitle = {Proceedings of the {ISWC} 2013 Posters {\&} Demonstrations Track, Sydney, Australia, October 23, 2013}, series = {{CEUR} Workshop Proceedings}, volume = {1035}, pages = {153--156}, publisher = {CEUR-WS.org}, year = {2013}, url = {https://ceur-ws.org/Vol-1035/iswc2013\_demo\_39.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:05 +0100}, biburl = {https://dblp.org/rec/conf/semweb/LeeLKPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JeongLPCPYKLL13, author = {Hwancheol Jeong and Weonjong Lee and Jeonghwan Pak and Kwang{-}jong Choi and Sang{-}Hyun Park and Jun{-}sik Yoo and Joo Hwan Kim and Joungjin Lee and Young Woo Lee}, title = {Performance of Kepler {GTX} Titan GPUs and Xeon Phi System}, journal = {CoRR}, volume = {abs/1311.0590}, year = {2013}, url = {http://arxiv.org/abs/1311.0590}, eprinttype = {arXiv}, eprint = {1311.0590}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JeongLPCPYKLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/ParkYKKL12, author = {Sangheon Park and Sunjin Yu and Joongrock Kim and Sungjin Kim and Sangyoun Lee}, title = {3D hand tracking using Kalman filter in depth space}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2012}, pages = {36}, year = {2012}, url = {https://doi.org/10.1186/1687-6180-2012-36}, doi = {10.1186/1687-6180-2012-36}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/ParkYKKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeL12a, author = {Sang{-}Hong Lee and Joon S. Lim}, title = {Parkinson's disease classification using gait characteristics and wavelet-based feature extraction}, journal = {Expert Syst. Appl.}, volume = {39}, number = {8}, pages = {7338--7344}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2012.01.084}, doi = {10.1016/J.ESWA.2012.01.084}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeL12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimPJYPCCL12, author = {Min Joung Kim and Joon Min Park and Sang Mo Je and Je Sung You and Yoo Seok Park and Hyun Soo Chung and Sung Phil Chung and Hahn Shick Lee}, title = {Effects of a short text message reminder system on emergency department length of stay}, journal = {Int. J. Medical Informatics}, volume = {81}, number = {5}, pages = {296--302}, year = {2012}, url = {https://doi.org/10.1016/j.ijmedinf.2012.01.001}, doi = {10.1016/J.IJMEDINF.2012.01.001}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimPJYPCCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeePC12, author = {Sang Hoon Lee and Byung Joon Park and Lynn Choi}, title = {Transient Coordinator: a Collision Resolution Algorithm for Asynchronous {MAC} Protocols in Wireless Sensor Networks}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {6}, number = {12}, pages = {3152--3165}, year = {2012}, url = {https://doi.org/10.3837/tiis.2012.12.007}, doi = {10.3837/TIIS.2012.12.007}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeePC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iwc/BaeLPCPK12, author = {Sangwon Bae and Haein Lee and Hyejin Park and Hanju Cho and Joonah Park and Jinwoo Kim}, title = {The effects of egocentric and allocentric representations on presence and perceived realism: Tested in stereoscopic 3D games}, journal = {Interact. Comput.}, volume = {24}, number = {4}, pages = {251--264}, year = {2012}, url = {https://doi.org/10.1016/j.intcom.2012.04.009}, doi = {10.1016/J.INTCOM.2012.04.009}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iwc/BaeLPCPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/JooRPS12, author = {Yongsoo Joo and Junhee Ryu and Sangsoo Park and Kang G. Shin}, title = {Improving Application Launch Performance on Solid State Drives}, journal = {J. Comput. Sci. Technol.}, volume = {27}, number = {4}, pages = {727--743}, year = {2012}, url = {https://doi.org/10.1007/s11390-012-1259-3}, doi = {10.1007/S11390-012-1259-3}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/JooRPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/YunJKKLCKPS12, author = {Sangseok Yun and Bongjin Jun and Daijin Kim and Jaewoong Kim and Sukhan Lee and Mun{-}Taek Choi and Munsang Kim and Joong{-}Tae Park and Jae{-}Bok Song}, title = {Proactive Human Search for the Designated Person with Prior Context Information in an Undiscovered Environments}, journal = {J. Intell. Robotic Syst.}, volume = {67}, number = {2}, pages = {79--99}, year = {2012}, url = {https://doi.org/10.1007/s10846-011-9641-x}, doi = {10.1007/S10846-011-9641-X}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/YunJKKLCKPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes} 128 I/Os Using {TSV} Based Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {107--116}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164731}, doi = {10.1109/JSSC.2011.2164731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/misqe/ParkEL12, author = {Sang{-}Hyun Park and Jaekyung Eo and Joosung J. Lee}, title = {Assessing and Managing an Organization's Green {IT} Maturity}, journal = {{MIS} Q. Executive}, volume = {11}, number = {3}, pages = {3}, year = {2012}, url = {https://aisel.aisnet.org/misqe/vol11/iss3/3}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/misqe/ParkEL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KwonPPCL12, author = {Yong{-}Il Kwon and Sang{-}Gyu Park and Ta{-}Joon Park and Koon{-}Shik Cho and Hai{-}Young Lee}, title = {An Ultra Low-Power {CMOS} Transceiver Using Various Low-Power Techniques for {LR-WPAN} Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {59-I}, number = {2}, pages = {324--336}, year = {2012}, url = {https://doi.org/10.1109/TCSI.2011.2162463}, doi = {10.1109/TCSI.2011.2162463}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KwonPPCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeePGL12, author = {Suk{-}Bok Lee and Joon{-}Sang Park and Mario Gerla and Songwu Lu}, title = {Secure Incentives for Commercial Ad Dissemination in Vehicular Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {61}, number = {6}, pages = {2715--2728}, year = {2012}, url = {https://doi.org/10.1109/TVT.2012.2197031}, doi = {10.1109/TVT.2012.2197031}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeePGL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ChoiPLL12, author = {Hyun{-}Joo Choi and Seokhwan Park and Sang{-}Rim Lee and Inkyu Lee}, title = {Distributed Beamforming Techniques for Weighted Sum-Rate Maximization in {MISO} Interfering Broadcast Channels}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {11}, number = {4}, pages = {1314--1320}, year = {2012}, url = {https://doi.org/10.1109/TWC.2012.021412.111551}, doi = {10.1109/TWC.2012.021412.111551}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ChoiPLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/HwangKP12, author = {Duckdong Hwang and Sang Joon Kim and Chang Soon Park}, title = {Channel Aligned Beamforming in Two-Way Multi-Pair Decode-and-Forward Relay Down-Link Channels}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {1}, number = {5}, pages = {464--467}, year = {2012}, url = {https://doi.org/10.1109/WCL.2012.070312.120343}, doi = {10.1109/WCL.2012.070312.120343}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/HwangKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csedu/KimKPL12, author = {Sanghoon Kim and Joonkyo Kim and Jaehyun Park and Seung{-}Gol Lee}, editor = {Markus Helfert and Maria Jo{\~{a}}o Martins and Jos{\'{e}} Cordeiro}, title = {Bi-directional Education System based on Position Pattern Technology}, booktitle = {{CSEDU} 2012 - Proceedings of the 4th International Conference on Computer Supported Education, Volume 1, Porto, Portugal, 16-18 April, 2012}, pages = {212--216}, publisher = {SciTePress}, year = {2012}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csedu/KimKPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkHKC12, author = {Sangbok Park and Joosung Hwang and Youngwoo Kwon and Changyul Cheon}, title = {A new antenna system for microwave non-invasive hyperthermia lipolysis}, booktitle = {Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2012, San Diego, CA, USA, August 28 - September 1, 2012}, pages = {5683--5686}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/EMBC.2012.6347285}, doi = {10.1109/EMBC.2012.6347285}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ParkHKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChaPLLCLL12, author = {Sanghyun Cha and Deukhee Park and Yuenjoong Lee and Changseok Lee and Joongho Choi and Jaeshin Lee and Hyobum Lee}, title = {{AC/DC} converter free {LED} driver for lightings}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {706--708}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCE.2012.6162049}, doi = {10.1109/ICCE.2012.6162049}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ChaPLLCLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeePKKLH12, author = {Junghoon Lee and Gyung{-}Leen Park and Min{-}Jae Kang and Ho{-}Young Kwak and Sang Joon Lee and Jikwang Han}, editor = {Beniamino Murgante and Osvaldo Gervasi and Sanjay Misra and Nadia Nedjah and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan}, title = {Middleware Integration for Ubiquitous Sensor Networks in Agriculture}, booktitle = {Computational Science and Its Applications - {ICCSA} 2012 - 12th International Conference, Salvador de Bahia, Brazil, June 18-21, 2012, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {7335}, pages = {217--226}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31137-6\_16}, doi = {10.1007/978-3-642-31137-6\_16}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeePKKLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkPPB12, author = {Sangyong Park and Sungmoon Park and Joonhong Park and Donghyun Baek}, title = {Design of 13.56 MHz {ASK} transmitter for near field communication using a {DLL} architecture}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {1760--1762}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271604}, doi = {10.1109/ISCAS.2012.6271604}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkPPB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/BabuSSK12, author = {G. Sateesh Babu and Suresh Sundaram and K. Uma Sangumathi and Hyoung Joong Kim}, editor = {Jun Wang and Gary G. Yen and Marios M. Polycarpou}, title = {A Projection Based Learning Meta-cognitive {RBF} Network Classifier for Effective Diagnosis of Parkinson's Disease}, booktitle = {Advances in Neural Networks - {ISNN} 2012 - 9th International Symposium on Neural Networks, Shenyang, China, July 11-14, 2012. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7368}, pages = {611--620}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31362-2\_67}, doi = {10.1007/978-3-642-31362-2\_67}, timestamp = {Thu, 30 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isnn/BabuSSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KangJHPKKHH12, author = {Minkyu Kang and Hoon Jang and Sunjae Hwang and Soeun Park and Sanghwa Kim and Hosoon Ko and Changhun Han and Joon Hwang}, title = {Pixel design and photodiode process technology for image sensor applications}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {320--323}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407105}, doi = {10.1109/ISOCC.2012.6407105}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KangJHPKKHH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaePRKJNSYCCKYKJCYLCO12, author = {Yong{-}Cheol Bae and Joon{-}Young Park and Sang Jae Rhee and Seung Bum Ko and Yonggwon Jeong and Kwang{-}Sook Noh and Young Hoon Son and Jaeyoun Youn and Yonggyu Chu and Hyunyoon Cho and Mijo Kim and Daesik Yim and Hyo{-}Chang Kim and Sang{-}Hoon Jung and Hye{-}In Choi and Sungmin Yim and Jung{-}Bae Lee and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 1.6Gb/s/pin 4Gb {LPDDR3} {SDRAM} with input skew calibration and enhanced control scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {44--46}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176871}, doi = {10.1109/ISSCC.2012.6176871}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaePRKJNSYCCKYKJCYLCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiSPCCCKOKSSRLKLKKKLWCAHLKJLLYJ12, author = {Youngdon Choi and Ickhyun Song and Mu{-}Hui Park and Hoeju Chung and Sanghoan Chang and Beakhyoung Cho and Jinyoung Kim and Younghoon Oh and Dukmin Kwon and Jung Sunwoo and Junho Shin and Yoohwan Rho and Changsoo Lee and Min Gu Kang and Jaeyun Lee and Yongjin Kwon and Soehee Kim and Jaewhan Kim and Yong{-}jun Lee and Qi Wang and Sooho Cha and Sujin Ahn and Hideki Horii and Jaewook Lee and KiSeung Kim and Han{-}Sung Joo and KwangJin Lee and Yeong{-}Taek Lee and Jei{-}Hwan Yoo and Gitae Jeong}, title = {A 20nm 1.8V 8Gb {PRAM} with 40MB/s program bandwidth}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {46--48}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176872}, doi = {10.1109/ISSCC.2012.6176872}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiSPCCCKOKSSRLKLKKKLWCAHLKJLLYJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimBCBCPALCLKKCNPSJHL12, author = {Kiduk Kim and San{-}Ho Byun and Yoon{-}Kyung Choi and Jong{-}Hak Baek and Hwa{-}Hyun Cho and Jong Kang Park and Hae{-}Yong Ahn and Chang{-}Ju Lee and Min{-}Soo Cho and Joo{-}Hyeon Lee and Sang{-}Woo Kim and Hyung{-}Dal Kwon and Yong{-}Yeob Choi and Hosuk Na and Junchul Park and Yeon{-}Joong Shin and Kyungsuk Jang and Gyoocheol Hwang and Myunghee Lee}, title = {A capacitive touch controller robust to display noise for ultrathin touch screen displays}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {116--117}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176943}, doi = {10.1109/ISSCC.2012.6176943}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimBCBCPALCLKKCNPSJHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mass/ChenTYPGS12, author = {Chien{-}Chia Chen and Guruprasad Tahasildar and Yu{-}Ting Yu and Joon{-}Sang Park and Mario Gerla and M. Y. Sanadidi}, title = {CodeMP: Network coded multipath to support {TCP} in disruptive MANETs}, booktitle = {9th {IEEE} International Conference on Mobile Ad-Hoc and Sensor Systems, {MASS} 2012, Las Vegas, NV, USA, October 8-11, 2012}, pages = {209--217}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/MASS.2012.6502519}, doi = {10.1109/MASS.2012.6502519}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mass/ChenTYPGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoPYLJSCHKJ12, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive multi-pulse program scheme based on tunneling speed classification for next generation multi-bit/cell {NAND} {FLASH}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {136--137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243827}, doi = {10.1109/VLSIC.2012.6243827}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoPYLJSCHKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChoiPLL12, author = {Hyun{-}Joo Choi and Seokhwan Park and Sang{-}Rim Lee and Inkyu Lee}, title = {Distributed Precoding Techniques for Weighted Sum Rate Maximization in {MIMO} Interfering Broadcast Channels}, booktitle = {Proceedings of the 76th {IEEE} Vehicular Technology Conference, {VTC} Fall 2012, Quebec City, QC, Canada, September 3-6, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VTCFall.2012.6399062}, doi = {10.1109/VTCFALL.2012.6399062}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChoiPLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/ParkSLK11, author = {Joong{-}Tae Park and Jae{-}Bok Song and Se{-}Jin Lee and Munsang Kim}, title = {Sonar Sensor-Based Efficient Exploration Method Using Sonar Salient Features and Several Gains}, journal = {J. Intell. Robotic Syst.}, volume = {63}, number = {3-4}, pages = {465--480}, year = {2011}, url = {https://doi.org/10.1007/s10846-010-9499-3}, doi = {10.1007/S10846-010-9499-3}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/ParkSLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/LeeKLPH11, author = {Daewook Lee and Joonho Kwon and Sangjun Lee and Seog Park and Bonghee Hong}, title = {Scalable and efficient web services composition based on a relational database}, journal = {J. Syst. Softw.}, volume = {84}, number = {12}, pages = {2139--2155}, year = {2011}, url = {https://doi.org/10.1016/j.jss.2011.05.068}, doi = {10.1016/J.JSS.2011.05.068}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/LeeKLPH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLALPCJYCYJJKKJNHSCKCJPYK11, author = {Changhyuk Lee and Sok{-}Kyu Lee and Sunghoon Ahn and Jinhaeng Lee and Wonsun Park and Yongdeok Cho and Chaekyu Jang and Chulwoo Yang and Sanghwa Chung and In{-}Suk Yun and Byoungin Joo and Byoungkwan Jeong and Jeeyul Kim and Jeakwan Kwon and Hyunjong Jin and Yujong Noh and Jooyun Ha and Moonsoo Sung and Daeil Choi and Sanghwan Kim and Jeawon Choi and Taeho Jeon and Heejoung Park and Joong{-}Seob Yang and Yo{-}Hwan Koh}, title = {A 32-Gb {MLC} {NAND} Flash Memory With Vth Endurance Enhancing Schemes in 32 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {97--106}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2084450}, doi = {10.1109/JSSC.2010.2084450}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLALPCJYCYJJKKJNHSCKCJPYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ11, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7 Gb/s/pin 1 Gbit {GDDR5} {SDRAM} With 2.5 ns Bank to Bank Active Time and No Bank Group Restriction}, journal = {{IEEE} J. Solid State Circuits}, volume = {46}, number = {1}, pages = {107--118}, year = {2011}, url = {https://doi.org/10.1109/JSSC.2010.2085991}, doi = {10.1109/JSSC.2010.2085991}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/KimLMPH11, author = {Kangnyeon Kim and Sang{-}Won Lee and Bongki Moon and Chanik Park and Joo Young Hwang}, title = {{IPL-P:} In-Page Logging with {PCRAM}}, journal = {Proc. {VLDB} Endow.}, volume = {4}, number = {12}, pages = {1363--1366}, year = {2011}, url = {http://www.vldb.org/pvldb/vol4/p1363-kim.pdf}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/KimLMPH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/BaekPLSMPL11, author = {Dong{-}Hyun Baek and Ji Soo Park and Eun{-}Joong Lee and Su Jung Shin and Jin{-}Hee Moon and James Jungho Pak and Sang{-}Hoon Lee}, title = {Interconnection of Multichannel Polyimide Electrodes Using Anisotropic Conductive Films (ACFs) for Biomedical Applications}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {5}, pages = {1466--1473}, year = {2011}, url = {https://doi.org/10.1109/TBME.2010.2102020}, doi = {10.1109/TBME.2010.2102020}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/BaekPLSMPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LeeLYPCKN11, author = {Sang Heun Lee and Jaebok Lee and Young Joong Yoon and Sangbok Park and Changyul Cheon and Kihyun Kim and Sangwook Nam}, title = {A Wideband Spiral Antenna for Ingestible Capsule Endoscope Systems: Experimental Results in a Human Phantom and a Pig}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {6}, pages = {1734--1741}, year = {2011}, url = {https://doi.org/10.1109/TBME.2011.2112659}, doi = {10.1109/TBME.2011.2112659}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LeeLYPCKN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/YoonPC11, author = {Joonhee Yoon and Sung{-}Kwon Park and Sangho Choe}, title = {Implementation of {EIGMP} for fast {IPTV} channel change in {GEPON}}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {2}, pages = {484--491}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.5955183}, doi = {10.1109/TCE.2011.5955183}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/YoonPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SongLPHLKLBLL11, author = {Taejoong Song and Sang Min Lee and Jongmin Park and Joonhoi Hur and Michael Lee and Kihong Kim and Chang{-}Ho Lee and Franklin Bien and Kyutae Lim and Joy Laskar}, title = {Low-Power Technique for SRAM-Based On-Chip Arbitrary-Waveform Generator}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {60}, number = {4}, pages = {1187--1196}, year = {2011}, url = {https://doi.org/10.1109/TIM.2010.2084610}, doi = {10.1109/TIM.2010.2084610}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SongLPHLKLBLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LimKPL11, author = {Seung{-}Hwan Lim and Sang{-}Wook Kim and Sunju Park and Joon Ho Lee}, title = {Determining Content Power Users in a Blog Network: An Approach and Its Applications}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {41}, number = {5}, pages = {853--862}, year = {2011}, url = {https://doi.org/10.1109/TSMCA.2011.2157130}, doi = {10.1109/TSMCA.2011.2157130}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/LimKPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/LeePKKL11, author = {Junghoon Lee and Gyung{-}Leen Park and Min{-}Jae Kang and Ho{-}Young Kwak and Sang Joon Lee}, editor = {Ngoc Thanh Nguyen and Chonggun Kim and Adam Janiak}, title = {Design of a Power Scheduler Based on the Heuristic for Preemptive Appliances}, booktitle = {Intelligent Information and Database Systems - Third International Conference, {ACIIDS} 2011, Daegu, Korea, April 20-22, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6591}, pages = {396--405}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20039-7\_40}, doi = {10.1007/978-3-642-20039-7\_40}, timestamp = {Thu, 16 Mar 2023 20:00:28 +0100}, biburl = {https://dblp.org/rec/conf/aciids/LeePKKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/assets/YangPMHNJHN11, author = {Rayoung Yang and Sangmi Park and Sonali R. Mishra and Zhenan Hong and Clint Newsom and Hyeon Joo and Erik C. Hofer and Mark W. Newman}, editor = {Kathleen F. McCoy and Yeliz Yesilada}, title = {Supporting spatial awareness and independent wayfinding for pedestrians with visual impairments}, booktitle = {The 13th International {ACM} {SIGACCESS} Conference on Computers and Accessibility, {ASSETS} '11, Dundee, Scotland, UK, October 24-26, 2011}, pages = {27--34}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2049536.2049544}, doi = {10.1145/2049536.2049544}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/assets/YangPMHNJHN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/Kim0P11, author = {Jongryool Kim and JongWon Kim and Joon{-}Sang Park}, title = {Reducing transmission latency of real-time resilient video distribution by employing cumulative random linear coding}, booktitle = {2011 {IEEE} Consumer Communications and Networking Conference, {CCNC} 2011, Las Vegas, NV, 9-12 January, 2011}, pages = {535--536}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CCNC.2011.5766535}, doi = {10.1109/CCNC.2011.5766535}, timestamp = {Mon, 15 Jun 2020 13:19:39 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/Kim0P11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YoonLJPKPC11, author = {Jonghee W. Yoon and Jongeun Lee and Jaewan Jung and Sanghyun Park and Yongjoo Kim and Yunheung Paek and Doosan Cho}, title = {I\({}^{\mbox{2}}\)CRF: Incremental interconnect customization for embedded reconfigurable fabrics}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {1346--1351}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763217}, doi = {10.1109/DATE.2011.5763217}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YoonLJPKPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/JooRPS11, author = {Yongsoo Joo and Junhee Ryu and Sangsoo Park and Kang G. Shin}, editor = {Gregory R. Ganger and John Wilkes}, title = {{FAST:} Quick Application Launch on Solid-State Drives}, booktitle = {9th {USENIX} Conference on File and Storage Technologies, San Jose, CA, USA, February 15-17, 2011}, pages = {259--272}, publisher = {{USENIX}}, year = {2011}, url = {http://www.usenix.org/events/fast11/tech/techAbstracts.html\#Joo}, timestamp = {Wed, 04 Jul 2018 13:06:35 +0200}, biburl = {https://dblp.org/rec/conf/fast/JooRPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccci/LeePKKKLL11, author = {Junghoon Lee and Gyung{-}Leen Park and Hye{-}Jin Kim and Cheolmin Kim and Ho{-}Young Kwak and Sang Joon Lee and Seongjun Lee}, editor = {Piotr Jedrzejowicz and Ngoc Thanh Nguyen and Kiem Hoang}, title = {Intelligent Management Message Routing in Ubiquitous Sensor Networks}, booktitle = {Computational Collective Intelligence. Technologies and Applications - Third International Conference, {ICCCI} 2011, Gdynia, Poland, September 21-23, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6922}, pages = {537--545}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23935-9\_53}, doi = {10.1007/978-3-642-23935-9\_53}, timestamp = {Thu, 16 Mar 2023 20:00:30 +0100}, biburl = {https://dblp.org/rec/conf/iccci/LeePKKKLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ParkKLKGR11, author = {Sang Phill Park and Soo Youn Kim and Dongsoo Lee and Jae{-}Joon Kim and W. Paul Griffin and Kaushik Roy}, editor = {Naehyuck Chang and Hiroshi Nakamura and Koji Inoue and Kenichi Osada and Massimo Poncino}, title = {Column-selection-enabled 8T {SRAM} array with {\textasciitilde}1R/1W multi-port operation for DVFS-enabled processors}, booktitle = {Proceedings of the 2011 International Symposium on Low Power Electronics and Design, 2011, Fukuoka, Japan, August 1-3, 2011}, pages = {303--308}, publisher = {{IEEE/ACM}}, year = {2011}, url = {http://portal.acm.org/citation.cfm?id=2016871\&CFID=34981777\&CFTOKEN=25607807}, timestamp = {Wed, 27 Jul 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ParkKLKGR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismir/JooPJY11, author = {Sihyun Joo and Sanghun Park and Seokhwan Jo and Chang D. Yoo}, editor = {Anssi Klapuri and Colby Leider}, title = {Melody Extraction based on Harmonic Coded Structure}, booktitle = {Proceedings of the 12th International Society for Music Information Retrieval Conference, {ISMIR} 2011, Miami, Florida, USA, October 24-28, 2011}, pages = {227--232}, publisher = {University of Miami}, year = {2011}, url = {http://ismir2011.ismir.net/papers/PS2-10.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismir/JooPJY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimKLPJK11, author = {YongHwan Kim and Minseok Kang and Kyoung{-}Hwan Lim and Sangdo Park and Deokjin Joo and Taewhan Kim}, title = {Clock design techniques considering circuit reliability}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {142--145}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138667}, doi = {10.1109/ISOCC.2011.6138667}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimKLPJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and Si{-}Hong Kim and Yun{-}Seok Yang and Dae{-}Hyun Kim and Sang{-}Hyup Kwak and Ho{-}Seok Seol and Chang{-}Ho Shin and Min{-}Sang Park and Gong{-}Heom Han and Byeong{-}Cheol Kim and Yong{-}Ki Cho and Hye{-}Ran Kim and Su{-}Yeon Doo and Young{-}Sik Kim and Dong{-}Seok Kang and Young{-}Ryeol Choi and Sam{-}Young Bang and Sun{-}Young Park and Yong{-}Jae Shin and Gil{-}Shin Moon and Cheol{-}Goo Park and Woo{-}Seop Kim and Hyang{-}Ja Yang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 40nm 2Gb 7Gb/s/pin {GDDR5} {SDRAM} with a programmable {DQ} ordering crosstalk equalizer and adjustable clock-tracking {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {498--500}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746414}, doi = {10.1109/ISSCC.2011.5746414}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11, author = {Hoeju Chung and Byung{-}Hoon Jeong and ByungJun Min and Youngdon Choi and Beak{-}Hyung Cho and Junho Shin and Jinyoung Kim and Jung Sunwoo and Joon{-}min Park and Qi Wang and Yong{-}jun Lee and Sooho Cha and Dukmin Kwon and Sang{-}Tae Kim and Sunghoon Kim and Yoohwan Rho and Mu{-}Hui Park and Jaewhan Kim and Ickhyun Song and Sunghyun Jun and Jaewook Lee and KiSeung Kim and Ki{-}won Lim and Won{-}ryul Chung and ChangHan Choi and HoGeun Cho and Inchul Shin and Woochul Jun and Seokwon Hwang and Ki{-}Whan Song and KwangJin Lee and Sang{-}whan Chang and Woo{-}Yeong Cho and Jei{-}Hwan Yoo and Young{-}Hyun Jun}, title = {A 58nm 1.8V 1Gb {PRAM} with 6.4MB/s program {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {500--502}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746415}, doi = {10.1109/ISSCC.2011.5746415}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChungJMCCSKSPWLCKKKRPKSJLKLCCCSJHSLCCYJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Kyehyun Kyung and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2V 12.8GB/s 2Gb mobile Wide-I/O {DRAM} with 4{\texttimes}128 I/Os using TSV-based stacking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {496--498}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746413}, doi = {10.1109/ISSCC.2011.5746413}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11, author = {Ki{-}Tae Park and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and In{-}Mo Kim and Bo{-}Geun Kim and Min{-}Seok Kim and Yoon{-}Hee Choi and Seung{-}Hwan Shin and Youngson Song and Joo{-}Yong Park and Jae{-}Eun Lee and Chang{-}Gyu Eun and Ho{-}Chul Lee and Hyeong{-}Jun Kim and Jun{-}Hee Lee and Jong{-}Young Kim and Tae{-}Min Kweon and Hyun{-}Jun Yoon and Taehyun Kim and Dong{-}Kyo Shim and Jongsun Sel and Ji{-}Yeon Shin and Pansuk Kwak and Jin{-}Man Han and Keon{-}Soo Kim and Sungsoo Lee and Youngho Lim and Tae{-}Sung Jung}, title = {A 7MB/s 64Gb 3-bit/cell {DDR} {NAND} flash memory in 20nm-node technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {212--213}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746287}, doi = {10.1109/ISSCC.2011.5746287}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKYCKKKCSSPLELKLKKYKSSSKHKLLJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/ChenCPOGS11, author = {Chien{-}Chia Chen and Clifford Chen and Joon{-}Sang Park and Soon{-}Young Oh and Mario Gerla and M. Y. Sanadidi}, title = {Multiple network coded {TCP} sessions in disruptive wireless scenarios}, booktitle = {{MILCOM} 2011 - 2011 {IEEE} Military Communications Conference, Baltimore, MD, USA, November 7-10, 2011}, pages = {754--759}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/MILCOM.2011.6127767}, doi = {10.1109/MILCOM.2011.6127767}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/milcom/ChenCPOGS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/RheeHCPL11, author = {Eun Joo Rhee and Seiheui Han and Junyeong Choi and Jong{-}Il Park and Sang Hwa Lee}, editor = {Zhi{-}Qiang Liu and Joaquim A. Jorge and Zhigeng Pan and Xiaopeng Zhang and Oscar Kin{-}Chung Au and Weiming Dong}, title = {An interface between users and virtual objects using two hands}, booktitle = {Proceedings of the 10th International Conference on Virtual Reality Continuum and its Applications in Industry, {VRCAI} 2011, Hong Kong, China, December 11-12, 2011}, pages = {441--442}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2087756.2087840}, doi = {10.1145/2087756.2087840}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vrcai/RheeHCPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/KimLLJPKKK10, author = {Seongwoon Kim and Inseong Lee and Kiho Lee and Seungki Jung and Joonah Park and Yeun{-}Bae Kim and Sang Ryong Kim and Jinwoo Kim}, title = {Mobile Web 2.0 with multi-display buttons}, journal = {Commun. {ACM}}, volume = {53}, number = {1}, pages = {136--141}, year = {2010}, url = {https://doi.org/10.1145/1629175.1629208}, doi = {10.1145/1629175.1629208}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cacm/KimLLJPKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/LeeMPHK10, author = {Sang{-}Won Lee and Bongki Moon and Chanik Park and Joo Young Hwang and Kangnyeon Kim}, title = {Accelerating In-Page Logging with Non-Volatile Memory}, journal = {{IEEE} Data Eng. Bull.}, volume = {33}, number = {4}, pages = {41--47}, year = {2010}, url = {http://sites.computer.org/debull/A10dec/LeeMoon.pdf}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/debu/LeeMPHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ShinHLP10, author = {Hyunjung Shin and N. Jeremy Hill and Andreas Martin Lisewski and Joon{-}Sang Park}, title = {Graph sharpening}, journal = {Expert Syst. Appl.}, volume = {37}, number = {12}, pages = {7870--7879}, year = {2010}, url = {https://doi.org/10.1016/j.eswa.2010.04.050}, doi = {10.1016/J.ESWA.2010.04.050}, timestamp = {Tue, 06 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ShinHLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/LeeKPYY10, author = {Chulung Lee and Hyoung Joong Kim and Jong Hyuk Park and Sang{-}Soo Yeo and Jaesoo Yang}, title = {A Pervasive Secret Sharing Scheme For Embedded Visual Communication System}, journal = {Intell. Autom. Soft Comput.}, volume = {16}, number = {3}, pages = {433--447}, year = {2010}, url = {https://doi.org/10.1080/10798587.2010.10643091}, doi = {10.1080/10798587.2010.10643091}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/LeeKPYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisa/ParkLG10, author = {Joon{-}Sang Park and Uichin Lee and Mario Gerla}, title = {Vehicular communications: emergency video streams and network coding}, journal = {J. Internet Serv. Appl.}, volume = {1}, number = {1}, pages = {57--68}, year = {2010}, url = {https://doi.org/10.1007/s13174-010-0006-7}, doi = {10.1007/S13174-010-0006-7}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisa/ParkLG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/WooYMLPKCWC10, author = {Sang Hyo Woo and Ki Won Yoon and Yeon Kwan Moon and J. H. Lee and Hee Joon Park and T. W. Kim and H. C. Choi and Chul{-}Ho Won and Jin{-}Ho Cho}, title = {High Speed Receiver for Capsule Endoscope}, journal = {J. Medical Syst.}, volume = {34}, number = {5}, pages = {843--847}, year = {2010}, url = {https://doi.org/10.1007/s10916-009-9298-1}, doi = {10.1007/S10916-009-9298-1}, timestamp = {Tue, 08 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/WooYMLPKCWC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangPR10, author = {Ik Joon Chang and Sang Phill Park and Kaushik Roy}, title = {Exploring Asynchronous Design Techniques for Process-Tolerant and Energy-Efficient Subthreshold Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {2}, pages = {401--410}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2036764}, doi = {10.1109/JSSC.2009.2036764}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangPR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimPNKCJLPCK10, author = {Ho Chul Kim and Hyung Joo Park and Kyoung Won Nam and Soo Min Kim and Eun Jeong Choi and Seungoh Jin and Jae{-}Jo Lee and Sang Woo Park and Hyuk Choi and Min Gi Kim}, title = {Fully automatic initialization method for quantitative assessment of chest-wall deformity in funnel chest patients}, journal = {Medical Biol. Eng. Comput.}, volume = {48}, number = {6}, pages = {589--595}, year = {2010}, url = {https://doi.org/10.1007/s11517-010-0612-3}, doi = {10.1007/S11517-010-0612-3}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimPNKCJLPCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/ChaePCL10, author = {Heung Seok Chae and Jaegeol Park and Jian Feng Cui and Joon{-}Sang Lee}, title = {An adaptive load balancing management technique for {RFID} middleware systems}, journal = {Softw. Pract. Exp.}, volume = {40}, number = {6}, pages = {485--506}, year = {2010}, url = {https://doi.org/10.1002/spe.967}, doi = {10.1002/SPE.967}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/ChaePCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/SeoKPL10, author = {Euiseong Seo and Sangwon Kim and Seon{-}Yeong Park and Joonwon Lee}, title = {Dynamic alteration schemes of real-time schedules for {I/O} device energy efficiency}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {10}, number = {2}, pages = {23:1--23:32}, year = {2010}, url = {https://doi.org/10.1145/1880050.1880059}, doi = {10.1145/1880050.1880059}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/SeoKPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KwonSP10, author = {Oh{-}Heum Kwon and Ha{-}Joo Song and Sangjoon Park}, title = {Anchor-Free Localization through Flip-Error-Resistant Map Stitching in Wireless Sensor Network}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {21}, number = {11}, pages = {1644--1657}, year = {2010}, url = {https://doi.org/10.1109/TPDS.2010.42}, doi = {10.1109/TPDS.2010.42}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KwonSP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ParkPR10, author = {Karam Park and Joon{-}Sang Park and Won Woo Ro}, title = {On Improving Parallelized Network Coding with Dynamic Partitioning}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {21}, number = {11}, pages = {1547--1560}, year = {2010}, url = {https://doi.org/10.1109/TPDS.2010.40}, doi = {10.1109/TPDS.2010.40}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ParkPR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/LeeLPG10, author = {Uichin Lee and Jiyeon Lee and Joon{-}Sang Park and Mario Gerla}, title = {FleaNet: {A} Virtual Market Place on Vehicular Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {59}, number = {1}, pages = {344--355}, year = {2010}, url = {https://doi.org/10.1109/TVT.2009.2030892}, doi = {10.1109/TVT.2009.2030892}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/LeeLPG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acn/LeePKLK10, author = {Junghoon Lee and Gyung{-}Leen Park and Ho{-}Young Kwak and Sang Joon Lee and Mikyung Kang}, editor = {Chin{-}Chen Chang and Thanos Vasilakos and Purnendu Das and Tai{-}Hoon Kim and Byeong{-}Ho Kang and Muhammad Khurram Khan}, title = {Design of a Reliable Wireless Switch for the Intersection Area on Vehicular Telematics Networks}, booktitle = {Advanced Communication and Networking - Second International Conference, {ACN} 2010, Miyazaki, Japan, June 23-25, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {77}, pages = {1--8}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13405-0\_1}, doi = {10.1007/978-3-642-13405-0\_1}, timestamp = {Tue, 12 Jul 2022 20:02:39 +0200}, biburl = {https://dblp.org/rec/conf/acn/LeePKLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/JeonHPKK10, author = {Jun{-}Ho Jeon and Chang{-}Gi Hong and Sung{-}Joon Park and Changhwa Kim and Sangkyung Kim}, title = {Robot Control Using an Underwater Acoustic Modem}, booktitle = {{IEEE/IFIP} 8th International Conference on Embedded and Ubiquitous Computing, {EUC} 2010, Hong Kong, China, 11-13 December 2010}, pages = {331--336}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/EUC.2010.55}, doi = {10.1109/EUC.2010.55}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/JeonHPKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkSKLC10, author = {Yun{-}Sik Park and Ji{-}Hyun Song and Sang{-}Ick Kang and Woojung Lee and Joon{-}Hyuk Chang}, title = {A statistical model-based double-talk detection incorporating soft decision}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2010, 14-19 March 2010, Sheraton Dallas Hotel, Dallas, Texas, {USA}}, pages = {5082--5085}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICASSP.2010.5495051}, doi = {10.1109/ICASSP.2010.5495051}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkSKLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeePHSL10, author = {Junghoon Lee and Gyung{-}Leen Park and Youngshin Hong and In{-}Hye Shin and Sang Joon Lee}, editor = {David Taniar and Osvaldo Gervasi and Beniamino Murgante and Eric Pardede and Bernady O. Apduhan}, title = {Performance Measurement of the Hybrid Prefetch Scheme on Vehicular Telematics Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2010, International Conference, Fukuoka, Japan, March 23-26, 2010, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {6018}, pages = {52--62}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12179-1\_6}, doi = {10.1007/978-3-642-12179-1\_6}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeePHSL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SongLPKC10, author = {Ji{-}Hyun Song and Kyu{-}Ho Lee and Yun{-}Sik Park and Sang{-}Ick Kang and Joon{-}Hyuk Chang}, editor = {Takao Kobayashi and Keikichi Hirose and Satoshi Nakamura}, title = {On using Gaussian mixture model for double-talk detection in acoustic echo suppression}, booktitle = {11th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2010, Makuhari, Chiba, Japan, September 26-30, 2010}, pages = {2778--2781}, publisher = {{ISCA}}, year = {2010}, url = {https://doi.org/10.21437/Interspeech.2010-735}, doi = {10.21437/INTERSPEECH.2010-735}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SongLPKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLPN10, author = {Jaejun Lee and Sungho Lee and Joontae Park and Sangwook Nam}, title = {Architecture of a multi-slot main memory system for 3.2 Gbps operation}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3857--3860}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537702}, doi = {10.1109/ISCAS.2010.5537702}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLPN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JooCCOPKJ10, author = {Sanghoon Joo and Wu{-}Hsin Chen and Tae{-}Young Choi and Mi{-}Kyung Oh and Joo{-}Ho Park and Jae{-}Young Kim and Byunghoo Jung}, title = {A fully integrated 802.15.4a {IR-UWB} Transceiver in 0.13{\(\mathrm{\mu}\)}m {CMOS} with digital {RRC} synthesis}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {228--229}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433957}, doi = {10.1109/ISSCC.2010.5433957}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JooCCOPKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLALPCJYCYJJKKJNHSCKCJYK10, author = {Changhyuk Lee and Sok{-}Kyu Lee and Sunghoon Ahn and Jinhaeng Lee and Wonsun Park and Yongdeok Cho and Chaekyu Jang and Chulwoo Yang and Sanghwa Chung and In{-}Suk Yun and Byoungin Joo and Byoungkwan Jeong and Jeeyul Kim and Jeakwan Kwon and Hyunjong Jin and Yujong Noh and Jooyun Ha and Moonsoo Sung and Daeil Choi and Sanghwan Kim and Jeawon Choi and Taeho Jeon and Joong{-}Seob Yang and Yo{-}Hwan Koh}, title = {A 32Gb {MLC} NAND-flash memory with Vth-endurance-enhancing schemes in 32nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {446--447}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433932}, doi = {10.1109/ISSCC.2010.5433932}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLALPCJYCYJJKKJNHSCKCJYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ10, author = {Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Min{-}Sang Park and Ji{-}Hoon Lim and Yong{-}Ki Cho and Dae{-}Hyun Kim and Dong{-}Min Kim and Hye{-}Ran Kim and Hyun{-}Joong Kim and Jin{-}Hyun Kim and Jin{-}Kook Kim and Young{-}Sik Kim and Byeong{-}Cheol Kim and Sang{-}Hyup Kwak and Jae{-}Hyung Lee and Jae{-}Young Lee and Chang{-}Ho Shin and Yun{-}Seok Yang and Beom{-}Sig Cho and Sam{-}Young Bang and Hyang{-}Ja Yang and Young{-}Ryeol Choi and Gil{-}Shin Moon and Cheol{-}Goo Park and Seokwon Hwang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 7Gb/s/pin {GDDR5} {SDRAM} with 2.5ns bank-to-bank active time and no bank-group restriction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {434--435}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433889}, doi = {10.1109/ISSCC.2010.5433889}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhSBPLCKKKKKKKKKLLSYCBYCMPHLPCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/SonPPGK10, author = {Wooram Son and Sang Joon Park and Chang Min Park and Jin Mo Goo and Jong Hyo Kim}, editor = {Nico Karssemeijer and Ronald M. Summers}, title = {Automated volumetric segmentation method for computerizeddiagnosis of pure nodular ground-glass opacity in high-resolution {CT}}, booktitle = {Medical Imaging 2010: Computer-Aided Diagnosis, San Diego, California, United States, 13-18 February 2010}, series = {{SPIE} Proceedings}, volume = {7624}, pages = {76241P}, publisher = {{SPIE}}, year = {2010}, url = {https://doi.org/10.1117/12.844108}, doi = {10.1117/12.844108}, timestamp = {Mon, 14 May 2018 09:17:40 +0200}, biburl = {https://dblp.org/rec/conf/micad/SonPPGK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ParkKYMY10, author = {JoonSeok Park and Jeonghan Kim and Sanghyun Yun and Mikyeong Moon and Keunhyuk Yeom}, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {An approach to developing reusable domain services for service oriented applications}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {2252--2256}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088.1774559}, doi = {10.1145/1774088.1774559}, timestamp = {Sun, 02 Jun 2019 21:18:37 +0200}, biburl = {https://dblp.org/rec/conf/sac/ParkKYMY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/MoonLPL10, author = {Sungup Moon and Sang{-}Phil Lim and Dong{-}Joo Park and Sang{-}Won Lee}, editor = {Sang Lyul Min and Robert G. Pettit IV and Peter P. Puschner and Theo Ungerer}, title = {Crash Recovery in {FAST} {FTL}}, booktitle = {Software Technologies for Embedded and Ubiquitous Systems - 8th {IFIP} {WG} 10.2 International Workshop, {SEUS} 2010, Waidhofen/Ybbs, Austria, October 13-15, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6399}, pages = {13--22}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16256-5\_4}, doi = {10.1007/978-3-642-16256-5\_4}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/seus/MoonLPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socialcom/GoYPH10, author = {Geonhyeok Go and Joonhyuk Yang and Hyunwoo Park and Steve SangKi Han}, editor = {Ahmed K. Elmagarmid and Divyakant Agrawal}, title = {Using Online Media Sharing Behavior as Implicit Feedback for Collaborative Filtering}, booktitle = {Proceedings of the 2010 {IEEE} Second International Conference on Social Computing, SocialCom / {IEEE} International Conference on Privacy, Security, Risk and Trust, {PASSAT} 2010, Minneapolis, Minnesota, USA, August 20-22, 2010}, pages = {439--445}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/SocialCom.2010.70}, doi = {10.1109/SOCIALCOM.2010.70}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socialcom/GoYPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sucoms/SongPSPLBHLCKKK10, author = {Sang Hoon Song and Kyoung Un Park and Junghan Song and Hyeon Young Paik and Chi Woo Lee and Su mi Bang and Joon Seok Hong and Hyun Joo Lee and InSook Cho and Jeong Ah Kim and Hyun Young Kim and Yoon Kim}, editor = {Tai{-}Hoon Kim and Adrian Stoica and Ruay{-}Shiung Chang}, title = {Alerting of Laboratory Critical Values}, booktitle = {Security-Enriched Urban Computing and Smart Grid - First International Conference, SUComS 2010, Daejeon, Korea, September 15-17, 2010. Proceedings}, series = {Communications in Computer and Information Science}, volume = {78}, pages = {524--531}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-16444-6\_65}, doi = {10.1007/978-3-642-16444-6\_65}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sucoms/SongPSPLBHLCKKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/KwakPMG10, author = {Jaewook Kwak and Joon{-}Sang Park and Jeonghoon Mo and Mario Gerla}, title = {An Optimization Framework for Opportunistic Receiver Scheduling in Wireless Multi-Hop Networks}, booktitle = {2010 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2010, Proceedings, Sydney, Australia, 18-21 April 2010}, pages = {1--6}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/WCNC.2010.5506281}, doi = {10.1109/WCNC.2010.5506281}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/KwakPMG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SimHPL09, author = {Semin Sim and Seung{-}Jae Han and Joon{-}Sang Park and Seong{-}Choon Lee}, title = {Seamless {IP} mobility support for flat architecture mobile WiMAX networks}, journal = {{IEEE} Commun. Mag.}, volume = {47}, number = {6}, pages = {142--148}, year = {2009}, url = {https://doi.org/10.1109/MCOM.2009.5116811}, doi = {10.1109/MCOM.2009.5116811}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/SimHPL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/KangPY09, author = {Dong{-}Joo Kang and Jong Hyuk Park and Sang{-}Soo Yeo}, title = {Intelligent Decision-Making System with Green Pervasive Computing for Renewable Energy Business in Electricity Markets on Smart Grid}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2009}, year = {2009}, url = {https://doi.org/10.1155/2009/247483}, doi = {10.1155/2009/247483}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/KangPY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ParkJY09, author = {Hae{-}Sang Park and Chi{-}Hyuck Jun and Joo{-}Yeon Yoo}, title = {Classifying genes according to predefined patterns by controlling false discovery rate}, journal = {Expert Syst. Appl.}, volume = {36}, number = {9}, pages = {11753--11759}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2009.04.029}, doi = {10.1016/J.ESWA.2009.04.029}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ParkJY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ParkCSG09, author = {Joon{-}Sang Park and Sung{-}woo Cho and M. Y. Sanadidi and Mario Gerla}, title = {An analytical framework for neighbor discovery strategies in ad hoc networks with sectorized antennas}, journal = {{IEEE} Commun. Lett.}, volume = {13}, number = {11}, pages = {832--834}, year = {2009}, url = {https://doi.org/10.1109/LCOMM.2009.091117}, doi = {10.1109/LCOMM.2009.091117}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ParkCSG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkWMKC09, author = {Hee Joon Park and Sang Hyo Woo and Zia Mohy{-}Ud{-}Din and Myoung Nam Kim and Jin{-}Ho Cho}, title = {Design and implementation of wireless transcutaneous electrical nerve stimulator {(TENS)} for smart phone}, journal = {{IEICE} Electron. Express}, volume = {6}, number = {22}, pages = {1587--1594}, year = {2009}, url = {https://doi.org/10.1587/elex.6.1587}, doi = {10.1587/ELEX.6.1587}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkWMKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiPC09, author = {Jae{-}Hun Choi and Woo{-}Sang Park and Joon{-}Hyuk Chang}, title = {Speech Reinforcement Based on Soft Decision under Far-End Noise Environments}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {92-A}, number = {8}, pages = {2116--2119}, year = {2009}, url = {https://doi.org/10.1587/transfun.E92.A.2116}, doi = {10.1587/TRANSFUN.E92.A.2116}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiPC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeYP09, author = {Won Joon Lee and Jae Han Yoon and Sang Kyu Park}, title = {Closed Loop Quasi-Orthogonal {STBC} Using Code Selection with Single-Phase Feedback}, journal = {{IEICE} Trans. Commun.}, volume = {92-B}, number = {2}, pages = {650--653}, year = {2009}, url = {https://doi.org/10.1587/transcom.E92.B.650}, doi = {10.1587/TRANSCOM.E92.B.650}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeYP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ChungPPLLS09, author = {Tae{-}Sun Chung and Dong{-}Joo Park and Sangwon Park and Dong{-}Ho Lee and Sang{-}Won Lee and Ha{-}Joo Song}, title = {A survey of Flash Translation Layer}, journal = {J. Syst. Archit.}, volume = {55}, number = {5-6}, pages = {332--343}, year = {2009}, url = {https://doi.org/10.1016/j.sysarc.2009.03.005}, doi = {10.1016/J.SYSARC.2009.03.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ChungPPLLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangKP009, author = {Ik Joon Chang and Jae{-}Joon Kim and Sang Phill Park and Kaushik Roy}, title = {A 32 kb 10T Sub-Threshold {SRAM} Array With Bit-Interleaving and Differential Read Scheme in 90 nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {2}, pages = {650--658}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2011972}, doi = {10.1109/JSSC.2008.2011972}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangKP009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkSHLCKLLKL09, author = {Jongmin Park and Taejoong Song and Joonhoi Hur and Sang Min Lee and Jungki Choi and Kihong Kim and Kyutae Lim and Chang{-}Ho Lee and Haksun Kim and Joy Laskar}, title = {A Fully Integrated UHF-Band {CMOS} Receiver With Multi-Resolution Spectrum Sensing {(MRSS)} Functionality for {IEEE} 802.22 Cognitive Radio Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {1}, pages = {258--268}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2007435}, doi = {10.1109/JSSC.2008.2007435}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkSHLCKLLKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/AhnPPKP09, author = {Sanghyun Ahn and Zachary D. Patitz and Noh{-}Jin Park and Hyoung Joong Kim and Nohpill Park}, title = {A Floorprint-Based Defect Tolerance for Nano-Scale Application-Specific {IC}}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {58}, number = {5}, pages = {1283--1290}, year = {2009}, url = {https://doi.org/10.1109/TIM.2008.2009416}, doi = {10.1109/TIM.2008.2009416}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/AhnPPKP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KwonSP09, author = {Oh{-}Heum Kwon and Ha{-}Joo Song and Sangjoon Park}, title = {The Effects of Stitching Orders in Patch-and-Stitch {WSN} Localization Algorithms}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {20}, number = {9}, pages = {1380--1391}, year = {2009}, url = {https://doi.org/10.1109/TPDS.2008.226}, doi = {10.1109/TPDS.2008.226}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KwonSP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blogtalk/KimPKKH09, author = {Kanghak Kim and Hyunwoo Park and Joonseong Ko and Young{-}rin Kim and Steve SangKi Han}, editor = {John G. Breslin and Thomas N. Burg and Hong{-}Gee Kim and Tom Raftery and Jan{-}Hinrik Schmidt}, title = {Finding Elite Voters in Daum View: Using Media Credibility Measures}, booktitle = {Recent Trends and Developments in Social Software - International Conferences on Social Software, BlogTalk 2008, Cork, Ireland, March 3-4, 2008, and BlogTalk 2009, Jeju Island, South Korea, September 15-16, 2009. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6045}, pages = {38--45}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-16581-8\_4}, doi = {10.1007/978-3-642-16581-8\_4}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/blogtalk/KimPKKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/ParkPR09, author = {Karam Park and Joon{-}Sang Park and Won Woo Ro}, editor = {Nabil Abdennadher and Dana Petcu}, title = {Efficient Parallelized Network Coding for {P2P} File Sharing Applications}, booktitle = {Advances in Grid and Pervasive Computing, 4th International Conference, {GPC} 2009, Geneva, Switzerland, May 4-8, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5529}, pages = {353--363}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01671-4\_32}, doi = {10.1007/978-3-642-01671-4\_32}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/gpc/ParkPR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icapr/KimKHCP09, author = {Jae{-}il Kim and Munchurl Kim and Sangjin Hahm and In{-}joon Cho and Changsub Park}, title = {Block-Mode Classification Using SVMs for Early Termination of Block Mode Decision in H.264{\(\vert\)}MPEG-4 Part 10 {AVC}}, booktitle = {Seventh International Conference on Advances in Pattern Recognition, {ICAPR} 2009, Kolkata, India, 4-6 February 2009, Proceedings}, pages = {83--86}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICAPR.2009.65}, doi = {10.1109/ICAPR.2009.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icapr/KimKHCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChoiPKML09, author = {Jongmyung Choi and Sangjoon Park and Hoon Ko and Hyun{-}Joo Moon and Jongchan Lee}, editor = {Osvaldo Gervasi and David Taniar and Beniamino Murgante and Antonio Lagan{\`{a}} and Youngsong Mun and Marina L. Gavrilova}, title = {Issues for Applying Instant Messaging to Smart Home Systems}, booktitle = {Computational Science and Its Applications - {ICCSA} 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {5592}, pages = {649--661}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02454-2\_48}, doi = {10.1007/978-3-642-02454-2\_48}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChoiPKML09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/ParkMYY09, author = {JoonSeok Park and Mikyeong Moon and Sanghyun Yun and Keunhyuk Yeom}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak and Tae Nam Ahn and Chung{-}Huang Yang}, title = {An approach to enhancing reusabilities in service development}, booktitle = {Proceedings of the 2009 International Conference on Hybrid Information Technology, {ICHIT} 2009, Daejeon, Korea, August 27-29, 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {321}, pages = {143--150}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1644993.1645019}, doi = {10.1145/1644993.1645019}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichit/ParkMYY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/JeonPLLKJ09, author = {Gwanggil Jeon and Sang{-}Jun Park and Joohyun Lee and Rokkyu Lee and Seungjong Kim and Jechang Jeong}, title = {Enhancement of interlaced images by fuzzy reasoning approach}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {3117--3120}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5414448}, doi = {10.1109/ICIP.2009.5414448}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/JeonPLLKJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeePLP09, author = {Jinhee Lee and Younguk Park and Sangkeun Lee and Joon Ki Paik}, title = {Statistical region selection for robust image stabilization using feature-histogram}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {1553--1556}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5414541}, doi = {10.1109/ICIP.2009.5414541}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/LeePLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkLSYLLKH09, author = {Sang Hyun Park and Soochahn Lee and Hackjoon Shim and Il Dong Yun and Sang Uk Lee and Kyoung Ho Lee and Heung Sik Kang and Joon Koo Han}, title = {Fully automatic 3-D segmentation of knee bone compartments by iterative local branch-and-mincut on {MR} images from osteoarthritis initiative {(OAI)}}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {3381--3384}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5413874}, doi = {10.1109/ICIP.2009.5413874}, timestamp = {Sun, 19 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ParkLSYLLKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/KimLKPK09, author = {Joo{-}Sung Kim and Sung{-}Gyu Lee and Sang{-}Young Kim and Jaehyun Park and Yoo{-}Sung Kim}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede and Ismail Khalil}, title = {A rapid {QAR} data acquisition and visualization system for aircraft engine maintenances}, booktitle = {iiWAS'2009 - The Eleventh International Conference on Information Integration and Web-based Applications and Services, 14-16 December 2009, Kuala Lumpur, Malaysia}, pages = {669--673}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1806338.1806465}, doi = {10.1145/1806338.1806465}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiwas/KimLKPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JeongLLKLHKLKLPSLYKKAK09, author = {Bong Hwa Jeong and Jongwon Lee and Yin Jae Lee and Tae Jin Kang and Joo Hyeon Lee and Duck Hwa Hong and Jae Hoon Kim and Eun Ryeong Lee and Min Chang Kim and Kyung Ha Lee and Sang Il Park and Jong Ho Son and Sang Kwon Lee and Seong Nyuh Yoo and Sung Mook Kim and Tae Woo Kwon and Jin{-}Hong Ahn and Yong Tak Kim}, title = {A 1.35V 4.3GB/s 1Gb {LPDDR2} {DRAM} with controllable repeater and on-the-fly power-cut scheme for low-power and high-speed mobile application}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {132--133}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977343}, doi = {10.1109/ISSCC.2009.4977343}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JeongLLKLHKLKLPSLYKKAK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09, author = {Hyun{-}Woo Lee and Won{-}Joo Yun and Young{-}Kyoung Choi and Hyang{-}Hwa Choi and Jong{-}Jin Lee and Ki{-}Han Kim and Shin{-}Deok Kang and Ji{-}Yeon Yang and Jae{-}Suck Kang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Byong{-}Tae Chung}, title = {A 1.6V 3.3Gb/s {GDDR3} {DRAM} with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {140--141}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977347}, doi = {10.1109/ISSCC.2009.4977347}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/PackYHP09, author = {Sangheon Pack and Joosang Youn and Yong{-}Geun Hong and Jung{-}Soo Park}, editor = {Mohsen Guizani and Peter M{\"{u}}ller and Klaus{-}Peter F{\"{a}}hnrich and Athanasios V. Vasilakos and Yan Zhang and Jun Zhang}, title = {p-persistent frame replication for resilient services in multi-radio wireless networks}, booktitle = {Proceedings of the International Conference on Wireless Communications and Mobile Computing: Connecting the World Wirelessly, {IWCMC} 2009, Leipzig, Germany, June 21-24, 2009}, pages = {1258--1262}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1582379.1582655}, doi = {10.1145/1582379.1582655}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwcmc/PackYHP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/LimKPL09, author = {Seung{-}Hwan Lim and Sang{-}Wook Kim and Sunju Park and Joon Ho Lee}, editor = {C. Lee Giles and Prasenjit Mitra and Igor Perisic and John Yen and Haizheng Zhang}, title = {Determining content power users in a blog network}, booktitle = {Proceedings of the 3rd Workshop on Social Network Mining and Analysis, {SNAKDD} 2009, Paris, France, June 28, 2009}, pages = {5}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1731011.1731016}, doi = {10.1145/1731011.1731016}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/LimKPL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/KimSPLL09, author = {Namkug Kim and Joon Beom Seo and Sang Ok Park and Youngjoo Lee and Jeongjin Lee}, editor = {Nico Karssemeijer and Maryellen L. Giger}, title = {A computer-aided differential diagnosis between {UIP} and {NSIP} using automated assessment of the extent and distribution of regional disease patterns at {HRCT:} comparison with the radiologist's decision}, booktitle = {Medical Imaging 2009: Computer-Aided Diagnosis, Lake Buena Vista (Orlando Area), Florida, United States, 7-12 February 2009}, series = {{SPIE} Proceedings}, volume = {7260}, pages = {72601E}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.811359}, doi = {10.1117/12.811359}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/KimSPLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeKSPLK09, author = {Youngjoo Lee and Namkug Kim and Joon Beom Seo and Sang Ok Park and Young Kyung Lee and Suk{-}Ho Kang}, editor = {Nico Karssemeijer and Maryellen L. Giger}, title = {Improvement of computational efficiency using a cascade classification scheme for the classification of diffuse infiltrative lung disease on {HRCT}}, booktitle = {Medical Imaging 2009: Computer-Aided Diagnosis, Lake Buena Vista (Orlando Area), Florida, United States, 7-12 February 2009}, series = {{SPIE} Proceedings}, volume = {7260}, pages = {72603A}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.811439}, doi = {10.1117/12.811439}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/LeeKSPLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LeeSKPLSK09, author = {Jeongjin Lee and Joon Beom Seo and Namkug Kim and Sang Ok Park and Ho Lee and Yeong{-}Gil Shin and Soo{-}Hong Kim}, editor = {Josien P. W. Pluim and Benoit M. Dawant}, title = {Novel level-set based segmentation method of the lung at {HRCT} images of diffuse interstitial lung disease {(DILD)}}, booktitle = {Medical Imaging 2009: Image Processing, Lake Buena Vista (Orlando Area), Florida, United States, 7-12 February 2009}, series = {{SPIE} Proceedings}, volume = {7259}, pages = {725941}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.811327}, doi = {10.1117/12.811327}, timestamp = {Fri, 25 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/LeeSKPLSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robocomm/JooLP09, author = {Sanghyun Joo and Jihong Lee and Joongki Park}, editor = {Ramesh Govindan and Kasper St{\o}y}, title = {Performance enhancement for outdoor cooperative localization considering of error correlation between {GPS} data}, booktitle = {2nd International {ICST} Conference on Robot Communication and Coordination, {ROBOCOMM} 2009, Odense, Denmark, March 31 - April 2, 2009}, pages = {1--6}, publisher = {{ICST/IEEE}}, year = {2009}, url = {https://doi.org/10.4108/ICST.ROBOCOMM2009.5837}, doi = {10.4108/ICST.ROBOCOMM2009.5837}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robocomm/JooLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/services/SongPKLYL09, author = {Joobong Song and Keun Ryang Park and Sanghyun Kwon and Jihwan Lee and Myung Hwan Yun and Joo Hwan Lee}, title = {The Development of Human-System Interactivity Metrics for Ubiquitous Service Applying User-Centered Design Methodology}, booktitle = {2009 {IEEE} Congress on Services, Part I, {SERVICES} {I} 2009, Los Angeles, CA, USA, July 6-10, 2009}, pages = {9--13}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SERVICES-I.2009.89}, doi = {10.1109/SERVICES-I.2009.89}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/services/SongPKLYL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/ChoKHLPKKK09, author = {Sangwoo Cho and Jeonghun Ku and Kiwan Han and Hyeongrae Lee and Jinsick Park and Youn Joo Kang and In{-}Young Kim and Sun I. Kim}, title = {Effect of Proprioception Training of patient with Hemiplegia by Manipulating Visual Feedback using Virtual Reality: The Preliminary results}, booktitle = {{IEEE} Virtual Reality Conference 2009 {(VR} 2009), 14-18 March 2009, Lafayette, Louisiana, USA, Proceedings}, pages = {283--284}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/VR.2009.4811056}, doi = {10.1109/VR.2009.4811056}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/ChoKHLPKKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ParkLL09, author = {So{-}Young Park and Sang{-}Ho Lee and Joohan Lee}, title = {Multiple and Unlinkable Public Key Encryption without Certificates}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {143}, year = {2009}, url = {http://eprint.iacr.org/2009/143}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ParkLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/ParkH08, author = {Joon{-}Sang Park and Seung{-}Jae Han}, title = {Load balancing for video streaming services in hierarchical wireless networks}, journal = {Comput. Networks}, volume = {52}, number = {1}, pages = {259--274}, year = {2008}, url = {https://doi.org/10.1016/j.comnet.2007.09.001}, doi = {10.1016/J.COMNET.2007.09.001}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/ParkH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/YiKGP08, author = {Yunjung Yi and Jiejun Kong and Mario Gerla and Joon{-}Sang Park}, title = {{CORA:} Collaborative Opportunistic Recovery Algorithm for loss controlled, delay bounded ad hoc multicast}, journal = {Comput. Commun.}, volume = {31}, number = {15}, pages = {3672--3682}, year = {2008}, url = {https://doi.org/10.1016/j.comcom.2008.06.023}, doi = {10.1016/J.COMCOM.2008.06.023}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/YiKGP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/RoYPP08, author = {Won Woo Ro and Jaeyoung Yi and Joon{-}Sang Park and Joonseok Park}, title = {Simultaneous thin-thread processors for low-power embedded systems}, journal = {{IEICE} Electron. Express}, volume = {5}, number = {19}, pages = {802--808}, year = {2008}, url = {https://doi.org/10.1587/elex.5.802}, doi = {10.1587/ELEX.5.802}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/RoYPP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeLYP08, author = {Won Joon Lee and Jaeyoon Lee and Dongweon Yoon and Sang Kyu Park}, title = {Improved Subcarrier Allocation in Multi-User {OFDM} Systems}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {12}, pages = {4030--4033}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.12.4030}, doi = {10.1093/IETCOM/E91-B.12.4030}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeLYP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkLOGLRP08, author = {Joon{-}Sang Park and Uichin Lee and Soon{-}Young Oh and Mario Gerla and Desmond S. Lun and Won Woo Ro and Joonseok Park}, title = {Delay Analysis of Car-to-Car Reliable Data Delivery Strategies Based on Data Mulling with Network Coding}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {91-D}, number = {10}, pages = {2524--2527}, year = {2008}, url = {https://doi.org/10.1093/ietisy/e91-d.10.2524}, doi = {10.1093/IETISY/E91-D.10.2524}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkLOGLRP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LeePLRPG08, author = {Uichin Lee and Joon{-}Sang Park and Seung{-}Hoon Lee and Won Woo Ro and Giovanni Pau and Mario Gerla}, title = {Efficient peer-to-peer file sharing using network coding in {MANET}}, journal = {J. Commun. Networks}, volume = {10}, number = {4}, pages = {422--429}, year = {2008}, url = {https://doi.org/10.1109/JCN.2008.6389858}, doi = {10.1109/JCN.2008.6389858}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LeePLRPG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/OhPG08, author = {Soon{-}Young Oh and Joon{-}Sang Park and Mario Gerla}, title = {{E-ODMRP:} Enhanced {ODMRP} with motion adaptive refresh}, journal = {J. Parallel Distributed Comput.}, volume = {68}, number = {8}, pages = {1044--1053}, year = {2008}, url = {https://doi.org/10.1016/j.jpdc.2008.04.004}, doi = {10.1016/J.JPDC.2008.04.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/OhPG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BaePISLKKPPLBMH08, author = {Seung{-}Jun Bae and Kwang{-}Il Park and Jeong{-}Don Ihm and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Yoon{-}Sik Park and Min{-}Sang Park and Hong{-}Kyong Lee and Sam{-}Young Bang and Gil{-}Shin Moon and Seokwon Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Sunghoon Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim and Soo{-}In Cho}, title = {An 80 nm 4 Gb/s/pin 32 bit 512 Mb {GDDR4} Graphics {DRAM} With Low Power and Low Noise Data Bus Inversion}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {121--131}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.908002}, doi = {10.1109/JSSC.2007.908002}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/BaePISLKKPPLBMH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangKHKPKKPJBJJ08, author = {Yong Hoon Kang and Jin{-}Kook Kim and Sang Won Hwang and Joon Young Kwak and Jun{-}Yong Park and Daeyong Kim and Chan Ho Kim and Jong{-}Yeol Park and Yong{-}Taek Jeong and Jong Nam Baek and Su Chang Jeon and Pyungmoon Jang and Sang Hoon Lee and You{-}Sang Lee and Min{-}Seok Kim and Jin{-}Yub Lee and Yun Ho Choi}, title = {High-Voltage Analog System for a Mobile {NAND} Flash}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {2}, pages = {507--517}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.914327}, doi = {10.1109/JSSC.2007.914327}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangKHKPKKPJBJJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCCKCOLKPWPRC08, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Yong{-}Jin Yoon and Kwang{-}Suk Yu and Gi{-}Tae Jeong and Hong{-}Sik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90 nm 1.8 {V} 512 Mb Diode-Switch {PRAM} With 266 MB/s Read Throughput}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {150--162}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.908001}, doi = {10.1109/JSSC.2007.908001}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCCKCOLKPWPRC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimPHNCOCJPKa08, author = {Ho Chul Kim and Hyung Joo Park and S. Y. Ham and Kyoung Won Nam and S. Y. Choi and J. S. Oh and Hyuk Choi and G. S. Jeong and Sang Woo Park and Min Gi Kim and Kyung Sun}, title = {Development of automatized new indices for radiological assessment of chest-wall deformity and its quantitative evaluation}, journal = {Medical Biol. Eng. Comput.}, volume = {46}, number = {8}, pages = {815--823}, year = {2008}, url = {https://doi.org/10.1007/s11517-008-0367-2}, doi = {10.1007/S11517-008-0367-2}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimPHNCOCJPKa08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/JangCPCK08, author = {Chul{-}sang Jang and Jinchul Choi and Joon{-}ha Park and In{-}jae Chung and Oh{-}Kyong Kwon}, title = {An intra interface of flat panel displays for high-end {TV} applications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {54}, number = {3}, pages = {1447--1452}, year = {2008}, url = {https://doi.org/10.1109/TCE.2008.4637639}, doi = {10.1109/TCE.2008.4637639}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/JangCPCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ParkCJYJCC08, author = {Jungeui Park and Jungsoo Choi and Wooju Jeong and Sangduk Yu and Kichang Jang and Youngchan Choi and Joongho Choi}, title = {Current-sensing technique for current-mode {DC-DC} buck converter with offset-voltage compensation}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2008, Macao, China, November 30 2008 - December 3, 2008}, pages = {1704--1707}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/APCCAS.2008.4746367}, doi = {10.1109/APCCAS.2008.4746367}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ParkCJYJCC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/LeeKPCPJM08, author = {Sang Ho Lee and Jong Hyo Kim and Jeong Seon Park and Jung Min Chang and Sang Joon Park and Yun Sub Jung and Woo Kyung Moon}, title = {Computerized Segmentation and Classification of Breast Lesions Using Perfusion Volume Fractions in Dynamic Contrast-enhanced {MRI}}, booktitle = {Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, {BMEI} 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2}, pages = {58--62}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/BMEI.2008.215}, doi = {10.1109/BMEI.2008.215}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/LeeKPCPJM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ParkGLK08, author = {Sang Joon Park and Jin Mo Goo and Sang Ho Lee and Jong Hyo Kim}, title = {A Color-coded Virtual Bronchoscopy with Enhanced Efficiency}, booktitle = {Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, {BMEI} 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 1}, pages = {770--774}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/BMEI.2008.202}, doi = {10.1109/BMEI.2008.202}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/ParkGLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/HwangSHPRPK08, author = {Joo Young Hwang and Sang{-}Bum Suh and Sung{-}Kwan Heo and Chan{-}Ju Park and Jae{-}Min Ryu and Seong{-}Yeol Park and Chul{-}Ryun Kim}, title = {Xen on {ARM:} System Virtualization Using Xen Hypervisor for ARM-Based Secure Mobile Phones}, booktitle = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, pages = {257--261}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ccnc08.2007.64}, doi = {10.1109/CCNC08.2007.64}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/HwangSHPRPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SuhHSRHPKLPL08, author = {Sang{-}Bum Suh and Joo Young Hwang and Joon{-}Young Shim and Jae{-}Min Ryu and Sungkwan Heo and Chanju Park and Chulryun Kim and Jae{-}Ra Lee and Ilpyoung Park and Hosoo Lee}, title = {Computing State Migration Between Mobile Platforms for Seamless Computing Environments}, booktitle = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, pages = {1216--1217}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ccnc08.2007.274}, doi = {10.1109/CCNC08.2007.274}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/SuhHSRHPKLPL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/SuhLMJHPHYRKPLP08, author = {Sang{-}Bum Suh and Sung{-}Min Lee and Sangdok Mo and Bokdeuk Jeong and Joo Young Hwang and Chan{-}Ju Park and Sung{-}Kwan Heo and Jung{-}Hyun Yoo and Jae{-}Min Ryu and Chul{-}Ryun Kim and Seong{-}Yeol Park and Jae{-}Ra Lee and Il{-}Pyung Park and Hosoo Lee}, title = {Demonstration of the Secure {VMM} for Beyond 3G Mobile Terminal}, booktitle = {5th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2008, Las Vegas, NV, USA, January 10-12, 2008}, pages = {1223}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ccnc08.2007.278}, doi = {10.1109/CCNC08.2007.278}, timestamp = {Sat, 17 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/SuhLMJHPHYRKPLP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgvr/EdwardsPK08, author = {H. Keith Edwards and Sangmi Park and Joon Hyun Kim}, editor = {Hamid R. Arabnia and Leonidas Deligiannidis}, title = {Making Two-Dimensional Animations in Alice}, booktitle = {Proceedings of the 2008 International Conference on Computer Graphics {\&} Virtual Reality, {CGVR} 2008, July 14-17, 2008, Las Vegas, Nevada, {USA}}, pages = {71--76}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Thu, 12 Feb 2009 09:13:12 +0100}, biburl = {https://dblp.org/rec/conf/cgvr/EdwardsPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/crowncom/ParkKSLHLL08, author = {Jongmin Park and Kwan{-}Woo Kim and Taejoong Song and Sang Min Lee and Joonhoi Hur and Kyutae Lim and Joy Laskar}, editor = {Francois P. S. Chin and Geok{-}Leng Tan}, title = {A Cross-layer Cognitive Radio Testbed for the Evaluation of Spectrum Sensing Receiver and Interference Analysis}, booktitle = {3rd International {ICST} Conference on Cognitive Radio Oriented Wireless Networks and Communications, {CROWNCOM} 2008, Singapore, May 15-17, 2008}, pages = {1--6}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/CROWNCOM.2008.4562483}, doi = {10.1109/CROWNCOM.2008.4562483}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/crowncom/ParkKSLHLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/RobertsonWAP08, author = {Scott P. Robertson and Christine E. Wania and George Abraham and Sang Joon Park}, title = {Drop-Down Democracy: Internet Portal Design Influences Voters' Search Strategies}, booktitle = {41st Hawaii International International Conference on Systems Science {(HICSS-41} 2008), Proceedings, 7-10 January 2008, Waikoloa, Big Island, HI, {USA}}, pages = {191}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/HICSS.2008.131}, doi = {10.1109/HICSS.2008.131}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/RobertsonWAP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkKKLKK08, author = {Keun{-}Tae Park and Hong{-}Yeon Kim and Young{-}Chul Kim and Sangmin Lee and Young{-}Kyun Kim and Myoung{-}Joon Kim}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Antonio Lagan{\`{a}} and Youngsong Mun and Andr{\'{e}}s Iglesias}, title = {Lake: Towards Highly Manageable Cluster Storage for Extremely Scalable Services}, booktitle = {Selected Papers of the Sixth International Conference on Computational Sciences and Its Applications, {ICCSA} '08, Perugia, Italy, June 30 - July 3, 2008}, pages = {122--131}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCSA.2008.37}, doi = {10.1109/ICCSA.2008.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/ParkKKLKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LeeJPJJ08, author = {Joohyun Lee and Gwanggil Jeon and Sang{-}Jun Park and Taeyoung Jung and Jechang Jeong}, title = {{SIMD} optimization of the {H.264/SVC} decoder with efficient data structure}, booktitle = {Proceedings of the 2008 {IEEE} International Conference on Multimedia and Expo, {ICME} 2008, June 23-26 2008, Hannover, Germany}, pages = {69--72}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICME.2008.4607373}, doi = {10.1109/ICME.2008.4607373}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LeeJPJJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwn/ShinPLKL08, author = {In{-}Hye Shin and Gyung{-}Leen Park and Junghoon Lee and Ho{-}Young Kwak and Sang Joon Lee}, editor = {Hamid R. Arabnia and Victor A. Clincy}, title = {A Comparative Analysis on Performance of Route Optimization with Security in Mobile IPv6}, booktitle = {Proceedings of the 2008 International Conference on Wireless Networks, July 14-17, 2008, Las Vegas, Nevada, {USA}}, pages = {353--359}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Mon, 09 Feb 2009 10:37:49 +0100}, biburl = {https://dblp.org/rec/conf/icwn/ShinPLKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KangSLPC08, author = {Sang{-}Ick Kang and Ji{-}Hyun Song and Kye{-}Hwan Lee and Yun{-}Sik Park and Joon{-}Hyuk Chang}, title = {A statistical model-based voice activity detection employing minimum classification error technique}, booktitle = {9th Annual Conference of the International Speech Communication Association, {INTERSPEECH} 2008, Brisbane, Australia, September 22-26, 2008}, pages = {103--106}, publisher = {{ISCA}}, year = {2008}, url = {https://doi.org/10.21437/Interspeech.2008-23}, doi = {10.21437/INTERSPEECH.2008-23}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KangSLPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LeeKPCPJTM08, author = {Sang Ho Lee and Jong Hyo Kim and Jeong Seon Park and Jung Min Chang and Sang Joon Park and Yun Sub Jung and Sungho Tak and Woo Kyung Moon}, title = {Texture analysis of lesion perfusion volumes in dynamic contrast-enhanced breast {MRI}}, booktitle = {Proceedings of the 2008 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008}, pages = {1545--1548}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISBI.2008.4541304}, doi = {10.1109/ISBI.2008.4541304}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LeeKPCPJTM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/JungLP08, author = {Sang{-}Seok Jung and Joo{-}Han Lee and Sung{-}Kwon Park}, title = {Poly Harmonic Staggered Broadcasting Method for Efficient Video on Demand Service}, booktitle = {11th {IEEE} International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2008), 5-7 May 2008, Orlando, Florida, {USA}}, pages = {543--550}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISORC.2008.81}, doi = {10.1109/ISORC.2008.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/JungLP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Kwang{-}Il Park and Kyoung{-}Ho Kim and Dae{-}Hyun Chung and Jingook Kim and Si{-}Hong Kim and Min{-}Sang Park and Jae{-}Hyung Lee and Sam{-}Young Bang and Ho{-}Kyung Lee and In{-}Soo Park and Jae{-}Sung Kim and Dae{-}Hyun Kim and Hye{-}Ran Kim and Yong{-}Jae Shin and Cheol{-}Goo Park and Gil{-}Shin Moon and Ki{-}Woong Yeom and Kang{-}Young Kim and Jae{-}Young Lee and Hyang{-}Ja Yang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 60nm 6Gb/s/pin {GDDR5} Graphics {DRAM} with Multifaceted Clocking and ISI/SSN-Reduction Techniques}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {278--279}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523165}, doi = {10.1109/ISSCC.2008.4523165}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangKPR08, author = {Ik Joon Chang and Jae{-}Joon Kim and Sang Phill Park and Kaushik Roy}, title = {A 32kb 10T Subthreshold {SRAM} Array with Bit-Interleaving and Differential Read Scheme in 90nm {CMOS}}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {388--389}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523220}, doi = {10.1109/ISSCC.2008.4523220}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangKPR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKPLCLKLYSKCY08, author = {Dong{-}Uk Lee and Shin{-}Deok Kang and Nak{-}Kyu Park and Hyun{-}Woo Lee and Young{-}Kyoung Choi and Jung{-}Woo Lee and Seung{-}Wook Kwack and Hyeong{-}Ouk Lee and Won{-}Joo Yun and Sang{-}Hoon Shin and Kwan{-}Weon Kim and Young{-}Jung Choi and Ye Seok Yang}, title = {Multi-Slew-Rate Output Driver and Optimized Impedance-Calibration Circuit for 66nm 3.0Gb/s/pin {DRAM} Interface}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {280--281}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523166}, doi = {10.1109/ISSCC.2008.4523166}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKPLCLKLYSKCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkSHLCKLLLKL08, author = {Jongmin Park and Taejoong Song and Joonhoi Hur and Sang Min Lee and Jungki Choi and Kihong Kim and Jungsuk Lee and Kyutae Lim and Chang{-}Ho Lee and Haksun Kim and Joy Laskar}, title = {A Fully-Integrated {UHF} Receiver with Multi-Resolution Spectrum-Sensing {(MRSS)} Functionality for {IEEE} 802.22 Cognitive-Radio Applications}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {526--527}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523289}, doi = {10.1109/ISSCC.2008.4523289}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkSHLCKLLLKL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08, author = {Won{-}Joo Yun and Hyun{-}Woo Lee and Dongsuk Shin and Shin{-}Deok Kang and Ji{-}Yeon Yang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyang{-}Hwa Choi and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Young{-}Kyoung Choi and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Ye Seok Yang}, title = {A 0.1-to-1.5GHz 4.2mW All-Digital {DLL} with Dual Duty-Cycle Correction Circuit and Update Gear Circuit for {DRAM} in 66nm {CMOS} Technology}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {282--283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523167}, doi = {10.1109/ISSCC.2008.4523167}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/ParkKLL08, author = {Sang{-}Hyuk Park and Young{-}Joong Kim and Hoo{-}Cheol Lee and Myo{-}Taeg Lim}, title = {Improved adaptive particle filter using adjusted variance and gradient data}, booktitle = {{IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2008, Seoul, South Korea, August 20-22, 2008}, pages = {650--655}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/MFI.2008.4648018}, doi = {10.1109/MFI.2008.4648018}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/mfi/ParkKLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeKPPJSM08, author = {Sang Ho Lee and Jong Hyo Kim and Jeong Seon Park and Sang Joon Park and Yun Sub Jung and Jung Joo Song and Woo Kyung Moon}, editor = {Maryellen L. Giger and Nico Karssemeijer}, title = {Tumor classification using perfusion volume fractions in breast {DCE-MRI}}, booktitle = {Medical Imaging 2008: Computer-Aided Diagnosis, San Diego, California, United States, 16-21 February 2008}, series = {{SPIE} Proceedings}, volume = {6915}, pages = {69152D}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.774370}, doi = {10.1117/12.774370}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micad/LeeKPPJSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/serp/LeePKSLK08, author = {Young{-}Joo Lee and Chan{-}Yeol Park and Sung{-}Jun Kim and Jin{-}Woo Sung and Sang{-}Dong Lee and Joong{-}Kwon Kim}, editor = {Hamid R. Arabnia and Hassan Reza}, title = {Queue Design and Implementation Based on Service Level of {NQS}}, booktitle = {Proceedings of the 2008 International Conference on Software Engineering Research {\&} Practice, {SERP} 2008, July 14-17, 2008, Las Vegas Nevada, USA, 2 Volumes}, pages = {476--479}, publisher = {{CSREA} Press}, year = {2008}, timestamp = {Mon, 09 Feb 2009 10:28:35 +0100}, biburl = {https://dblp.org/rec/conf/serp/LeePKSLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkKPP08, author = {Sang{-}Uk Park and Joontae Kim and Jung{-}Hyun Park and Dong{-}Jo Park}, title = {A New Channel Estimation Method Using Phase-Shifted Pilot Sequence for {MIMO-OFDM} Systems}, booktitle = {Proceedings of the 67th {IEEE} Vehicular Technology Conference, {VTC} Spring 2008, 11-14 May 2008, Singapore}, pages = {903--907}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECS.2008.195}, doi = {10.1109/VETECS.2008.195}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkKPP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/LeeKGPM07, author = {Uichin Lee and Jiejun Kong and Mario Gerla and Joon{-}Sang Park and Eugenio Magistretti}, title = {Time-critical underwater sensor diffusion with no proactive exchanges and negligible reactive floods}, journal = {Ad Hoc Networks}, volume = {5}, number = {6}, pages = {943--958}, year = {2007}, url = {https://doi.org/10.1016/j.adhoc.2007.02.012}, doi = {10.1016/J.ADHOC.2007.02.012}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/LeeKGPM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/YunLJCPML07, author = {Hongseok Yun and Jeong Wook Lee and Joonwoo Jeong and Jaesung Chung and Jong Myoung Park and Han Na Myoung and Sang Yup Lee}, title = {EcoProDB: the Escherichia coli protein database}, journal = {Bioinform.}, volume = {23}, number = {18}, pages = {2501--2503}, year = {2007}, url = {https://doi.org/10.1093/bioinformatics/btm351}, doi = {10.1093/BIOINFORMATICS/BTM351}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/YunLJCPML07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/MoonLPLRWKWKCC07, author = {Yeon Kwan Moon and Jyung Hyun Lee and Hee Joon Park and JuGab Lee and Jae Jong Ryu and Sang Hyo Woo and Min{-}Kyu Kim and Chul{-}Ho Won and TaeWan Kim and Jin{-}Ho Cho and Hyun{-}Chul Choi}, title = {Fabrication of the Wireless Systems for Controlling Movements of the Electrical Stimulus Capsule in the Small Intestines}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {90-D}, number = {2}, pages = {586--593}, year = {2007}, url = {https://doi.org/10.1093/ietisy/e90-d.2.586}, doi = {10.1093/IETISY/E90-D.2.586}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/MoonLPLRWKWKCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangKKSKLKPKCYY07, author = {Jin{-}Seong Kang and Jin{-}Ho Kim and Seon{-}Yung Kim and Jun{-}Yong Song and Oh{-}Kyong Kwon and Yuen{-}Joong Lee and Byung{-}Hoon Kim and Chan{-}Woo Park and Kyoung{-}Soo Kwon and Won{-}Tae Choi and Sang{-}Kyeong Yun and Injae Yeo and Kyu{-}Bum Han and Taek{-}Soo Kim and Sang{-}il Park}, title = {10-bit Driver {IC} Using 3-bit {DAC} Embedded Operational Amplifier for Spatial Optical Modulators (SOMs)}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {12}, pages = {2913--2922}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.908690}, doi = {10.1109/JSSC.2007.908690}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangKKSKLKPKCYY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LeePCLPS07, author = {Sang{-}Won Lee and Dong{-}Joo Park and Tae{-}Sun Chung and Dong{-}Ho Lee and Sangwon Park and Ha{-}Joo Song}, title = {A log buffer-based flash translation layer using fully-associative sector translation}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {6}, number = {3}, pages = {18}, year = {2007}, url = {https://doi.org/10.1145/1275986.1275990}, doi = {10.1145/1275986.1275990}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LeePCLPS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/KimZWLPPKWC07, author = {Chang Wook Kim and Zia Mohy{-}Ud{-}Din and Sang Hyo Woo and J. H. Lee and Hee Joon Park and I. Y. Park and Myoung Nam Kim and Chul{-}Ho Won and Jin{-}Ho Cho}, editor = {Hamid R. Arabnia and Mary Qu Yang and Jack Y. Yang}, title = {Automatic Detection Algorithm for Residual Quantity of Ringer's Solution}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2007, Volume II, June 25-28, 2007, Las Vegas Nevada, {USA}}, pages = {491--496}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocomp/KimZWLPPKWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocomp/LeeMWKPJWCKC07, author = {Jyung Hyun Lee and Yeon Kwan Moon and Sang Hyo Woo and Chang Wook Kim and Hee Joon Park and Jong Sik Jin and Chul{-}Ho Won and Hyun{-}Chul Choi and Yoon Nyun Kim and Jin{-}Ho Cho}, editor = {Hamid R. Arabnia and Mary Qu Yang and Jack Y. Yang}, title = {Design {\&} Implementation of {CPLD} Controller for Bi-directional {VGA} Capsule Endoscop}, booktitle = {International Conference on Bioinformatics {\&} Computational Biology, {BIOCOMP} 2007, Volume I, June 25-28, 2007, Las Vegas Nevada, {USA}}, pages = {275--281}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biocomp/LeeMWKPJWCKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/RobertsonWP07, author = {Scott P. Robertson and Christine E. Wania and Sang Joon Park}, title = {An Observational Study of Voters on the Internet}, booktitle = {40th Hawaii International International Conference on Systems Science {(HICSS-40} 2007), {CD-ROM} / Abstracts Proceedings, 3-6 January 2007, Waikoloa, Big Island, HI, {USA}}, pages = {90}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/HICSS.2007.70}, doi = {10.1109/HICSS.2007.70}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/RobertsonWP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LeePC07, author = {Sang Hoon Lee and Joon Ho Park and Lynn Choi}, title = {{AMAC:} Traffic-Adaptive Sensor Network {MAC} Protocol through Variable Duty-Cycle Operations}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2007, Glasgow, Scotland, UK, 24-28 June 2007}, pages = {3259--3264}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICC.2007.540}, doi = {10.1109/ICC.2007.540}, timestamp = {Tue, 19 Jun 2018 07:53:33 +0200}, biburl = {https://dblp.org/rec/conf/icc/LeePC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ParkPSLKKLK07, author = {So{-}Jeong Park and Gyung{-}Leen Park and In{-}Hye Shin and Junghoon Lee and Ho{-}Young Kwak and Do{-}Hyeon Kim and Sang Joon Lee and Min{-}Soo Kang}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Performance Evaluation of the Optimal Hierarchy for Cellular Networks}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4490}, pages = {449--456}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72590-9\_61}, doi = {10.1007/978-3-540-72590-9\_61}, timestamp = {Tue, 08 Nov 2022 08:34:37 +0100}, biburl = {https://dblp.org/rec/conf/iccS/ParkPSLKKLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/SongPLMK07, author = {Jiyoung Song and Sangjoon Park and Jongchan Lee and Hyun{-}Joo Moon and Byunggi Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {Explicit Routing Designation {(ERD)} Method the Cache Information in Nested Mobile Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, pages = {764--777}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1\_69}, doi = {10.1007/978-3-540-74477-1\_69}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/SongPLMK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/SubramaniyamPCJS07, author = {Murali Subramaniyam and Sangho Park and Sung{-}il Choi and Seokho Jang and Joon{-}Yub Song}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {Digital Simulation for Micro Assembly Arranged at Rectangular Pattern in Micro Factory}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings. Part {III}}, series = {Lecture Notes in Computer Science}, volume = {4707}, pages = {486--494}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74484-9\_42}, doi = {10.1007/978-3-540-74484-9\_42}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/SubramaniyamPCJS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icess/LeePSL07, author = {Hyun{-}Seob Lee and Sangwon Park and Ha{-}Joo Song and Dong{-}Ho Lee}, editor = {Yann{-}Hang Lee and Heung{-}Nam Kim and Jong Kim and Yongwan Park and Laurence Tianruo Yang and Sung Won Kim}, title = {An Efficient Buffer Management Scheme for Implementing a B-Tree on {NAND} Flash Memory}, booktitle = {Embedded Software and Systems, [Third] International Conference, {ICESS} 2007, Daegu, Korea, May 14-16, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4523}, pages = {181--192}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72685-2\_18}, doi = {10.1007/978-3-540-72685-2\_18}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/icess/LeePSL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/ParkLJS07, author = {Wook Je Park and Sang H. Lee and Won Kyung Joo and Jung Il Song}, editor = {De{-}Shuang Huang and Laurent Heutte and Marco Loog}, title = {A Mixed Algorithm of {PCA} and {LDA} for Fault Diagnosis of Induction Motor}, booktitle = {Advanced Intelligent Computing Theories and Applications. With Aspects of Artificial Intelligence, Third International Conference on Intelligent Computing, {ICIC} 2007, Qingdao, China, August 21-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4682}, pages = {934--942}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74205-0\_97}, doi = {10.1007/978-3-540-74205-0\_97}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/ParkLJS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsnc/JungPKKM07, author = {KwangMo Jung and Young{-}Choong Park and Seong{-}Dong Kim and Joongjin Kook and Sangwon Min}, title = {An Round Delay Algorithm in Sensor Networks}, booktitle = {Proceedings of the Second International Conference on Systems and Networks Communications {(ICSNC} 2007), August 25-31, 2007, Cap Esterel, French Riviera, France}, pages = {35}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICSNC.2007.19}, doi = {10.1109/ICSNC.2007.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsnc/JungPKKM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/BaekPKWKN07, author = {Seong{-}Joon Baek and Aaron Park and Sangki Kang and Yonggwan Won and Jin Young Kim and Seung You Na}, editor = {Derong Liu and Shumin Fei and Zeng{-}Guang Hou and Huaguang Zhang and Changyin Sun}, title = {Detection of Basal Cell Carcinoma Based on Gaussian Prototype Fitting of Confocal Raman Spectra}, booktitle = {Advances in Neural Networks - {ISNN} 2007, 4th International Symposium on Neural Networks, {ISNN} 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4492}, pages = {1240--1247}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72393-6\_146}, doi = {10.1007/978-3-540-72393-6\_146}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/isnn/BaekPKWKN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07, author = {Jeong{-}Don Ihm and Seung{-}Jun Bae and Kwang{-}Il Park and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Ho{-}Kyung Lee and Min{-}Sang Park and Sam{-}Young Bang and Mi{-}Jin Lee and Gil{-}Shin Moon and Young{-}Wook Jang and Suk{-}Won Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Su{-}Jin Park and Ok{-}Joo Park and Se{-}Mi Yang and Jin{-}Yong Choi and Young{-}Wook Kim and Hyun{-}Kyu Lee and Sunghoon Kim and Seong{-}Jin Jang and Young{-}Hyun Jun and Soo{-}In Cho}, title = {An 80nm 4Gb/s/pin 32b 512Mb {GDDR4} Graphics {DRAM} with Low-Power and Low-Noise Data-Bus Inversion}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {492--617}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373509}, doi = {10.1109/ISSCC.2007.373509}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKKSKLKPKCYYHKP07, author = {Jin{-}Seong Kang and Jin{-}Ho Kim and Seon{-}Yung Kim and Jun{-}Yong Song and Oh{-}Kyong Kwon and Yuen{-}Joong Lee and Byung{-}Hoon Kim and Chan{-}Woo Park and Kyoung{-}Soo Kwon and Won{-}Tae Choi and Sang{-}Kyeong Yun and Injae Yeo and Kyu{-}Bum Han and Taek{-}Soo Kim and Sang{-}il Park}, title = {A 10b Driver {IC} for a Spatial Optical Modulator for Full {HDTV} Applications}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {138--592}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373626}, doi = {10.1109/ISSCC.2007.373626}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKKSKLKPKCYYHKP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCCKCOLKPWPRCKKSLCCCKYJJKKK07, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Kwang{-}Suk Yu and Gitae Jeong and Hongsik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90nm 1.8V 512Mb Diode-Switch {PRAM} with 266MB/s Read Throughput}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {472--616}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373499}, doi = {10.1109/ISSCC.2007.373499}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeCCKCOLKPWPRCKKSLCCCKYJJKKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/LeePPGL07, author = {Suk{-}Bok Lee and Gabriel Pan and Joon{-}Sang Park and Mario Gerla and Songwu Lu}, editor = {Evangelos Kranakis and Elizabeth M. Belding and Eytan H. Modiano}, title = {Secure incentives for commercial ad dissemination in vehicular networks}, booktitle = {Proceedings of the 8th {ACM} Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2007, Montreal, Quebec, Canada, September 9-14, 2007}, pages = {150--159}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1288107.1288128}, doi = {10.1145/1288107.1288128}, timestamp = {Mon, 22 Feb 2021 15:13:40 +0100}, biburl = {https://dblp.org/rec/conf/mobihoc/LeePPGL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robocomm/ParkRKKSC07, author = {Cheonshu Park and Joung Woo Ryu and Sangseung Kang and Jaehong Kim and Joo{-}Chan Sohn and Hyunkyu Cho}, editor = {Alan F. T. Winfield and Jason Redi}, title = {The emotion expression robot through the affective interaction: {KOBIE}}, booktitle = {Proceedings of the 1st International Conference on Robot Communication and Coordination, {ROBOCOMM} 2007, Athens, Greece, October 15-17, 2007}, series = {{ACM} International Conference Proceeding Series}, volume = {318}, pages = {53}, publisher = {{ICST/ACM}}, year = {2007}, url = {https://doi.org/10.4108/ICST.ROBOCOMM2007.2274}, doi = {10.4108/ICST.ROBOCOMM2007.2274}, timestamp = {Fri, 16 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robocomm/ParkRKKSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/KimNSPJKSSLP06, author = {Sangtae Kim and Seungjin Na and Ji Woong Sim and Heejin Park and Jaeho Jeong and Hokeun Kim and Younghwan Seo and Jawon Seo and Kong{-}Joo Lee and Eunok Paek}, title = {MOD\emph{\({}^{\mbox{i}}\)} : a powerful and convenient web server for identifying multiple post-translational peptide modifications from tandem mass spectra}, journal = {Nucleic Acids Res.}, volume = {34}, number = {Web-Server-Issue}, pages = {258--263}, year = {2006}, url = {https://doi.org/10.1093/nar/gkl245}, doi = {10.1093/NAR/GKL245}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/KimNSPJKSSLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LeePL06, author = {Sang{-}Woong Lee and Jooyoung Park and Seong{-}Whan Lee}, title = {Low resolution face recognition based on support vector data description}, journal = {Pattern Recognit.}, volume = {39}, number = {9}, pages = {1809--1812}, year = {2006}, url = {https://doi.org/10.1016/j.patcog.2006.04.033}, doi = {10.1016/J.PATCOG.2006.04.033}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/LeePL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/ParkGLYM06, author = {Joon{-}Sang Park and Mario Gerla and Desmond S. Lun and Yunjung Yi and Muriel M{\'{e}}dard}, title = {Codecast: a network-coding-based ad hoc multicast protocol}, journal = {{IEEE} Wirel. Commun.}, volume = {13}, number = {5}, pages = {76--81}, year = {2006}, url = {https://doi.org/10.1109/WC-M.2006.250362}, doi = {10.1109/WC-M.2006.250362}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/ParkGLYM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/KimCCSLPLK06, author = {Kee{-}Eung Kim and Wook Chang and Sung{-}Jung Cho and Junghyun Shim and Hyunjeong Lee and Joonah Park and Youngbeom Lee and Sangryoung Kim}, title = {Hand Grip Pattern Recognition for Mobile User Interfaces}, booktitle = {Proceedings, The Twenty-First National Conference on Artificial Intelligence and the Eighteenth Innovative Applications of Artificial Intelligence Conference, July 16-20, 2006, Boston, Massachusetts, {USA}}, pages = {1789--1794}, publisher = {{AAAI} Press}, year = {2006}, url = {http://www.aaai.org/Library/AAAI/2006/aaai06-296.php}, timestamp = {Tue, 05 Sep 2023 09:10:47 +0200}, biburl = {https://dblp.org/rec/conf/aaai/KimCCSLPLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/ChungPPLLS06, author = {Tae{-}Sun Chung and Dong{-}Joo Park and Sangwon Park and Dong{-}Ho Lee and Sang{-}Won Lee and Ha{-}Joo Song}, editor = {Edwin Hsing{-}Mean Sha and Sung{-}Kook Han and Cheng{-}Zhong Xu and Moon{-}hae Kim and Laurence Tianruo Yang and Bin Xiao}, title = {System Software for Flash Memory: {A} Survey}, booktitle = {Embedded and Ubiquitous Computing, International Conference, {EUC} 2006, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4096}, pages = {394--404}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802167\_41}, doi = {10.1007/11802167\_41}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/ChungPPLLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/LeeCP06, author = {Sang{-}Won Lee and Won{-}Kyoung Choi and Dong{-}Joo Park}, editor = {Xiaobo Zhou and Oleg Sokolsky and Lu Yan and Eun{-}Sun Jung and Zili Shao and Yi Mu and Dong Chun Lee and Daeyoung Kim and Young{-}Sik Jeong and Cheng{-}Zhong Xu}, title = {{FAST:} An Efficient Flash Translation Layer for Flash Memory}, booktitle = {Emerging Directions in Embedded and Ubiquitous Computing, {EUC} 2006 Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4097}, pages = {879--887}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11807964\_88}, doi = {10.1007/11807964\_88}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/euc/LeeCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gca/SongYPCL06, author = {Jihwan Song and Sanghyun Yoo and Chang{-}Sup Park and Dong{-}Hoon Choi and Yoon{-}Joon Lee}, editor = {Hamid R. Arabnia}, title = {The Design of a Grid-enabled Information Integration System Based on Mediator/Wrapper Architectures}, booktitle = {Proceedings of the 2006 International Conference on Grid Computing {\&} Applications, {GCA} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {114--120}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Tue, 02 Jan 2007 11:22:55 +0100}, biburl = {https://dblp.org/rec/conf/gca/SongYPCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icb/ParkKKLHL06, author = {Jooyoung Park and Daesung Kang and James T. Kwok and Sang{-}Woong Lee and Bon{-}Woo Hwang and Seong{-}Whan Lee}, editor = {David Zhang and Anil K. Jain}, title = {Facial Image Reconstruction by SVDD-Based Pattern De-noising}, booktitle = {Advances in Biometrics, International Conference, {ICB} 2006, Hong Kong, China, January 5-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3832}, pages = {129--135}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11608288\_18}, doi = {10.1007/11608288\_18}, timestamp = {Thu, 17 Oct 2019 12:39:20 +0200}, biburl = {https://dblp.org/rec/conf/icb/ParkKKLHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkGHYHPMPCPCLL06, author = {Geunyoung Park and Boncheol Gu and Junyoung Heo and Sangho Yi and Jungkyu Han and Jaemin Park and Hong Min and Xuefeng Piao and Yookun Cho and Chang{-}Won Park and Ha Joong Chung and Bongkyu Lee and Sangjun Lee}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Adaptive Load Balancing Mechanism for Server Cluster}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3983}, pages = {549--557}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751632\_60}, doi = {10.1007/11751632\_60}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ParkGHYHPMPCPCLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkYLKLLSB06, author = {Gyung{-}Leen Park and Hee Yong Youn and Junghoon Lee and Chul Soo Kim and Bongkyu Lee and Sang Joon Lee and Wang{-}Cheol Song and Yung{-}Cheol Byun}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Performance Evaluation of Parallel Systems Employing Roll-Forward Checkpoint Schemes}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {3984}, pages = {185--191}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751649\_20}, doi = {10.1007/11751649\_20}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ParkYLKLLSB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/LeePL06, author = {Sang{-}Woong Lee and Jooyoung Park and Seong{-}Whan Lee}, title = {Face Reconstruction with Low Resolution Facial Images by Feature Vector Projection in Kernel Space}, booktitle = {18th International Conference on Pattern Recognition {(ICPR} 2006), 20-24 August 2006, Hong Kong, China}, pages = {1179--1182}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPR.2006.533}, doi = {10.1109/ICPR.2006.533}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/LeePL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/LeeKKJLPP06, author = {Jeong{-}Eom Lee and Yong{-}Guk Kim and Sang{-}Jun Kim and Min{-}Soo Jang and Seok{-}Joo Lee and Min Chul Park and Gwi{-}Tae Park}, editor = {Moonis Ali and Richard Dapoigny}, title = {Head Detection and Tracking for the Car Occupant's Pose Recognition}, booktitle = {Advances in Applied Artificial Intelligence, 19th International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2006, Annecy, France, June 27-30, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4031}, pages = {540--547}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11779568\_58}, doi = {10.1007/11779568\_58}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/LeeKKJLPP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/LeeKPMG06, author = {Uichin Lee and Jiejun Kong and Joon{-}Sang Park and Eugenio Magistretti and Mario Gerla}, editor = {Paolo Bellavista and Chi{-}Ming Chen and Antonio Corradi and Mahmoud Daneshmand}, title = {Time-Critical Underwater Sensor Diffusion with No Proactive Exchanges and Negligible Reactive Floods}, booktitle = {Proceedings of the 11th {IEEE} Symposium on Computers and Communications {(ISCC} 2006), 26-29 June 2006, Cagliari, Sardinia, Italy}, pages = {609--615}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISCC.2006.162}, doi = {10.1109/ISCC.2006.162}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/LeeKPMG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ParkKL06, author = {Heejun Park and Sangkyun Kim and Hong Joo Lee}, editor = {Bogdan Gabrys and Robert J. Howlett and Lakhmi C. Jain}, title = {General Drawing of the Integrated Framework for Security Governance}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, {KES} 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4251}, pages = {1234--1241}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892960\_148}, doi = {10.1007/11892960\_148}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/ParkKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LeePY0G06, author = {Uichin Lee and Joon{-}Sang Park and Joseph Yeh and Giovanni Pau and Mario Gerla}, editor = {Christoph Lindemann and Antony I. T. Rowstron}, title = {Code torrent: content distribution using network coding in {VANET}}, booktitle = {Proceedings of the 1st international workshop on Decentralized resource sharing in mobile computing and networking, MobiShare@MobiCom 2006, Los Angeles, California, USA, July 25, 2006}, pages = {1--5}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1161252.1161254}, doi = {10.1145/1161252.1161254}, timestamp = {Tue, 06 Nov 2018 16:59:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/LeePY0G06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/ParkLOGL06, author = {Joon{-}Sang Park and Uichin Lee and Soon{-}Young Oh and Mario Gerla and Desmond S. Lun}, editor = {Wieland Holfelder and David B. Johnson and Hannes Hartenstein and Victor Bahl}, title = {Emergency related video streaming in {VANET} using network coding}, booktitle = {Proceedings of the Third International Workshop on Vehicular Ad Hoc Networks, {VANET} 2006, Los Angeles, CA, USA, September 29, 2007}, pages = {102--103}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1161064.1161087}, doi = {10.1145/1161064.1161087}, timestamp = {Mon, 01 Jul 2019 18:18:38 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/ParkLOGL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiquitous/LeePAG06, author = {Uichin Lee and Joon{-}Sang Park and Eyal Amir and Mario Gerla}, editor = {Hamid Ahmadi and Tom La Porta}, title = {FleaNet: {A} Virtual Market Place on Vehicular Networks}, booktitle = {3rd Annual International {ICST} Conference on Mobile and Ubiquitous Systems: Computing, Networking and Services, {MOBIQUITOUS} 2006, San Jose, California, USA, July 17-21, 2006}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MOBIQ.2006.340433}, doi = {10.1109/MOBIQ.2006.340433}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobiquitous/LeePAG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ngits/ChaePPHL06, author = {Heung Seok Chae and Jaegeol Park and Jinwook Park and Sungho Ha and Joon{-}Sang Lee}, editor = {Opher Etzion and Tsvi Kuflik and Amihai Motro}, title = {A Framework-Based Design for Supporting Availability of Layered Distributed Applications}, booktitle = {Next Generation Information Technologies and Systems, 6th International Workshop, {NGITS} 2006, Kibbutz Shefayim, Israel, July 4-6, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4032}, pages = {83--95}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11780991\_8}, doi = {10.1007/11780991\_8}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/ngits/ChaePPHL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/HanLSPH05, author = {Sang{-}Myeon Han and Min{-}Cheol Lee and Moon{-}Young Shin and Joong{-}Hyun Park and Min{-}Koo Han}, title = {Poly-Si {TFT} Fabricated at 150 deg {C} Using {ICP-CVD} and Excimer Laser Annealing}, journal = {Proc. {IEEE}}, volume = {93}, number = {7}, pages = {1297--1305}, year = {2005}, url = {https://doi.org/10.1109/JPROC.2005.851535}, doi = {10.1109/JPROC.2005.851535}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/HanLSPH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adhoc-now/ParkG05, author = {Joon{-}Sang Park and Mario Gerla}, editor = {Violet R. Syrotiuk and Edgar Ch{\'{a}}vez}, title = {{MIMOMAN:} {A} {MIMO} {MAC} Protocol for Ad Hoc Networks}, booktitle = {Ad-Hoc, Mobile, and Wireless Networks, 4th International Conference, {ADHOC-NOW} 2005, Cancun, Mexico, October 6-8, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3738}, pages = {207--220}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11561354\_18}, doi = {10.1007/11561354\_18}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/adhoc-now/ParkG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccn/LeePSG05, author = {Uichin Lee and Joon{-}Sang Park and M. Y. Sanadidi and Mario Gerla}, editor = {M. Y. Sanadidi}, title = {Flowbased dynamic load balancing for passive network monitoring}, booktitle = {Proceedings of the Third {IASTED} International Conference on Communications and Computer Networks, October 24-26, 2005, Marina del Rey, CA, {USA}}, pages = {357--362}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Thu, 25 Jan 2007 13:10:45 +0100}, biburl = {https://dblp.org/rec/conf/ccn/LeePSG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/RobertsonAGPZC05, author = {Scott P. Robertson and Palakorn Achananuparp and James L. Goldman and Sang Joon Park and Nan Zhou and Matthew J. Clare}, editor = {Gerrit C. van der Veer and Carolyn Gale}, title = {Voting and political information gathering on paper and online}, booktitle = {Extended Abstracts Proceedings of the 2005 Conference on Human Factors in Computing Systems, {CHI} 2005, Portland, Oregon, USA, April 2-7, 2005}, pages = {1753--1756}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1056808.1057014}, doi = {10.1145/1056808.1057014}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/RobertsonAGPZC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurocast/JangKKLLP05, author = {Min{-}Soo Jang and Yong{-}Guk Kim and Sang{-}Jun Kim and Jeong{-}Eom Lee and Soek{-}Joo Lee and Gwi{-}Tae Park}, editor = {Roberto Moreno{-}D{\'{\i}}az and Franz Pichler and Alexis Quesada{-}Arencibia}, title = {Vision Based Automatic Occupant Classification and Pose Recognition for Smart Airbag Deployment}, booktitle = {Computer Aided Systems Theory - {EUROCAST} 2005, 10th International Conference on Computer Aided Systems Theory, Las Palmas de Gran Canaria, Spain, February 7-11, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3643}, pages = {410--415}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11556985\_54}, doi = {10.1007/11556985\_54}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/eurocast/JangKKLLP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ibpria/ParkKJPPK05, author = {Hye Sun Park and Eun Yi Kim and Sang Su Jang and Se Hyun Park and Min Ho Park and Hang Joon Kim}, editor = {Jorge S. Marques and Nicolas P{\'{e}}rez de la Blanca and Pedro Pina}, title = {HMM-Based Gesture Recognition for Robot Control}, booktitle = {Pattern Recognition and Image Analysis, Second Iberian Conference, IbPRIA 2005, Estoril, Portugal, June 7-9, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3522}, pages = {607--614}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11492429\_73}, doi = {10.1007/11492429\_73}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ibpria/ParkKJPPK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ParkNGL05, author = {Joon{-}Sang Park and Alok Nandan and Mario Gerla and Heechoon Lee}, title = {{SPACE-MAC:} enabling spatial reuse using {MIMO} channel-aware {MAC}}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2005, Seoul, Korea, 16-20 May 2005}, pages = {3642--3646}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ICC.2005.1495096}, doi = {10.1109/ICC.2005.1495096}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ParkNGL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/HwangNP05, author = {Sang{-}Jun Hwang and Jaechun No and Sung{-}Soon Park}, editor = {Vaidy S. Sunderam and G. Dick van Albada and Peter M. A. Sloot and Jack J. Dongarra}, title = {A Case Study in Distributed Locking Protocol on Linux Clusters}, booktitle = {Computational Science - {ICCS} 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3514}, pages = {380--387}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11428831\_47}, doi = {10.1007/11428831\_47}, timestamp = {Wed, 25 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/HwangNP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JeongPLKMK05, author = {Eunjoo Jeong and Sangjoon Park and Hyewon K. Lee and Kwan{-}Joong Kim and Youngsong Mun and Byunggi Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {A Handover Scheme Based on HMIPv6 for {B3G} Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3480}, pages = {118--126}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424758\_13}, doi = {10.1007/11424758\_13}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JeongPLKMK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeePK05, author = {Hong Joo Lee and Hee{-}Jun Park and Sangkyun Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {A Study on Authentication Mechanism Using Robot Vacuum Cleaner}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3483}, pages = {122--127}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424925\_15}, doi = {10.1007/11424925\_15}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeePK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/HwangLSPR05, author = {SangCheol Hwang and Namhoon Lee and Kouichi Sakurai and Joong Gil Park and Jaecheol Ryou}, editor = {Cheeha Kim}, title = {The Improved Risk Analysis Mechanism in the Practical Risk Analysis System}, booktitle = {Information Networking, Convergence in Broadband and Mobile Networking, International Conference, {ICOIN} 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3391}, pages = {835--842}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-30582-8\_87}, doi = {10.1007/978-3-540-30582-8\_87}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/HwangLSPR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KwonLLKKNP05, author = {O{-}Hoon Kwon and Seung{-}Min Lee and Heejo Lee and Jong Kim and Sang Cheon Kim and Gun Woo Nam and Joong Gil Park}, editor = {Cheeha Kim}, title = {HackSim: An Automation of Penetration Testing for Remote Buffer Overflow Vulnerabilities}, booktitle = {Information Networking, Convergence in Broadband and Mobile Networking, International Conference, {ICOIN} 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3391}, pages = {652--661}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-30582-8\_68}, doi = {10.1007/978-3-540-30582-8\_68}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KwonLLKKNP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/KimJKPP05, author = {Young{-}Ouk Kim and Sung{-}Ho Jang and Sangjin Kim and Chang{-}Woo Park and Joon Ki Paik}, editor = {Moonis Ali and Floriana Esposito}, title = {Pose-Invariant Face Detection Using Edge-Like Blob Map and Fuzzy Logic}, booktitle = {Innovations in Applied Artificial Intelligence, 18th International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems, {IEA/AIE} 2005, Bari, Italy, June 22-24, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3533}, pages = {695--704}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11504894\_96}, doi = {10.1007/11504894\_96}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/KimJKPP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkWKKLK05, author = {Sangdeok Park and Daeheui Won and Min{-}Sung Kang and Tae{-}Joo Kim and Hogil Lee and SangJoo Kwon}, title = {{RIC} (robust internal-loop compensator) based flight control of a quad-rotor type {UAV}}, booktitle = {2005 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Edmonton, Alberta, Canada, August 2-6, 2005}, pages = {3542--3547}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IROS.2005.1545113}, doi = {10.1109/IROS.2005.1545113}, timestamp = {Fri, 01 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkWKKLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/NahLPLKKH05, author = {Yunmook Nah and Joonwoo Lee and Seungyong Park and Ho Lee and Sangwoo Kim and Moon{-}hae Kim and Ki{-}Joon Han}, title = {TMO-Structured Distributed Location Information System Prototype}, booktitle = {Eighth {IEEE} International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} 2005), 18-20 May 2005, Seattle, WA, {USA}}, pages = {321--328}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ISORC.2005.59}, doi = {10.1109/ISORC.2005.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/NahLPLKKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/OhPG05, author = {Soon{-}Young Oh and Joon{-}Sang Park and Mario Gerla}, title = {{E-ODMRP:} enhanced {ODMRP} with motion adaptive refresh}, booktitle = {Proceedings of the 2nd {IEEE} International Symposium on Wireless Communication Systems, {ISWCS} 2005, Siena, Italy, 5-7 September 2005}, pages = {130--134}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISWCS.2005.1547671}, doi = {10.1109/ISWCS.2005.1547671}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/OhPG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/JungPC05, author = {Joonhong Jung and Kiheon Park and Jae Sang Cha}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {Implementation of a Network-Based Distributed System Using the {CAN} Protocol}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3681}, pages = {1104--1110}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11552413\_157}, doi = {10.1007/11552413\_157}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/JungPC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimKLJLP05, author = {Sang{-}Jun Kim and Yong{-}Guk Kim and Jeong{-}Eom Lee and Min{-}Soo Jang and Seok{-}Joo Lee and Gwi{-}Tae Park}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {3-D Pose Tracking of the Car Occupant}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3683}, pages = {219--224}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11553939\_32}, doi = {10.1007/11553939\_32}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimKLJLP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimSYLP05, author = {Min Kyung Kim and Chull Hwan Song and Seong Joon Yoo and Sang Ho Lee and Hyun Seok Park}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {A Hybrid Approach to Combine {HMM} and {SVM} Methods for the Prediction of the Transmembrane Spanning Region}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3683}, pages = {792--798}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11553939\_112}, doi = {10.1007/11553939\_112}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kes/KimSYLP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/KongHYPLG05, author = {Jiejun Kong and Xiaoyan Hong and Yunjung Yi and Joon{-}Sang Park and Jun Liu and Mario Gerla}, editor = {P. R. Kumar and Andrew T. Campbell and Roger Wattenhofer}, title = {A secure ad-hoc routing approach using localized self-healing communities}, booktitle = {Proceedings of the 6th {ACM} Interational Symposium on Mobile Ad Hoc Networking and Computing, MobiHoc 2005, Urbana-Champaign, IL, USA, May 25-27, 2005}, pages = {254--265}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1062689.1062722}, doi = {10.1145/1062689.1062722}, timestamp = {Thu, 08 Apr 2021 08:40:43 +0200}, biburl = {https://dblp.org/rec/conf/mobihoc/KongHYPLG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swis/GerlaPBG05, author = {Mario Gerla and Joon{-}Sang Park and Roberto Battiti and Anurag Garg}, title = {Intelligent backbone swarms for scalable, disruption tolerant wireless networking}, booktitle = {2005 {IEEE} Swarm Intelligence Symposium, {SIS} 2005, Pasadena, California, USA, June 8-10, 2005}, pages = {432--435}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/SIS.2005.1501659}, doi = {10.1109/SIS.2005.1501659}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/swis/GerlaPBG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/GerlaLPY05, author = {Mario Gerla and Yeng{-}Zhong Lee and Joon{-}Sang Park and Yunjung Yi}, title = {On demand multicast routing with unidirectional links}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2005, March 13-17, 2005, New Orleans, Louisiana, {USA}}, pages = {2162--2167}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/WCNC.2005.1424852}, doi = {10.1109/WCNC.2005.1424852}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/GerlaLPY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ParkSLKK04, author = {Sangjoon Park and Jiyoung Song and Jongchan Lee and Kwan{-}Joong Kim and Byunggi Kim}, title = {A handover scheme in clustered cellular networks}, journal = {Future Gener. Comput. Syst.}, volume = {20}, number = {2}, pages = {221--227}, year = {2004}, url = {https://doi.org/10.1016/S0167-739X(03)00136-5}, doi = {10.1016/S0167-739X(03)00136-5}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ParkSLKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jhsn/WonLYMPK04, author = {Chulho Won and Ben Lee and Chansu Yu and Sangman Moh and Kyoung Park and Myung{-}Joon Kim}, title = {A detailed performance analysis of UDP/IP, TCP/IP, and {M-VIA} network protocols using Linux/SimOS}, journal = {J. High Speed Networks}, volume = {13}, number = {3}, pages = {169--182}, year = {2004}, url = {http://content.iospress.com/articles/journal-of-high-speed-networks/jhs242}, timestamp = {Mon, 18 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jhsn/WonLYMPK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungJSLLSSJPJCK04, author = {Yeon{-}Jae Jung and Hoesam Jeong and Eunseok Song and Jungho Lee and Seung{-}Wook Lee and Donghyeon Seo and Inho Song and Sanghun Jung and Joonbae Park and Deog{-}Kyoon Jeong and Soo{-}Ik Chae and W. Kim}, title = {A 2.4-GHz 0.25-{\(\mu\)}m {CMOS} dual-mode direct-conversion transceiver for bluetooth and 802.11b}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {7}, pages = {1185--1190}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2004.829969}, doi = {10.1109/JSSC.2004.829969}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungJSLLSSJPJCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/ParkSKS04, author = {Sang Il Park and Hyun Joon Shin and Tae{-}Hoon Kim and Sung Yong Shin}, title = {On-line motion blending for real-time locomotion generation}, journal = {Comput. Animat. Virtual Worlds}, volume = {15}, number = {3-4}, pages = {125--138}, year = {2004}, url = {https://doi.org/10.1002/cav.15}, doi = {10.1002/CAV.15}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/ParkSKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ParkLSPP04, author = {Chul{-}Hyun Park and Joon{-}Jae Lee and Mark J. T. Smith and Sang{-}il Park and Kil{-}Houm Park}, title = {Directional filter bank-based fingerprint feature extraction and matching}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {14}, number = {1}, pages = {74--85}, year = {2004}, url = {https://doi.org/10.1109/TCSVT.2003.818355}, doi = {10.1109/TCSVT.2003.818355}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ParkLSPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ParkPP04, author = {Joon{-}Sang Park and Michael Penner and Viktor K. Prasanna}, title = {Optimizing Graph Algorithms for Improved Cache Performance}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {15}, number = {9}, pages = {769--782}, year = {2004}, url = {https://doi.org/10.1109/TPDS.2004.44}, doi = {10.1109/TPDS.2004.44}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ParkPP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ais/ParkKJK04, author = {Hye Sun Park and Eun Yi Kim and Sang Su Jang and Hang Joon Kim}, editor = {Tag Gon Kim}, title = {Recognition of Human Action for Game System}, booktitle = {Artificial Intelligence and Simulation, 13th International Conference on AI, Simulation, and Planning in High Autonomy Systems, {AIS} 2004, Jeju Island, Korea, October 4-6, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3397}, pages = {100--108}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30583-5\_11}, doi = {10.1007/978-3-540-30583-5\_11}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ais/ParkKJK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimaging/KimKPSP04, author = {Young{-}Ouk Kim and Sangjin Kim and Chang{-}Woo Park and Ha{-}Gyeong Sung and Joonki Paik}, editor = {Charles A. Bouman and Eric L. Miller}, title = {Dynamic region-of-interest acquisition and face tracking for intelligent surveillance system}, booktitle = {Computational Imaging II, San Jose, CA, USA, January 18, 2004}, series = {{SPIE} Proceedings}, volume = {5299}, pages = {369--377}, publisher = {{SPIE}}, year = {2004}, url = {https://doi.org/10.1117/12.527182}, doi = {10.1117/12.527182}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cimaging/KimKPSP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisst/ParkJPK04, author = {Hye Sun Park and Sang Su Jang and Min Ho Park and Hang Joon Kim}, editor = {Hamid R. Arabnia}, title = {Vision Based Interface for Computer Games}, booktitle = {Proceedings of the International Conference on Imaging Science, Systems and Technology, {CISST} '04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {158--162}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Wed, 17 Nov 2004 14:24:03 +0100}, biburl = {https://dblp.org/rec/conf/cisst/ParkJPK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icics/SimPL04, author = {Sang Gyoo Sim and Dong Jin Park and Pil Joong Lee}, editor = {Javier L{\'{o}}pez and Sihan Qing and Eiji Okamoto}, title = {New Power Analysis on the Ha-Moon Algorithm and the {MIST} Algorithm}, booktitle = {Information and Communications Security, 6th International Conference, {ICICS} 2004, Malaga, Spain, October 27-29, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3269}, pages = {291--304}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30191-2\_23}, doi = {10.1007/978-3-540-30191-2\_23}, timestamp = {Thu, 29 Aug 2019 08:10:01 +0200}, biburl = {https://dblp.org/rec/conf/icics/SimPL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/ParkLLHS04, author = {Jae{-}Hyun Park and Jae{-}Yup Lee and Sang{-}Won Lee and Song{-}Nam Hong and Dong{-}Joon Shin}, title = {{ICI} self cancellation - Golay complementary Reed-Muller code scheme for {OFDM} systems}, booktitle = {Proceedings of the 2004 {IEEE} International Symposium on Information Theory, {ISIT} 2004, Chicago Downtown Marriott, Chicago, Illinois, USA, June 27 - July 2, 2004}, pages = {425}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISIT.2004.1365462}, doi = {10.1109/ISIT.2004.1365462}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/isit/ParkLLHS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isnn/LeeKJKLP04, author = {Hyun{-}Gu Lee and Yong{-}Guk Kim and Min{-}Soo Jang and Sang{-}Jun Kim and Soek{-}Joo Lee and Gwi{-}Tae Park}, editor = {Fuliang Yin and Jun Wang and Chengan Guo}, title = {Occupant Classification for Smart Airbag Using Stereovision and Support Vector Machines}, booktitle = {Advances in Neural Networks - {ISNN} 2004, International Symposium on Neural Networks, Dalian, China, August 19-21, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3173}, pages = {642--647}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-28647-9\_105}, doi = {10.1007/978-3-540-28647-9\_105}, timestamp = {Thu, 26 Sep 2019 16:40:33 +0200}, biburl = {https://dblp.org/rec/conf/isnn/LeeKJKLP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/ParkKJK04, author = {Hye Sun Park and Eun Yi Kim and Sang Su Jang and Hang Joon Kim}, editor = {Kiyoharu Aizawa and Yuichi Nakamura and Shin'ichi Satoh}, title = {An {HMM} Based Gesture Recognition for Perceptual User Interface}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30 - December 3, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3332}, pages = {1027--1034}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30542-2\_126}, doi = {10.1007/978-3-540-30542-2\_126}, timestamp = {Fri, 10 Mar 2023 14:55:31 +0100}, biburl = {https://dblp.org/rec/conf/pcm/ParkKJK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/LeePK04, author = {Jong{-}Hak Lee and Sang{-}gug Park and Soo{-}Joong Kim}, editor = {Chengqi Zhang and Hans W. Guesgen and Wai{-}Kiang Yeap}, title = {Vision Technique for the Recognition of Billet Characters in the Steel Plant}, booktitle = {{PRICAI} 2004: Trends in Artificial Intelligence, 8th Pacific Rim International Conference on Artificial Intelligence, Auckland, New Zealand, August 9-13, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3157}, pages = {843--851}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-28633-2\_89}, doi = {10.1007/978-3-540-28633-2\_89}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pricai/LeePK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijces/ChoCLPPPPKJ03, author = {Dong{-}Il Cho and Byoung{-}Doo Choi and Sangwoo Lee and Seung Joon Paik and Sangjun Park and Jaehong Park and Yonghwa Park and Jongpal Kim and Il{-}Woo Jung}, title = {Dry And Wet Etching With {(111)} Silicon For High-Performance Micro And Nano Systems}, journal = {Int. J. Comput. Eng. Sci.}, volume = {4}, number = {2}, pages = {181--187}, year = {2003}, url = {https://doi.org/10.1142/S1465876303000879}, doi = {10.1142/S1465876303000879}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijces/ChoCLPPPPKJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijces/JungPCKPPC03, author = {Il{-}Woo Jung and Jaehong Park and Byoung{-}Doo Choi and Jongpal Kim and Sangjun Park and Seung Joon Paik and Dong{-}Il Cho}, title = {New Vertical Array Actuators Using Extended Sbm And Deep Pn Junction Isolation}, journal = {Int. J. Comput. Eng. Sci.}, volume = {4}, number = {3}, pages = {589--592}, year = {2003}, url = {https://doi.org/10.1142/S1465876303001824}, doi = {10.1142/S1465876303001824}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijces/JungPCKPPC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijces/ParkPPKCKPJKC03, author = {Jaehong Park and Kidong Park and Seung Joon Paik and Kyo{-}In Koo and Byoung{-}Doo Choi and Jongpal Kim and Sangjun Park and Il{-}Woo Jung and Hyoungho Ko and Dong{-}Il Cho}, title = {Extremely Sharp \{111\}-Bound, Single-Crystalline Silicon Nano Tips}, journal = {Int. J. Comput. Eng. Sci.}, volume = {4}, number = {2}, pages = {327--330}, year = {2003}, url = {https://doi.org/10.1142/S1465876303001198}, doi = {10.1142/S1465876303001198}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijces/ParkPPKCKPJKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ParkKCYKKJ03, author = {Woong{-}Sub Park and Joon S. Kim and Young Moon Chae and Seung{-}Hum Yu and Chang{-}Yup Kim and Sang A. Kim and Sang Hyuk Jung}, title = {Does the physician order-entry system increase the revenue of a general hospital?}, journal = {Int. J. Medical Informatics}, volume = {71}, number = {1}, pages = {25--32}, year = {2003}, url = {https://doi.org/10.1016/S1386-5056(03)00056-X}, doi = {10.1016/S1386-5056(03)00056-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ParkKCYKKJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/KimYPKHL03, author = {Myungchul Kim and Sangjo Yoo and Jinhee Park and Sungwon Kang and Soon J. Hyun and Hyuckjae Lee}, title = {A dynamic protocol conformance test method}, journal = {J. Syst. Softw.}, volume = {67}, number = {1}, pages = {31--43}, year = {2003}, url = {https://doi.org/10.1016/S0164-1212(02)00085-7}, doi = {10.1016/S0164-1212(02)00085-7}, timestamp = {Mon, 05 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/KimYPKHL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ParkKSL03, author = {Sang Eon Park and Taeg Yong Kwon and Eun{-}joo Shin and Ho Seong Lee}, title = {A compact extended-cavity diode laser with a Littman configuration}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {52}, number = {2}, pages = {280--283}, year = {2003}, url = {https://doi.org/10.1109/TIM.2003.809912}, doi = {10.1109/TIM.2003.809912}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ParkKSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HwangKLP03, author = {Joo Young Hwang and Jun Song Kim and Sang Seok Lim and Kyu Ho Park}, title = {A fast path planning by path graph optimization}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {33}, number = {1}, pages = {121--129}, year = {2003}, url = {https://doi.org/10.1109/TSMCA.2003.812599}, doi = {10.1109/TSMCA.2003.812599}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HwangKLP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/LeeRYPJS03, author = {Tea Hoon Lee and Won{-}Sang Ra and Tae Sung Yoon and Jin Bae Park and Soo Yul Jung and Joong Eon Seo}, title = {Robust filtering for linear discrete-time systems with parametric uncertainties: a Krein space estimation approach}, booktitle = {42nd {IEEE} Conference on Decision and Control, {CDC} 2003, Maui, Hawaii, USA, December 9-12, 2003}, pages = {1285--1290}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CDC.2003.1272786}, doi = {10.1109/CDC.2003.1272786}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/LeeRYPJS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JungJSLLSSJPJK03, author = {Yeon{-}Jae Jung and Hoesam Jeong and Eunseok Song and Jungho Lee and Seung{-}Wook Lee and Donghyeon Seo and Inho Song and Sanghun Jung and Joonbae Park and Deog{-}Kyoon Jeong and Wonchan Kim}, editor = {Jos{\'{e}} E. Franca and Rudolf Koch}, title = {A dual-mode direct-conversion {CMOS} transceiver for Bluetooth and 802.11b}, booktitle = {{ESSCIRC} 2003 - 29th European Solid-State Circuits Conference, Estoril, Portugal, September 16-18, 2003}, pages = {225--228}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ESSCIRC.2003.1257113}, doi = {10.1109/ESSCIRC.2003.1257113}, timestamp = {Tue, 04 Jul 2023 08:46:31 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JungJSLLSSJPJK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/KimPL03, author = {Young{-}Il Kim and Joo{-}Sang Park and Yong Joon Lee}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Design of Registry/Repository of e-Logistics Integration Platform Using ebXML Framework}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} '03, Las Vegas, Nevada, USA, June 23-26, 2003, Volume 1}, pages = {144--152}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Thu, 09 Oct 2003 14:14:47 +0200}, biburl = {https://dblp.org/rec/conf/ic/KimPL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/IhmLLJP03, author = {Insung Ihm and Bumdae Lee and Joong{-}Youn Lee and Minsu Joh and Sanghun Park}, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {Interactive Stereoscopic Visualization of Very Large Visible Men on {CAVE}}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2667}, pages = {41--50}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44839-X\_5}, doi = {10.1007/3-540-44839-X\_5}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/IhmLLJP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/KwonKPSSSYYLLCHH03, author = {Daesung Kwon and Jaesung Kim and Sangwoo Park and Soo Hak Sung and Yaekwon Sohn and Jung Hwan Song and Yongjin Yeom and E{-}Joong Yoon and Sangjin Lee and Jaewon Lee and Seongtaek Chee and Daewan Han and Jin Hong}, editor = {Jong In Lim and Dong Hoon Lee}, title = {New Block Cipher: {ARIA}}, booktitle = {Information Security and Cryptology - {ICISC} 2003, 6th International Conference, Seoul, Korea, November 27-28, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2971}, pages = {432--445}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24691-6\_32}, doi = {10.1007/978-3-540-24691-6\_32}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisc/KwonKPSSSYYLLCHH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChoiPK03, author = {Joonhyuk Choi and Frank C. Park and Munsang Kim}, title = {Simultaneous shape and motion recovery: geometry, optimal estimation, and coordinate descent algorithms}, booktitle = {Proceedings of the 2003 {IEEE} International Conference on Robotics and Automation, {ICRA} 2003, September 14-19, 2003, Taipei, Taiwan}, pages = {2135--2140}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ROBOT.2003.1241909}, doi = {10.1109/ROBOT.2003.1241909}, timestamp = {Sat, 17 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChoiPK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvs/LeeLPKLBH03, author = {Tae{-}Seung Lee and Eung{-}Min Lee and Hyeong{-}Taek Park and Young{-}Kil Kwag and Sang{-}Seok Lim and Joong{-}Hwan Baek and Byong{-}Won Hwang}, editor = {James L. Crowley and Justus H. Piater and Markus Vincze and Lucas Paletta}, title = {Implementation of Traffic Flow Measuring Algorithm Using Real-Time Dynamic Image Processing}, booktitle = {Computer Vision Systems, Third International Conference, {ICVS} 2003, Graz, Austria, April 1-3, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2626}, pages = {78--87}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-36592-3\_8}, doi = {10.1007/3-540-36592-3\_8}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icvs/LeeLPKLBH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ngc/YiGPM03, author = {Yunjung Yi and Mario Gerla and Joon{-}Sang Park and Dario Maggiorini}, editor = {Burkhard Stiller and Georg Carle and Martin Karsten and Peter Reichl}, title = {Team Oriented Multicast: {A} Scalable Routing Protocol for Large Mobile Networks}, booktitle = {Group Communications and Charges; Technology and Business Models, 5th {COST264} International Workshop on Networked Group Communications, {NGC} 2003, and 3rd International Workshop on Internet Charging and QoS Technologies, {ICQT} 2003, Munich, Germany, September 16-19, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2816}, pages = {143--154}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39405-1\_13}, doi = {10.1007/978-3-540-39405-1\_13}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/ngc/YiGPM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ParkYYLC03, author = {Hyung{-}Rae Park and Yeon{-}Sil Yang and Sang{-}Sik Yoon and Sang{-}Ho Lee and Sung{-}Joon Cho}, title = {Performance analysis of an adaptive hybrid search code acquisition technique in the case of multiple pilot signals}, booktitle = {Proceedings of the {IEEE} 14th International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2003, 7-10 September 2003, Beijing, China}, pages = {1654--1658}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/PIMRC.2003.1260395}, doi = {10.1109/PIMRC.2003.1260395}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ParkYYLC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scalespace/ParkLOSCP03, author = {Chul{-}Hyun Park and Joon{-}Jae Lee and Sang{-}Keun Oh and Young{-}Chul Song and Doo{-}Hyun Choi and Kil{-}Houm Park}, editor = {Lewis D. Griffin and Martin Lillholm}, title = {Iris Feature Extraction and Matching Based on Multiscale and Directional Image Representation}, booktitle = {Scale Space Methods in Computer Vision, 4th International Conference, Scale-Space 2003, Isle of Skye, UK, June 10-12, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2695}, pages = {576--583}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44935-3\_40}, doi = {10.1007/3-540-44935-3\_40}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/scalespace/ParkLOSCP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ParkSL03, author = {Dong Jin Park and Sang Gyoo Sim and Pil Joong Lee}, editor = {Kijoon Chae and Moti Yung}, title = {Fast Scalar Multiplication Method Using Change-of-Basis Matrix to Prevent Power Analysis Attacks on Koblitz Curves}, booktitle = {Information Security Applications, 4th International Workshop, {WISA} 2003, Jeju Island, Korea, August 25-27, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2908}, pages = {474--488}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24591-9\_35}, doi = {10.1007/978-3-540-24591-9\_35}, timestamp = {Tue, 14 May 2019 10:00:35 +0200}, biburl = {https://dblp.org/rec/conf/wisa/ParkSL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wscg/OhLPKP03, author = {Sang{-}Keun Oh and Joon{-}Jae Lee and Chul{-}Hyun Park and Bum{-}Soo Kim and Kil{-}Houm Park}, title = {New Fingerprint Image Enhancement Using Directional Filter Bank}, booktitle = {The 11-th International Conference in Central Europe on Computer Graphics, Visualization and Computer Vision'2003, {WSCG} 2003, in co-operation with {EUROGRAPHICS} and {IFIP} working group 5.10 on Computer Graphics and Virtual Worlds, University of West Bohemia, Campus Bory, Plzen-Bory, Czech Republic, February 3-7, 2003}, year = {2003}, url = {http://wscg.zcu.cz/wscg2003/Papers\_2003/J37.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wscg/OhLPKP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KimCCCJKLLLPY02, author = {Won Kim and Ki{-}Joon Chae and Dong{-}Sub Cho and Byoungju Choi and Anmo Jeong and Myung Kim and Kiho Lee and Meejeong Lee and Sang{-}Ho Lee and Seung{-}Soo Park and Hwan{-}Seung Yong}, title = {The Chamois Component-Based Knowledge Engineering Framework}, journal = {Computer}, volume = {35}, number = {5}, pages = {46--54}, year = {2002}, url = {https://doi.org/10.1109/MC.2002.999775}, doi = {10.1109/MC.2002.999775}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/KimCCCJKLLLPY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jot/KimCCCJKLLLLPYKLL02, author = {Won Kim and Ki{-}Joon Chae and Dong{-}Sub Cho and Byoungju Choi and Anmo Jeong and Myung Kim and Kiho Lee and Meejeong Lee and Minsoo Lee and Sang{-}Ho Lee and Seung{-}Soo Park and Hwan{-}Seung Yong and Ho{-}Sook Kim and Jung{-}Won Lee and Wol{-}Young Lee}, title = {The Chamois Reconfigurable Data-Mining Architecture}, journal = {J. Object Technol.}, volume = {1}, number = {2}, pages = {21--33}, year = {2002}, url = {https://doi.org/10.5381/jot.2002.1.2.c2}, doi = {10.5381/JOT.2002.1.2.C2}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jot/KimCCCJKLLLLPYKLL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ParkK02, author = {Sangwon Park and Hyoung{-}Joo Kim}, title = {SigDAQ: an enhanced {XML} query optimization technique}, journal = {J. Syst. Softw.}, volume = {61}, number = {2}, pages = {91--103}, year = {2002}, url = {https://doi.org/10.1016/S0164-1212(01)00105-4}, doi = {10.1016/S0164-1212(01)00105-4}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/ParkK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeHCKMLJKPA02, author = {Sang{-}Hyun Lee and Moon{-}Sang Hwang and Youngdon Choi and Sungjoon Kim and Yongsam Moon and Bong{-}Joon Lee and Deog{-}Kyoon Jeong and Wonchan Kim and Young{-}June Park and Gijung Ahn}, title = {A 5-Gb/s 0.25-{\(\mu\)}m {CMOS} jitter-tolerant variable-interval oversampling clock/data recovery circuit}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {12}, pages = {1822--1830}, year = {2002}, url = {https://doi.org/10.1109/JSSC.2002.804342}, doi = {10.1109/JSSC.2002.804342}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeHCKMLJKPA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WeeMPLKYJC02, author = {Jae{-}Kyung Wee and Kyeong{-}Sik Min and Jong{-}Tai Park and Sang{-}Pil Lee and Young{-}Hee Kim and Tae{-}Heum Yang and Jong{-}Doo Joo and Jin{-}Yong Chung}, title = {A post-package bit-repair scheme using static latches with bipolar-voltage programmable antifuse circuit for high-density DRAMs}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {2}, pages = {251--254}, year = {2002}, url = {https://doi.org/10.1109/4.982432}, doi = {10.1109/4.982432}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WeeMPLKYJC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KimPHK02, author = {Eun Yi Kim and Se Hyun Park and Sang Won Hwang and Hang Joon Kim}, title = {Video sequence segmentation using genetic algorithms}, journal = {Pattern Recognit. Lett.}, volume = {23}, number = {7}, pages = {843--863}, year = {2002}, url = {https://doi.org/10.1016/S0167-8655(01)00160-X}, doi = {10.1016/S0167-8655(01)00160-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/prl/KimPHK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiacrypt/ParkSCYL02, author = {Sangwoo Park and Soo Hak Sung and Seongtaek Chee and E{-}Joong Yoon and Jongin Lim}, editor = {Yuliang Zheng}, title = {On the Security of Rijndael-Like Structures against Differential and Linear Cryptanalysis}, booktitle = {Advances in Cryptology - {ASIACRYPT} 2002, 8th International Conference on the Theory and Application of Cryptology and Information Security, Queenstown, New Zealand, December 1-5, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2501}, pages = {176--191}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36178-2\_11}, doi = {10.1007/3-540-36178-2\_11}, timestamp = {Fri, 18 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiacrypt/ParkSCYL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/KimKPP02, author = {Jong{-}Wook Kim and Sang Woo Kim and PooGyeon Park and Tae Joon Park}, title = {On the similarities between binary-coded {GA} and real-coded {GA} in wide search space}, booktitle = {Proceedings of the 2002 Congress on Evolutionary Computation, {CEC} 2002, Honolulu, HI, USA, May 12-17, 2002}, pages = {681--686}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CEC.2002.1007008}, doi = {10.1109/CEC.2002.1007008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/KimKPP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecweb/ParkCK02, author = {Sangwon Park and Yoonra Choi and Hyoung{-}Joo Kim}, editor = {Kurt Bauknecht and A Min Tjoa and Gerald Quirchmayr}, title = {{XML} Query Processing Using Signature and {DTD}}, booktitle = {E-Commerce and Web Technologies, Third International Conference, EC-Web 2002, Aix-en-Provence, France, September 2-6, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2455}, pages = {162--171}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45705-4\_17}, doi = {10.1007/3-540-45705-4\_17}, timestamp = {Tue, 29 Dec 2020 18:30:42 +0100}, biburl = {https://dblp.org/rec/conf/ecweb/ParkCK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/LeeLHP02, author = {Chul Lee and Sang Seok Lim and Joo Young Hwang and Kyu Ho Park}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {A Ticket Based Admisson Controller {(} {TBAC} {)} for Users' Fairness of Web Servers}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} 2002, Las Vegas, Nevada, USA, June 24-27, 2002}, pages = {181--186}, publisher = {{CSREA} Press}, year = {2002}, timestamp = {Fri, 03 Feb 2017 14:05:09 +0100}, biburl = {https://dblp.org/rec/conf/ic/LeeLHP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ParkPP02, author = {Joon{-}Sang Park and Michael Penner and Viktor K. Prasanna}, title = {Optimizing Graph Algorithms for Improved Cache Performance}, booktitle = {16th International Parallel and Distributed Processing Symposium {(IPDPS} 2002), 15-19 April 2002, Fort Lauderdale, FL, USA, CD-ROM/Abstracts Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/IPDPS.2002.1015509}, doi = {10.1109/IPDPS.2002.1015509}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ParkPP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sca/ParkSS02, author = {Sang Il Park and Hyun Joon Shin and Sung Yong Shin}, editor = {Jessica K. Hodgins and Michiel van de Panne and Michael F. Cohen and Nancy S. Pollard}, title = {On-line locomotion generation based on motion blending}, booktitle = {Proceedings of the 2002 {ACM} SIGGRAPH/Eurographics Symposium on Computer Animation, San Antonio, TX, USA, July 21-22, 2002}, pages = {105--111}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/545261.545279}, doi = {10.1145/545261.545279}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sca/ParkSS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KimJPMK01, author = {Young Pil Kim and Beom Jun Jin and Young Wook Park and Joo Tae Moon and Sang U. Kim}, title = {Analysis of retention tail distribution induced by scaled shallow trench isolation for high densityDRAMs}, journal = {Microelectron. Reliab.}, volume = {41}, number = {9-10}, pages = {1301--1305}, year = {2001}, url = {https://doi.org/10.1016/S0026-2714(01)00192-5}, doi = {10.1016/S0026-2714(01)00192-5}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KimJPMK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/KimHPK01, author = {Eun Yi Kim and Sang Won Hwang and Se Hyun Park and Hang Joon Kim}, title = {Spatiotemporal segmentation using genetic algorithms}, journal = {Pattern Recognit.}, volume = {34}, number = {10}, pages = {2063--2066}, year = {2001}, url = {https://doi.org/10.1016/S0031-3203(00)00129-1}, doi = {10.1016/S0031-3203(00)00129-1}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/KimHPK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/LeeLHSMHHPLK01, author = {Chang{-}Gun Lee and Kwangpo Lee and Joosun Hahn and Yang{-}Min Seo and Sang Lyul Min and Rhan Ha and Seongsoo Hong and Chang Yun Park and Minsuk Lee and Chong{-}Sang Kim}, title = {Bounding Cache-Related Preemption Delay for Real-Time Systems}, journal = {{IEEE} Trans. Software Eng.}, volume = {27}, number = {9}, pages = {805--826}, year = {2001}, url = {https://doi.org/10.1109/32.950317}, doi = {10.1109/32.950317}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/LeeLHSMHHPLK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KimCKP01, author = {Ki Tae Kim and Sang Hyun Cho and Joon Ki Kim and Hong Bae Park}, title = {H\({}_{\mbox{{\(\infty\)}}}\) controller design for discrete-time linear systems with time-varying delays in state}, booktitle = {40th {IEEE} Conference on Decision and Control, {CDC} 2001, Orlando, FL, USA, 4-7 Dec., 2001}, pages = {1446--1447}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/.2001.981096}, doi = {10.1109/.2001.981096}, timestamp = {Thu, 13 Oct 2022 17:08:41 +0200}, biburl = {https://dblp.org/rec/conf/cdc/KimCKP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/ParkK01, author = {Sangwon Park and Hyoung{-}Joo Kim}, title = {A New Query Processing Technique for {XML} Based on Signature}, booktitle = {Database Systems for Advanced Applications, Proceedings of the 7th International Conference on Database Systems for Advanced Applications {(DASFAA} 2001), 18-20 April 2001 - Hong Kong, China}, pages = {22--29}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DASFAA.2001.916360}, doi = {10.1109/DASFAA.2001.916360}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasfaa/ParkK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecweb/ChungPHK01, author = {Tae{-}Sun Chung and Sangwon Park and Sang{-}Yong Han and Hyoung{-}Joo Kim}, editor = {Kurt Bauknecht and Sanjay Kumar Madria and G{\"{u}}nther Pernul}, title = {Extracting Object-Oriented Database Schemas from {XML} DTDs Using Inheritance}, booktitle = {Electronic Commerce and Web Technologies, Second International Conference, EC-Web 2001 Munich, Germany, September 4-6, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2115}, pages = {49--59}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44700-8\_5}, doi = {10.1007/3-540-44700-8\_5}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecweb/ChungPHK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/HwangKPK01, author = {Sang Won Hwang and Eun Yi Kim and Se Hyun Park and Hang Joon Kim}, title = {Object extraction and tracking using genetic algorithms}, booktitle = {Proceedings of the 2001 International Conference on Image Processing, {ICIP} 2001, Thessaloniki, Greece, October 7-10, 2001}, pages = {383--386}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICIP.2001.958508}, doi = {10.1109/ICIP.2001.958508}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/HwangKPK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/KwonJP01, author = {Joon{-}Heup Kwon and Moon{-}Sang Jeong and Jong{-}Tae Park}, editor = {George Pavlou and Nikos Anerousis and Antonio Liotta}, title = {An Efficient Naming Service for CORBA-based Network Management}, booktitle = {2001 {IEEE/IFIP} International Symposium on Integrated Network Management, {IM} 2001, Seattle, USA, May 14-18, 2001. Proceedings}, pages = {765--778}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/INM.2001.918079}, doi = {10.1109/INM.2001.918079}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/im/KwonJP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webnet/ParkYCK01, author = {Joon Ho Park and Suyoung Yoon and Sangkyu Choe and Jinhan Kim}, editor = {Wendy A. Lawrence{-}Fowler and Joachim Hasebrook}, title = {Personalized Content Recommender System using a Hybrid Filtering Technique}, booktitle = {Proceedings of WebNet 2001 - World Conference on the {WWW} and Internet, Orlando, Florida, USA, October 23-27, 2001}, pages = {989--990}, publisher = {{AACE}}, year = {2001}, timestamp = {Thu, 21 Jun 2018 07:47:32 +0200}, biburl = {https://dblp.org/rec/conf/webnet/ParkYCK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/LeePS00, author = {D. C. Lee and Sangjo Park and Young{-}Joon Song}, title = {Performance analysis of queueing strategies for multiple priority calls in multiservice personal communications services}, journal = {Comput. Commun.}, volume = {23}, number = {11}, pages = {1069--1083}, year = {2000}, url = {https://doi.org/10.1016/S0140-3664(00)00171-7}, doi = {10.1016/S0140-3664(00)00171-7}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/LeePS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YangKNKLLHLHPK00, author = {Jin{-}Hyuk Yang and Byoung{-}Woon Kim and Sang{-}Joon Nam and Young{-}Su Kwon and Dae{-}Hyun Lee and Jong{-}Yeol Lee and Chan{-}Soo Hwang and Yong Hoon Lee and Seung Ho Hwang and In{-}Cheol Park and Chong{-}Min Kyung}, title = {MetaCore: an application-specific programmable {DSP} development system}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {8}, number = {2}, pages = {173--183}, year = {2000}, url = {https://doi.org/10.1109/92.831437}, doi = {10.1109/92.831437}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YangKNKLLHLHPK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/KimPB99, author = {Kwangjo Kim and Sangjoon Park and Joonsang Baek}, title = {Improving Fairness and Privacy of Zhou-Gollmann's Fair Non-Repudiation Protocol}, booktitle = {Proceedings of the 1999 International Conference on Parallel Processing Workshops, {ICPPW} 1999, Wakamatsu, Japan, September 21-24, 1999}, pages = {140--145}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICPPW.1999.800053}, doi = {10.1109/ICPPW.1999.800053}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/KimPB99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KimPLK98, author = {Sang{-}Kyoon Kim and Se{-}Myung Park and Jong Kook Lee and Hang Joon Kim}, title = {On-line recognition of Korean characters using {ART} neural network and hidden Markov model}, journal = {J. Syst. Archit.}, volume = {44}, number = {12}, pages = {971--984}, year = {1998}, url = {https://doi.org/10.1016/S1383-7621(97)00044-1}, doi = {10.1016/S1383-7621(97)00044-1}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/KimPLK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/ChoiPNHK98, author = {Soo{-}Mi Choi and Joo{-}Young Park and Sang{-}Ah Nam and Helen Hong and Myoung{-}Hee Kim}, title = {Building a Teleconference System for Medical Image Processing and Orthopedic Surgical Simulation}, journal = {Simul.}, volume = {70}, number = {5}, pages = {314--326}, year = {1998}, url = {https://doi.org/10.1177/003754979807000506}, doi = {10.1177/003754979807000506}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/ChoiPNHK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LeeHSMHHPLK98, author = {Chang{-}Gun Lee and Joosun Hahn and Yang{-}Min Seo and Sang Lyul Min and Rhan Ha and Seongsoo Hong and Chang Yun Park and Minsuk Lee and Chong{-}Sang Kim}, title = {Analysis of Cache-Related Preemption Delay in Fixed-Priority Preemtive Scheduling}, journal = {{IEEE} Trans. Computers}, volume = {47}, number = {6}, pages = {700--713}, year = {1998}, url = {https://doi.org/10.1109/12.689649}, doi = {10.1109/12.689649}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/LeeHSMHHPLK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KimPLS98, author = {Han{-}joon Kim and Eun{-}Soo Park and Sang{-}goo Lee and Yeong{-}Gil Shin}, editor = {Branko Cesnik and Alexa Thorlichen McCray and Jean{-}Raoul Scherrer}, title = {Collaborative Workspace for Multimedia Medical Conferencing}, booktitle = {{MEDINFO} '98 - 9th World Congress on Medical Informatics, Seoul, South Korea, August 14-21, 1998}, series = {Studies in Health Technology and Informatics}, volume = {52}, pages = {322--326}, publisher = {{IOS} Press}, year = {1998}, url = {https://doi.org/10.3233/978-1-60750-896-0-322}, doi = {10.3233/978-1-60750-896-0-322}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KimPLS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/deds/HongSKP97, author = {Joon Sung Hong and Hae Sang Song and Tag Gon Kim and Kyu Ho Park}, title = {A Real-Time Discrete Event System Specification Formalism for Seamless Real-Time Software Development}, journal = {Discret. Event Dyn. Syst.}, volume = {7}, number = {4}, pages = {355--375}, year = {1997}, url = {https://doi.org/10.1023/A:1008262409521}, doi = {10.1023/A:1008262409521}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/deds/HongSKP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ParkKKK97, author = {Sang Hwan Park and Wook Hyun Kwon and Oh{-}Kyu Kwon and Myung{-}Joon Kim}, title = {Short-time Fourier analysis via optimal harmonic {FIR} filters}, journal = {{IEEE} Trans. Signal Process.}, volume = {45}, number = {6}, pages = {1535--1542}, year = {1997}, url = {https://doi.org/10.1109/78.599995}, doi = {10.1109/78.599995}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/ParkKKK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/MinHPB97, author = {Sang{-}Yoon Min and Ik{-}Joo Han and Wei{-}Jin Park and Doo{-}Hwan Bae}, title = {An Approach to Software Process Management Based on Formal Process Modeling and Analysis}, booktitle = {4th Asia-Pacific Software Engineering and International Computer Science Conference {(APSEC} '97 / {ICSC} '97), 2-5 December 1997, Clear Water Bay, Hong Kong}, pages = {292--301}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/APSEC.1997.640186}, doi = {10.1109/APSEC.1997.640186}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsec/MinHPB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimKJBPC97, author = {Young{-}Su Kim and Chang{-}Joo Kim and Goo{-}Young Jeong and Young{-}Jo Bang and Han{-}Kyu Park and Sang{-}Sam Choi}, title = {New Rayleigh Fading Channel Estimator Based on {PSAM} Channel Sounding Technique}, booktitle = {1997 {IEEE} International Conference on Communications: Towards the Knowledge Millennium, {ICC} 1997, Montr{\'{e}}al, Qu{\'{e}}bec, Canada, June 8-12, 1997}, pages = {1518--1520}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ICC.1997.595042}, doi = {10.1109/ICC.1997.595042}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimKJBPC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/LeeHSMHHPLK97, author = {Chang{-}Gun Lee and Joosun Hahn and Yang{-}Min Seo and Sang Lyul Min and Rhan Ha and Seongsoo Hong and Chang Yun Park and Minsuk Lee and Chong{-}Sang Kim}, title = {Enhanced analysis of cache-related preemption delay in fixed-priority preemptive scheduling}, booktitle = {Proceedings of the 18th {IEEE} Real-Time Systems Symposium {(RTSS} '97), December 3-5, 1997, San Francisco, CA, {USA}}, pages = {187--198}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/REAL.1997.641281}, doi = {10.1109/REAL.1997.641281}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/LeeHSMHHPLK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/KimJKLMPC96, author = {Young{-}Su Kim and Goo{-}Young Jeong and Chang{-}Joo Kim and Dong{-}du Lee and Jae{-}Kyoung Mun and Han{-}Kyu Park and Sang{-}Sam Choi}, title = {Implementation and field experiments of a 16QAM mobile data system based on {PSAM} channel sounding and {TCM} encoding techniques}, booktitle = {The 7th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1996, Taipei, Taiwan, October 15-18, 1996}, pages = {49--52}, year = {1996}, url = {https://doi.org/10.1109/PIMRC.1996.567512}, doi = {10.1109/PIMRC.1996.567512}, timestamp = {Thu, 28 May 2020 11:01:18 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/KimJKLMPC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/LeeHMHHPLK96, author = {Chang{-}Gun Lee and Joosun Hahn and Sang Lyul Min and Rhan Ha and Seongsoo Hong and Chang Yun Park and Minsuk Lee and Chong{-}Sang Kim}, title = {Analysis of cache-related preemption delay in fixed-priority preemptive scheduling}, booktitle = {Proceedings of the 17th {IEEE} Real-Time Systems Symposium {(RTSS} '96), December 4-6, 1996, Washington, DC, {USA}}, pages = {264--274}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/REAL.1996.563723}, doi = {10.1109/REAL.1996.563723}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/LeeHMHHPLK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LeeKPLC94, author = {Gi Hun Lee and Joon{-}Seek Kim and Rae{-}Hong Park and Sang Uk Lee and Jong{-}Soo Choi}, title = {Video Coding Using Variable Block-Size Segmentation by Motion Vectors}, journal = {J. Vis. Commun. Image Represent.}, volume = {5}, number = {4}, pages = {342--355}, year = {1994}, url = {https://doi.org/10.1006/jvci.1994.1033}, doi = {10.1006/JVCI.1994.1033}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/LeeKPLC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KooPKD94, author = {Myoung{-}Wan Koo and Sang{-}Kyu Park and Kyung{-}Tae Kong and Sam{-}joo Doh}, title = {KT-stock: a speaker-independent large-vocabulary speech recognition system over the telephone}, booktitle = {The 3rd International Conference on Spoken Language Processing, {ICSLP} 1994, Yokohama, Japan, September 18-22, 1994}, pages = {1387--1390}, publisher = {{ISCA}}, year = {1994}, url = {https://doi.org/10.21437/ICSLP.1994-361}, doi = {10.21437/ICSLP.1994-361}, timestamp = {Wed, 21 Jun 2023 17:18:06 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KooPKD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OhKCCPPL94, author = {Sangchul Oh and Jae{-}Ho Kim and Ho{-}Jeong Choi and Si{-}Don Choi and Ki Tae Park and Jong{-}Woo Park and Wha{-}Joon Lee}, title = {Automatic Failure-Analysis System for High-Density {DRAM}}, booktitle = {Proceedings {IEEE} International Test Conference 1994, {TEST:} The Next 25 Years, Washington, DC, USA, October 2-6, 1994}, pages = {526--530}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/TEST.1994.527995}, doi = {10.1109/TEST.1994.527995}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/OhKCCPPL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/HamCKPLKY92, author = {Young Kug Ham and Hong Kyu Chung and In Kwon Kim and Rae{-}Hong Park and Chang Bum Lee and Sang Joong Kim and Byeong Nam Yoon}, title = {Hierarchical Recognition of Mixed Documents Consisting of the Korean/Alphanumeric Texts and Graphic Images}, booktitle = {Proceedings of {IAPR} Workshop on Machine Vision Applications, {MVA} 1992, December December 7-9, 1992, Tokyo, Japan}, pages = {287--290}, year = {1992}, url = {http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/CommemorativeDVD/1992/papers/1992287.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/HamCKPLKY92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.org/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.